From e49b0ae8c9962d291b8ac3491e51d4b961577ed1 Mon Sep 17 00:00:00 2001 From: Kashiwade-music Date: Sun, 14 May 2023 21:55:28 +0900 Subject: [PATCH 001/608] fix: Fixed inconsistency between dev/DOCKER_DEPLOY.md and root Dockerfile - Added the time package and successfully passed the "./run_reg_test.py vtr_reg_basic" test as instructed in dev/DOCKER_DEPLOY.md. - The image is now based on Ubuntu 22.04, officialy recommended environment --- Dockerfile | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Dockerfile b/Dockerfile index 95304df8971..71f5129301a 100644 --- a/Dockerfile +++ b/Dockerfile @@ -1,4 +1,4 @@ -FROM ubuntu:20.04 +FROM ubuntu:22.04 ARG DEBIAN_FRONTEND=noninteractive # set out workspace ENV WORKSPACE=/workspace @@ -19,6 +19,7 @@ RUN apt-get update -qq \ libtbb-dev \ python3-pip \ git \ + time \ # Install python packages && pip install -r requirements.txt \ # Cleanup From 75d48cc61ab230943e43f5b9e5f96b3128eea045 Mon Sep 17 00:00:00 2001 From: Kashiwade-music Date: Sun, 14 May 2023 21:56:06 +0900 Subject: [PATCH 002/608] docs: Update doc about Dockerfile --- dev/DOCKER_DEPLOY.md | 54 +++++++++++++++++++++++++------------------- 1 file changed, 31 insertions(+), 23 deletions(-) diff --git a/dev/DOCKER_DEPLOY.md b/dev/DOCKER_DEPLOY.md index b3c80fbe741..7f4610dae69 100644 --- a/dev/DOCKER_DEPLOY.md +++ b/dev/DOCKER_DEPLOY.md @@ -1,47 +1,55 @@ -Overview -======== +# Building VTR on Docker +## Overview Docker creates an isolated container on your system so you know that VTR will run without further configurations nor affecting any other work. Our Docker file sets up this enviroment by installing all necessary Linux packages and applications as well as Perl modules. -Additionally, Cloud9 is installed, which enables the remote management of your container through browser. With Cloud9, VTR can be started easier (and even modified and recompiled) without the need to logging into a terminal. If the Cloud9 endpoint is published outside your LAN, you can also execute VTR remotely or share your screen with other users. +## Setup +1. Install docker (Community Edition is free and sufficient for VTR): https://docs.docker.com/engine/install/ -Setup -===== +2. Clone the VTR project: -Install docker (Community Edition is free and sufficient for VTR): https://docs.docker.com/engine/installation/ + ``` + git clone https://github.com/verilog-to-routing/vtr-verilog-to-routing + ``` -Clone the VTR project: +3. CD to the VTR folder and build the docker image: -`git clone https://github.com/verilog-to-routing/vtr-verilog-to-routing` + ``` + docker build . -t vtrimg + ``` -CD to the VTR folder and build the docker image: +4. Start docker with the new image: -`docker build . -t vtrimg` + ``` + docker run -it -d --name vtr vtrimg + ``` -Start docker with the new image and connect the current volume with the workspace volume of the container: -`sudo docker run -it -d -p :8080 -v :/workspace vtrimg` +## Running +1. Attach to the Docker container. Attaching will open a shell on the `/workspace` directory within the container. +The project root directory from the docker build process is copied and placed in the `/workspace` directory. -Running -======= + ```sh + # from host computer + docker exec -it vtr /bin/bash + ``` -Open a browser (Google Chrome for example) and navigate to your host's url at the port you opened up. For example: -http://192.168.1.30:8080 +2. Ensure that a basic regression test passes: -First, use one of the terminals and compile VTR: -make && make installation/ + ```sh + # in container + ./run_reg_test.py vtr_reg_basic + ``` -Second, ensure that a basic regression test passes: -./run_reg_test.py vtr_reg_basic +3. Run and/or modify VTR in the usual way. -Third, run and/or modify VTR in the usual way. -Developpement Debugging -======================= +## Development Debugging + the container already comes with clang as the default compiler and with scan-build the do statistical analysis on the build set to `debug` in makefile From d576537d659b0b8e125badf56655c4c2fdc64c55 Mon Sep 17 00:00:00 2001 From: Kashiwade-music Date: Mon, 15 May 2023 08:15:45 +0900 Subject: [PATCH 003/608] docs: Update doc about Dockerfile --- dev/DOCKER_DEPLOY.md | 11 ----------- 1 file changed, 11 deletions(-) diff --git a/dev/DOCKER_DEPLOY.md b/dev/DOCKER_DEPLOY.md index 7f4610dae69..6770e27968b 100644 --- a/dev/DOCKER_DEPLOY.md +++ b/dev/DOCKER_DEPLOY.md @@ -47,14 +47,3 @@ The project root directory from the docker build process is copied and placed in 3. Run and/or modify VTR in the usual way. - -## Development Debugging - -the container already comes with clang as the default compiler and with scan-build the do statistical analysis on the build -set to `debug` in makefile - -run `scan-build make -j4` from the root VTR directory. -to output the html analysis to a specific folder, run `scan-build make -j4 -o /some/folder` - -the output is html and viewable in any browser. - From 2f96d366d17396226e6e03d8b5fdee7ed7802d70 Mon Sep 17 00:00:00 2001 From: Kashiwade-music Date: Mon, 15 May 2023 08:50:41 +0900 Subject: [PATCH 004/608] docs: Change instructions to verify if VTR is installed correctly --- dev/DOCKER_DEPLOY.md | 19 ++++++++++++++++--- 1 file changed, 16 insertions(+), 3 deletions(-) diff --git a/dev/DOCKER_DEPLOY.md b/dev/DOCKER_DEPLOY.md index 6770e27968b..14eab8cf018 100644 --- a/dev/DOCKER_DEPLOY.md +++ b/dev/DOCKER_DEPLOY.md @@ -38,12 +38,25 @@ The project root directory from the docker build process is copied and placed in docker exec -it vtr /bin/bash ``` -2. Ensure that a basic regression test passes: +1. Verfiy that VTR has been installed correctly: ```sh # in container - ./run_reg_test.py vtr_reg_basic + ./vtr_flow/scripts/run_vtr_task.py regression_tests/vtr_reg_basic/basic_timing ``` -3. Run and/or modify VTR in the usual way. + The expected output is: + + ``` + k6_N10_mem32K_40nm/single_ff OK + k6_N10_mem32K_40nm/single_ff OK + k6_N10_mem32K_40nm/single_wire OK + k6_N10_mem32K_40nm/single_wire OK + k6_N10_mem32K_40nm/diffeq1 OK + k6_N10_mem32K_40nm/diffeq1 OK + k6_N10_mem32K_40nm/ch_intrinsics OK + k6_N10_mem32K_40nm/ch_intrinsics OK + ``` + +2. Run and/or modify VTR in the usual way. From 3ad9b784b04f844d7c39f460044bda6bff5f090d Mon Sep 17 00:00:00 2001 From: KA7E Date: Wed, 8 Nov 2023 10:03:00 -0500 Subject: [PATCH 005/608] replace assert with non-fatal check for mode conflict, if true return BLK_FAILED_FEASIBLE --- vpr/src/pack/cluster_util.cpp | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/vpr/src/pack/cluster_util.cpp b/vpr/src/pack/cluster_util.cpp index 0e12305dc70..901bfcc3cc0 100644 --- a/vpr/src/pack/cluster_util.cpp +++ b/vpr/src/pack/cluster_util.cpp @@ -1232,7 +1232,9 @@ enum e_block_pack_status try_place_atom_block_rec(const t_pb_graph_node* pb_grap } } } else { - VTR_ASSERT(parent_pb->mode == pb_graph_node->pb_type->parent_mode->index); + if (parent_pb->mode != pb_graph_node->pb_type->parent_mode->index) { + return BLK_FAILED_FEASIBLE; + } } const t_mode* mode = &parent_pb->pb_graph_node->pb_type->modes[parent_pb->mode]; @@ -3688,4 +3690,4 @@ void init_clb_atoms_lookup(vtr::vector Date: Wed, 8 Nov 2023 18:55:42 -0500 Subject: [PATCH 006/608] add invalid id checks before accessing data structures indexed by cluster id --- vpr/src/base/clustered_netlist_utils.cpp | 4 +++- vpr/src/pack/cluster_util.cpp | 6 ++++-- 2 files changed, 7 insertions(+), 3 deletions(-) diff --git a/vpr/src/base/clustered_netlist_utils.cpp b/vpr/src/base/clustered_netlist_utils.cpp index a7488d9ba89..210f6b494ab 100644 --- a/vpr/src/base/clustered_netlist_utils.cpp +++ b/vpr/src/base/clustered_netlist_utils.cpp @@ -48,7 +48,9 @@ void ClusterAtomsLookup::init_lookup() { for (auto atom_blk_id : atom_ctx.nlist.blocks()) { ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(atom_blk_id); - cluster_atoms[clb_index].push_back(atom_blk_id); + if (clb_index != ClusterBlockId::INVALID()) { + cluster_atoms[clb_index].push_back(atom_blk_id); + } } } diff --git a/vpr/src/pack/cluster_util.cpp b/vpr/src/pack/cluster_util.cpp index 0e12305dc70..3a9b650c5aa 100644 --- a/vpr/src/pack/cluster_util.cpp +++ b/vpr/src/pack/cluster_util.cpp @@ -3686,6 +3686,8 @@ void init_clb_atoms_lookup(vtr::vector Date: Thu, 16 Nov 2023 11:35:38 +0100 Subject: [PATCH 007/608] typo --- doc/src/vpr/basic_flow.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/src/vpr/basic_flow.rst b/doc/src/vpr/basic_flow.rst index b7bbe97eed8..00cd3a96661 100644 --- a/doc/src/vpr/basic_flow.rst +++ b/doc/src/vpr/basic_flow.rst @@ -4,7 +4,7 @@ Basic flow The Place and Route process in VPR consists of several steps: - Packing (combinines primitives into complex blocks) -- Placment (places complex blocks within the FPGA grid) +- Placement (places complex blocks within the FPGA grid) - Routing (determines interconnections between blocks) - Analysis (analyzes the implementation) From e2a8c21065d1393d2052b33e4a5181d47db8bd56 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 27 Nov 2023 10:59:43 -0500 Subject: [PATCH 008/608] create sparse router lookahead files --- vpr/src/route/router_lookahead_sparse_map.cpp | 3 +++ vpr/src/route/router_lookahead_sparse_map.h | 8 ++++++++ 2 files changed, 11 insertions(+) create mode 100644 vpr/src/route/router_lookahead_sparse_map.cpp create mode 100644 vpr/src/route/router_lookahead_sparse_map.h diff --git a/vpr/src/route/router_lookahead_sparse_map.cpp b/vpr/src/route/router_lookahead_sparse_map.cpp new file mode 100644 index 00000000000..4f85b357958 --- /dev/null +++ b/vpr/src/route/router_lookahead_sparse_map.cpp @@ -0,0 +1,3 @@ +// +// Created by amin on 11/27/23. +// diff --git a/vpr/src/route/router_lookahead_sparse_map.h b/vpr/src/route/router_lookahead_sparse_map.h new file mode 100644 index 00000000000..6056ea1b091 --- /dev/null +++ b/vpr/src/route/router_lookahead_sparse_map.h @@ -0,0 +1,8 @@ +// +// Created by amin on 11/27/23. +// + +#ifndef VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H +#define VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H + +#endif //VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H From 033d5c30ae9fab4102f25d8ef6173edc9b68e843 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 27 Nov 2023 11:09:39 -0500 Subject: [PATCH 009/608] rename inter_tile_pin_primitive_pin_delay to intra_tile_pin_primitive_pin_delay --- vpr/src/route/router_lookahead_map.cpp | 74 +++++++++++++------------- vpr/src/route/router_lookahead_map.h | 2 +- 2 files changed, 38 insertions(+), 38 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 6b69d95695e..98d6cf88706 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -220,23 +220,23 @@ Cost_Entry get_wire_cost_entry(e_rr_type rr_type, static void compute_router_wire_lookahead(const std::vector& segment_inf); /*** * @brief Compute the cost from pin to sinks of tiles - Compute the minimum cost to get to each tile sink from pins on the cluster - * @param inter_tile_pin_primitive_pin_delay + * @param intra_tile_pin_primitive_pin_delay * @param tile_min_cost * @param det_routing_arch * @param device_ctx */ -static void compute_tiles_lookahead(std::unordered_map& inter_tile_pin_primitive_pin_delay, +static void compute_tiles_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay, std::unordered_map>& tile_min_cost, const t_det_routing_arch& det_routing_arch, const DeviceContext& device_ctx); /*** * @brief Compute the cose from tile pins to tile sinks - * @param inter_tile_pin_primitive_pin_delay [physical_tile_type_idx][from_pin_ptc_num][sink_ptc_num] -> cost + * @param intra_tile_pin_primitive_pin_delay [physical_tile_type_idx][from_pin_ptc_num][sink_ptc_num] -> cost * @param physical_tile * @param det_routing_arch * @param delayless_switch */ -static void compute_tile_lookahead(std::unordered_map& inter_tile_pin_primitive_pin_delay, +static void compute_tile_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay, t_physical_tile_type_ptr physical_tile, const t_det_routing_arch& det_routing_arch, const int delayless_switch); @@ -245,11 +245,11 @@ static void compute_tile_lookahead(std::unordered_map min_cost * @param physical_tile - * @param inter_tile_pin_primitive_pin_delay [physical_tile_type_idx][from_pin_ptc_num][sink_ptc_num] -> cost + * @param intra_tile_pin_primitive_pin_delay [physical_tile_type_idx][from_pin_ptc_num][sink_ptc_num] -> cost */ static void store_min_cost_to_sinks(std::unordered_map>& tile_min_cost, t_physical_tile_type_ptr physical_tile, - const std::unordered_map& inter_tile_pin_primitive_pin_delay); + const std::unordered_map& intra_tile_pin_primitive_pin_delay); /*** * @brief Iterate over the first and second dimension of f_wire_cost_map to get the minimum cost for each dx and dy_ @@ -270,13 +270,13 @@ static std::pair get_cost_from_src_opin(const std::map& inter_tile_pin_primitive_pin_delay, +// Read the file and fill intra_tile_pin_primitive_pin_delay and tile_min_cost +static void read_intra_cluster_router_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay, const std::string& file); -// Write the file with inter_tile_pin_primitive_pin_delay and tile_min_cost +// Write the file with intra_tile_pin_primitive_pin_delay and tile_min_cost static void write_intra_cluster_router_lookahead(const std::string& file, - const std::unordered_map& inter_tile_pin_primitive_pin_delay); + const std::unordered_map& intra_tile_pin_primitive_pin_delay); /* returns index of a node from which to start routing */ static RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int target_y, t_rr_type rr_type, int seg_index, int track_offset); @@ -362,7 +362,7 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod if (node_in_same_physical_tile(current_node, target_node)) { delay_offset_cost = 0.; cong_offset_cost = 0.; - const auto& pin_delays = inter_tile_pin_primitive_pin_delay.at(from_physical_type->index)[from_node_ptc_num]; + const auto& pin_delays = intra_tile_pin_primitive_pin_delay.at(from_physical_type->index)[from_node_ptc_num]; auto pin_delay_itr = pin_delays.find(rr_graph.node_ptc_num(target_node)); if (pin_delay_itr == pin_delays.end()) { // There isn't any intra-cluster path to connect the current OPIN to the SINK, thus it has to outside. @@ -394,7 +394,7 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod } else if (from_rr_type == IPIN) { // we assume that route-through is not enabled. VTR_ASSERT(node_in_same_physical_tile(current_node, target_node)); - const auto& pin_delays = inter_tile_pin_primitive_pin_delay.at(from_physical_type->index)[from_node_ptc_num]; + const auto& pin_delays = intra_tile_pin_primitive_pin_delay.at(from_physical_type->index)[from_node_ptc_num]; auto pin_delay_itr = pin_delays.find(rr_graph.node_ptc_num(target_node)); if (pin_delay_itr == pin_delays.end()) { delay_cost = std::numeric_limits::max() / 1e12; @@ -544,11 +544,11 @@ void MapLookahead::compute(const std::vector& segment_inf) { void MapLookahead::compute_intra_tile() { is_flat_ = true; vtr::ScopedStartFinishTimer timer("Computing tile lookahead"); - VTR_ASSERT(inter_tile_pin_primitive_pin_delay.empty()); + VTR_ASSERT(intra_tile_pin_primitive_pin_delay.empty()); VTR_ASSERT(tile_min_cost.empty()); VTR_ASSERT(distance_based_min_cost.empty()); - compute_tiles_lookahead(inter_tile_pin_primitive_pin_delay, + compute_tiles_lookahead(intra_tile_pin_primitive_pin_delay, tile_min_cost, det_routing_arch_, g_vpr_ctx.device()); @@ -569,7 +569,7 @@ void MapLookahead::read_intra_cluster(const std::string& file) { is_flat_ = true; // Maps related to global resources should not be empty VTR_ASSERT(!f_wire_cost_map.empty()); - read_intra_cluster_router_lookahead(inter_tile_pin_primitive_pin_delay, + read_intra_cluster_router_lookahead(intra_tile_pin_primitive_pin_delay, file); const auto& tiles = g_vpr_ctx.device().physical_tile_types; @@ -579,7 +579,7 @@ void MapLookahead::read_intra_cluster(const std::string& file) { } store_min_cost_to_sinks(tile_min_cost, &tile, - inter_tile_pin_primitive_pin_delay); + intra_tile_pin_primitive_pin_delay); } // The information about distance_based_min_cost is not stored in the file, thus it needs to be computed @@ -592,7 +592,7 @@ void MapLookahead::write(const std::string& file) const { void MapLookahead::write_intra_cluster(const std::string& file) const { write_intra_cluster_router_lookahead(file, - inter_tile_pin_primitive_pin_delay); + intra_tile_pin_primitive_pin_delay); } /******** Function Definitions ********/ @@ -1372,7 +1372,7 @@ static void print_router_cost_map(const t_routing_cost_map& router_cost_map) { } } -static void compute_tiles_lookahead(std::unordered_map& inter_tile_pin_primitive_pin_delay, +static void compute_tiles_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay, std::unordered_map>& tile_min_cost, const t_det_routing_arch& det_routing_arch, const DeviceContext& device_ctx) { @@ -1383,17 +1383,17 @@ static void compute_tiles_lookahead(std::unordered_map& inter_tile_pin_primitive_pin_delay, +static void compute_tile_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay, t_physical_tile_type_ptr physical_tile, const t_det_routing_arch& det_routing_arch, const int delayless_switch) { @@ -1424,7 +1424,7 @@ static void compute_tile_lookahead(std::unordered_mapindex, pin_delays)); + auto insert_res = intra_tile_pin_primitive_pin_delay.insert(std::make_pair(physical_tile->index, pin_delays)); VTR_ASSERT(insert_res.second); rr_graph_builder.clear(); @@ -1432,8 +1432,8 @@ static void compute_tile_lookahead(std::unordered_map>& tile_min_cost, t_physical_tile_type_ptr physical_tile, - const std::unordered_map& inter_tile_pin_primitive_pin_delay) { - const auto& tile_pin_delays = inter_tile_pin_primitive_pin_delay.at(physical_tile->index); + const std::unordered_map& intra_tile_pin_primitive_pin_delay) { + const auto& tile_pin_delays = intra_tile_pin_primitive_pin_delay.at(physical_tile->index); std::unordered_map min_cost_map; for (auto& primitive_sink_pair : physical_tile->primitive_class_inf) { int primitive_sink = primitive_sink_pair.first; @@ -1571,13 +1571,13 @@ void write_router_lookahead(const std::string& file) { VPR_THROW(VPR_ERROR_PLACE, "MapLookahead::write " DISABLE_ERROR); } -static void read_intra_cluster_router_lookahead(std::unordered_map& /*inter_tile_pin_primitive_pin_delay*/, +static void read_intra_cluster_router_lookahead(std::unordered_map& /*intra_tile_pin_primitive_pin_delay*/, const std::string& /*file*/) { VPR_THROW(VPR_ERROR_PLACE, "MapLookahead::read_intra_cluster_router_lookahead " DISABLE_ERROR); } static void write_intra_cluster_router_lookahead(const std::string& /*file*/, - const std::unordered_map& /*inter_tile_pin_primitive_pin_delay*/) { + const std::unordered_map& /*intra_tile_pin_primitive_pin_delay*/) { VPR_THROW(VPR_ERROR_PLACE, "MapLookahead::write_intra_cluster_router_lookahead " DISABLE_ERROR); } @@ -1626,24 +1626,24 @@ static void fromPairEntry(::capnp::List::Buil static void getIntraClusterArrayFlatSize(int& num_tile_types, int& num_pins, int& num_sinks, - const std::unordered_map& inter_tile_pin_primitive_pin_delay) { + const std::unordered_map& intra_tile_pin_primitive_pin_delay) { const auto& physical_tile_types = g_vpr_ctx.device().physical_tile_types; num_tile_types = (int)physical_tile_types.size(); num_pins = 0; - for (const auto& tile_type : inter_tile_pin_primitive_pin_delay) { + for (const auto& tile_type : intra_tile_pin_primitive_pin_delay) { num_pins += (int)tile_type.second.size(); } num_sinks = 0; - for (const auto& tile_type : inter_tile_pin_primitive_pin_delay) { + for (const auto& tile_type : intra_tile_pin_primitive_pin_delay) { for (const auto& pin_sink : tile_type.second) { num_sinks += (int)pin_sink.size(); } } } -static void read_intra_cluster_router_lookahead(std::unordered_map& inter_tile_pin_primitive_pin_delay, +static void read_intra_cluster_router_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay, const std::string& file) { MmapFile f(file); @@ -1682,12 +1682,12 @@ static void read_intra_cluster_router_lookahead(std::unordered_map& inter_tile_pin_primitive_pin_delay) { + const std::unordered_map& intra_tile_pin_primitive_pin_delay) { ::capnp::MallocMessageBuilder builder; auto vpr_intra_cluster_lookahead_builder = builder.initRoot(); @@ -1696,11 +1696,11 @@ static void write_intra_cluster_router_lookahead(const std::string& file, getIntraClusterArrayFlatSize(num_tile_types, num_pins, num_sinks, - inter_tile_pin_primitive_pin_delay); + intra_tile_pin_primitive_pin_delay); std::vector physical_tile_num_pin_arr(num_tile_types, 0); { - for (const auto& physical_type : inter_tile_pin_primitive_pin_delay) { + for (const auto& physical_type : intra_tile_pin_primitive_pin_delay) { int physical_type_idx = physical_type.first; physical_tile_num_pin_arr[physical_type_idx] = (int)physical_type.second.size(); } @@ -1715,10 +1715,10 @@ static void write_intra_cluster_router_lookahead(const std::string& file, { int num_seen_pin = 0; for (int physical_tile_idx = 0; physical_tile_idx < num_tile_types; ++physical_tile_idx) { - if (inter_tile_pin_primitive_pin_delay.find(physical_tile_idx) == inter_tile_pin_primitive_pin_delay.end()) { + if (intra_tile_pin_primitive_pin_delay.find(physical_tile_idx) == intra_tile_pin_primitive_pin_delay.end()) { continue; } - for (const auto& pin_sinks : inter_tile_pin_primitive_pin_delay.at(physical_tile_idx)) { + for (const auto& pin_sinks : intra_tile_pin_primitive_pin_delay.at(physical_tile_idx)) { pin_num_sink_arr[num_seen_pin] = (int)pin_sinks.size(); ++num_seen_pin; } @@ -1736,7 +1736,7 @@ static void write_intra_cluster_router_lookahead(const std::string& file, int num_seen_pin = 0; for (int physical_tile_idx = 0; physical_tile_idx < num_tile_types; ++physical_tile_idx) { for (int pin_num = 0; pin_num < physical_tile_num_pin_arr[physical_tile_idx]; ++pin_num) { - const std::unordered_map& pin_sinks = inter_tile_pin_primitive_pin_delay.at(physical_tile_idx).at(pin_num); + const std::unordered_map& pin_sinks = intra_tile_pin_primitive_pin_delay.at(physical_tile_idx).at(pin_num); FromUnorderedMap( pin_sink_arr_builder, pin_sink_cost_builder, diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index 12d6eaa9ad9..da43fda9b90 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -14,7 +14,7 @@ class MapLookahead : public RouterLookahead { //Look-up table from SOURCE/OPIN to CHANX/CHANY of various types util::t_src_opin_delays src_opin_delays; // Lookup table from a tile pins to the primitive classes inside that tile - std::unordered_map inter_tile_pin_primitive_pin_delay; // [physical_tile_type][from_pin_physical_num][sink_physical_num] -> cost + std::unordered_map intra_tile_pin_primitive_pin_delay; // [physical_tile_type][from_pin_physical_num][sink_physical_num] -> cost // Lookup table to store the minimum cost to reach to a primitive pin from the root-level IPINs std::unordered_map> tile_min_cost; // [physical_tile_type][sink_physical_num] -> cost // Lookup table to store the minimum cost for each dx and dy From 3c6ce76e7b32fab8f590739ee83caba76942a347 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 27 Nov 2023 13:06:48 -0500 Subject: [PATCH 010/608] change name of sparse router lookahead to compressed router lookahead --- ...okahead_sparse_map.cpp => router_lookahead_compressed_map.cpp} | 0 ...r_lookahead_sparse_map.h => router_lookahead_compressed_map.h} | 0 2 files changed, 0 insertions(+), 0 deletions(-) rename vpr/src/route/{router_lookahead_sparse_map.cpp => router_lookahead_compressed_map.cpp} (100%) rename vpr/src/route/{router_lookahead_sparse_map.h => router_lookahead_compressed_map.h} (100%) diff --git a/vpr/src/route/router_lookahead_sparse_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp similarity index 100% rename from vpr/src/route/router_lookahead_sparse_map.cpp rename to vpr/src/route/router_lookahead_compressed_map.cpp diff --git a/vpr/src/route/router_lookahead_sparse_map.h b/vpr/src/route/router_lookahead_compressed_map.h similarity index 100% rename from vpr/src/route/router_lookahead_sparse_map.h rename to vpr/src/route/router_lookahead_compressed_map.h From fa7c5a94c186e703af4baedff637275172763199 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 27 Nov 2023 13:28:32 -0500 Subject: [PATCH 011/608] define the header for compressed router lookahead --- .../route/router_lookahead_compressed_map.cpp | 31 ++++++++++++ .../route/router_lookahead_compressed_map.h | 50 +++++++++++++++++++ 2 files changed, 81 insertions(+) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 4f85b357958..77af37f5d6f 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -1,3 +1,34 @@ // // Created by amin on 11/27/23. // + +#include +#include +#include +#include +#include "router_lookahead_compressed_map.h" +#include "connection_router_interface.h" +#include "vpr_types.h" +#include "vpr_error.h" +#include "vpr_utils.h" +#include "globals.h" +#include "vtr_math.h" +#include "vtr_log.h" +#include "vtr_assert.h" +#include "vtr_time.h" +#include "vtr_geometry.h" +#include "router_lookahead_map.h" +#include "router_lookahead_map_utils.h" +#include "rr_graph2.h" +#include "rr_graph.h" +#include "route_common.h" + + + + + + +/******** Interface class member function definitions ********/ +CompressedMapLookahead::CompressedMapLookahead(const t_det_routing_arch& det_routing_arch, bool is_flat) + : det_routing_arch_(det_routing_arch) + , is_flat_(is_flat) {} diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index 6056ea1b091..a659f892920 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -5,4 +5,54 @@ #ifndef VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H #define VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H +#pragma once + +#include +#include +#include "vtr_ndmatrix.h" +#include "router_lookahead.h" +#include "router_lookahead_map_utils.h" + +class CompressedMapLookahead : public RouterLookahead { + public: + explicit CompressedMapLookahead(const t_det_routing_arch& det_routing_arch, bool is_flat); + + private: + //Look-up table from SOURCE/OPIN to CHANX/CHANY of various types + util::t_src_opin_delays src_opin_delays; + // Lookup table to store the minimum cost for each dx and dy + vtr::NdMatrix distance_based_min_cost; // [layer_num][dx][dy] -> cost + + const t_det_routing_arch& det_routing_arch_; + bool is_flat_; + + protected: + float get_expected_cost(RRNodeId node, RRNodeId target_node, const t_conn_cost_params& params, float R_upstream) const override; + + std::pair get_expected_delay_and_cong(RRNodeId from_node, + RRNodeId to_node, + const t_conn_cost_params& params, + float R_upstream) const override; + + void compute(const std::vector& segment_inf) override; + + void compute_intra_tile() override { + VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::compute_intra_time unimplemented"); + } + + void read(const std::string& /*file*/) override; + + void read_intra_cluster(const std::string& /*file*/) { + VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::read_intra_cluster unimplemented"); + } + + void write(const std::string& /*file*/) const override { + VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::write unimplemented"); + } + + void write_intra_cluster(const std::string& /*file*/) const override { + VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::write_intra_cluster unimplemented"); + } +}; + #endif //VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H From 0bea93c332daba92135273868adc7685f8a1cb52 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 27 Nov 2023 16:16:55 -0500 Subject: [PATCH 012/608] vpr: implement get_sampling_points for compressed router lookahead --- .../route/router_lookahead_compressed_map.cpp | 63 ++++++++++++++++++- 1 file changed, 62 insertions(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 77af37f5d6f..cd42d80ef8c 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -24,11 +24,72 @@ #include "route_common.h" +static std::unordered_map> get_sampling_points(const std::vector& segment_inf); +static std::unordered_map> get_sampling_points(const std::vector& segment_inf) { -/******** Interface class member function definitions ********/ + const auto& grid = g_vpr_ctx.device().grid; + std::unordered_map> sampling_points; + + + int grid_width = grid.width(); + int grid_height = grid.height(); + + int max_seg_lenght = std::numeric_limits::min(); + int min_seg_length = std::numeric_limits::max(); + + for (const auto& segment : segment_inf) { + if (!segment.longline) { + max_seg_lenght = std::max(max_seg_lenght, segment.length); + min_seg_length = std::min(min_seg_length, segment.length); + } + } + VTR_ASSERT(max_seg_lenght != std::numeric_limits::min()); + VTR_ASSERT(min_seg_length != std::numeric_limits::max()); + + for (int x = 0; x < grid_width; ++x) { + for (int y = 0; y < grid_height; ++y) { + if (x > max_seg_lenght || y > max_seg_lenght) { + if (x <= 2*max_seg_lenght || y <= 2*max_seg_lenght) { + if (x%2 != 0 && y%2 != 0) { + continue; + } + } else if (x <= 4*max_seg_lenght || y <= 4*max_seg_lenght) { + if (x%4 != 0 && y%4 != 0) { + continue; + } + } else { + if (x%8 != 0 && y%8 != 0) { + continue; + } + } + + if (sampling_points.count(x) == 0) { + sampling_points[x] = std::unordered_set(); + } + sampling_points[x].insert(y); + } + } + } + + return sampling_points; +} + + + + + + + + + + + + + + /******** Interface class member function definitions ********/ CompressedMapLookahead::CompressedMapLookahead(const t_det_routing_arch& det_routing_arch, bool is_flat) : det_routing_arch_(det_routing_arch) , is_flat_(is_flat) {} From 9fd64b2bf68ec11f6144a1d00799239c8a838595 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 29 Nov 2023 13:46:41 -0500 Subject: [PATCH 013/608] specify the sampling point with the SamplingRegion --- .../route/router_lookahead_compressed_map.cpp | 93 ++++++++++++++----- 1 file changed, 68 insertions(+), 25 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index cd42d80ef8c..f4bb6fb15ad 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -24,14 +24,62 @@ #include "route_common.h" -static std::unordered_map> get_sampling_points(const std::vector& segment_inf); +struct SamplingRegion { + SamplingRegion() = default; + SamplingRegion(int x_max_, int y_max_, int x_min_, int y_min_, int x_step_, int y_step_) + : x_max(x_max_) + , y_max(y_max_) + , x_min(x_min_) + , y_min(y_min_) + , x_step(x_step_) + , y_step(y_step_) {} + int x_max = OPEN; + int y_max = OPEN; + int x_min = OPEN; + int y_min = OPEN; + int x_step = OPEN; + int y_step = OPEN; +}; +static std::vector get_sampling_points(const std::vector& segment_inf); -static std::unordered_map> get_sampling_points(const std::vector& segment_inf) { +static void compute_router_wire_lookahead(const std::vector& segment_inf) { + vtr::ScopedStartFinishTimer timer("Computing wire lookahead"); + + const auto& device_ctx = g_vpr_ctx.device(); + const auto& grid = device_ctx.grid; + + auto sampling_points = get_sampling_points(segment_inf); + + compressed_wire_cost_map = t_wire_cost_map({static_cast(grid.get_num_layers()), + 2, + segment_inf.size(), + static_cast(grid.get_num_layers()), + sampling_points.size(), + sampling_points[0].size()}); + + for (int compressed_x = 0; compressed_x < static_cast(sampling_points.size())-1; ++compressed_x) { + int curr_comp_x = sampling_points[compressed_x].first; + for (int compressed_y = 0; compressed_y < static_cast(sampling_points[compressed_x].size())-1; ++compressed_y) { + + int curr_comp_y = sampling_points[compressed_x].at(compressed_y); + int nxt_comp_x = sampling_points[compressed_x+1]; + + + + + } + } + + + +} + +static std::vector get_sampling_points(const std::vector& segment_inf) { const auto& grid = g_vpr_ctx.device().grid; - std::unordered_map> sampling_points; + std::vector sampling_regions; int grid_width = grid.width(); @@ -49,32 +97,27 @@ static std::unordered_map> get_sampling_points(cons VTR_ASSERT(max_seg_lenght != std::numeric_limits::min()); VTR_ASSERT(min_seg_length != std::numeric_limits::max()); - for (int x = 0; x < grid_width; ++x) { - for (int y = 0; y < grid_height; ++y) { - if (x > max_seg_lenght || y > max_seg_lenght) { - if (x <= 2*max_seg_lenght || y <= 2*max_seg_lenght) { - if (x%2 != 0 && y%2 != 0) { - continue; - } - } else if (x <= 4*max_seg_lenght || y <= 4*max_seg_lenght) { - if (x%4 != 0 && y%4 != 0) { - continue; - } - } else { - if (x%8 != 0 && y%8 != 0) { - continue; - } - } - - if (sampling_points.count(x) == 0) { - sampling_points[x] = std::unordered_set(); - } - sampling_points[x].insert(y); + for (int x = 0; x < grid_width; x+=max_seg_lenght) { + for (int y = 0; y < grid_height; y+=max_seg_lenght) { + SamplingRegion sampling_region(x + max_seg_lenght, y + max_seg_lenght, x, y, -1, -1); + if (x == 0 && y == 0) { + sampling_region.x_step = 1; + sampling_region.y_step = 1; + } else if (x < 2*max_seg_lenght && y < 2*max_seg_lenght) { + sampling_region.x_step = 2; + sampling_region.y_step = 2; + + } else if (x < 4*max_seg_lenght || y < 4*max_seg_lenght) { + sampling_region.x_step = 4; + sampling_region.y_step = 4; + } else { + sampling_region.x_step = 4; + sampling_region.y_step = 4; } } } - return sampling_points; + return sampling_regions; } From 8b2907c1f22702595881937371adb8d82b6af565 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 29 Nov 2023 14:37:36 -0500 Subject: [PATCH 014/608] sepcify only one step for samplingregion --- .../route/router_lookahead_compressed_map.cpp | 65 +++++++------------ 1 file changed, 23 insertions(+), 42 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index f4bb6fb15ad..ce1c847ab9b 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -26,20 +26,18 @@ struct SamplingRegion { SamplingRegion() = default; - SamplingRegion(int x_max_, int y_max_, int x_min_, int y_min_, int x_step_, int y_step_) + SamplingRegion(int x_max_, int y_max_, int x_min_, int y_min_, int step_) : x_max(x_max_) , y_max(y_max_) , x_min(x_min_) , y_min(y_min_) - , x_step(x_step_) - , y_step(y_step_) {} + , step(step_) {} int x_max = OPEN; int y_max = OPEN; int x_min = OPEN; int y_min = OPEN; - int x_step = OPEN; - int y_step = OPEN; + int step = OPEN; }; static std::vector get_sampling_points(const std::vector& segment_inf); @@ -50,30 +48,26 @@ static void compute_router_wire_lookahead(const std::vector& segm const auto& device_ctx = g_vpr_ctx.device(); const auto& grid = device_ctx.grid; - auto sampling_points = get_sampling_points(segment_inf); + auto sampling_regions = get_sampling_regions(segment_inf); + + size_t compresses_x_size = 0; + size_t compressed_y_size = 0; + for (const auto& sampling_region : sampling_regions) { + int step = sampling_region.step; + int num_x = (sampling_region.x_max - sampling_region.x_min) / step; + int num_y = (sampling_region.y_max - sampling_region.y_min) / step; + compresses_x_size += num_x; + compressed_y_size += num_y; + } compressed_wire_cost_map = t_wire_cost_map({static_cast(grid.get_num_layers()), 2, segment_inf.size(), static_cast(grid.get_num_layers()), - sampling_points.size(), - sampling_points[0].size()}); - - for (int compressed_x = 0; compressed_x < static_cast(sampling_points.size())-1; ++compressed_x) { - int curr_comp_x = sampling_points[compressed_x].first; - for (int compressed_y = 0; compressed_y < static_cast(sampling_points[compressed_x].size())-1; ++compressed_y) { - - int curr_comp_y = sampling_points[compressed_x].at(compressed_y); - int nxt_comp_x = sampling_points[compressed_x+1]; - - - - - } - } - - + compresses_x_size, + compressed_y_size}); + initialize_index_map(sampling_regions, compresses_x_size * compressed_y_size); } static std::vector get_sampling_points(const std::vector& segment_inf) { @@ -99,20 +93,16 @@ static std::vector get_sampling_points(const std::vector get_sampling_points(const std::vector Date: Wed, 29 Nov 2023 14:38:50 -0500 Subject: [PATCH 015/608] add cost entry to compressed router lookahead --- .../route/router_lookahead_compressed_map.h | 23 +++++++++++++++++-- 1 file changed, 21 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index a659f892920..d08c320124b 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -5,8 +5,6 @@ #ifndef VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H #define VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H -#pragma once - #include #include #include "vtr_ndmatrix.h" @@ -55,4 +53,25 @@ class CompressedMapLookahead : public RouterLookahead { } }; +class Cost_Entry { + public: + float delay; + float congestion; + + Cost_Entry() + : Cost_Entry(std::numeric_limits::quiet_NaN(), + std::numeric_limits::quiet_NaN()) { + } + + Cost_Entry(float set_delay, float set_congestion) { + delay = set_delay; + congestion = set_congestion; + } +}; + +typedef vtr::NdMatrix t_wire_cost_map; //[0..num_layers][0..1][[0..num_seg_types-1][0..num_layers][0..device_ctx.grid.width()-1][0..device_ctx.grid.height()-1] + //[0..1] entry distinguish between CHANX/CHANY start nodes respectively + // The first index is the layer number that the node under consideration is on, and the forth index + // is the layer number that the target node is on. + #endif //VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H From dfa49b3857a19d0846fe35e5bb34cec2e81e63dd Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 11:28:21 -0500 Subject: [PATCH 016/608] vpr: fix the bug in assignming step size for compressed router lookahead --- vpr/src/route/router_lookahead_compressed_map.cpp | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index ce1c847ab9b..a3264e13d6f 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -93,17 +93,17 @@ static std::vector get_sampling_points(const std::vector Date: Thu, 30 Nov 2023 11:48:53 -0500 Subject: [PATCH 017/608] vpr: implement initialize_sample_locations compressed router lookahead --- .../route/router_lookahead_compressed_map.cpp | 69 ++++++++++++++++--- 1 file changed, 59 insertions(+), 10 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index a3264e13d6f..76d1bb12ba3 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -23,6 +23,9 @@ #include "rr_graph.h" #include "route_common.h" +vtr::Matrix compressed_loc_index_map; +std::unordered_map> sample_locations; + struct SamplingRegion { SamplingRegion() = default; @@ -36,11 +39,63 @@ struct SamplingRegion { int y_max = OPEN; int x_min = OPEN; int y_min = OPEN; - int step = OPEN; + + int width() const { + return x_max - x_min; + } + + int height() const { + return y_max - y_min; + } }; -static std::vector get_sampling_points(const std::vector& segment_inf); +static std::vector get_sampling_regions(const std::vector& segment_inf); + +static void initialize_sample_locations(const std::vector& sampling_regions, size_t num_sampling_points); + +static void initialize_index_map(const std::vector& sampling_regions, size_t num_sampling_points); + +static void initialize_sample_locations(std::vector& sampling_regions, size_t num_sampling_points) { + std::sort(sampling_regions.begin(), sampling_regions.end(), [](const SamplingRegion& a, const SamplingRegion& b) { + VTR_ASSERT_DEBUG(a.width() == b.width() && a.height() == b.height()); + VTR_ASSERT_DEBUG(a.height() != 0 && b.height() != 0); + + if(a.y_max <= b.y_min) { + return true; + } else if (b.y_max <= a.y_min) { + return false; + } else{ + VTR_ASSERT_DEBUG(a.y_min == b.y_min); + if (a.x_min < b.x_min) { + return true; + } else { + return false; + } + } + }); + + int sample_point_num = 0; + for (const auto& sample_region: sampling_regions) { + int step = sample_region.step; + int x_max = sample_region.x_max; + int y_max = sample_region.y_max; + int x_min = sample_region.x_min; + int y_min = sample_region.y_min; + for (int x = sample_region.x_min; x < x_max; x += step) { + for (int y = y_min; y < y_min; y += step) { + if (sample_locations.count(x) == 0) { + sample_locations[x] = std::unordered_set(); + } + sample_locations[x].insert(y); + } + } + } + VTR_ASSERT_DEBUG(sample_point_num == num_sampling_points); +} + +static void initialize_index_map(const std::vector& sampling_regions, size_t num_sampling_points) { +} static void compute_router_wire_lookahead(const std::vector& segment_inf) { vtr::ScopedStartFinishTimer timer("Computing wire lookahead"); @@ -60,17 +115,11 @@ static void compute_router_wire_lookahead(const std::vector& segm compressed_y_size += num_y; } - compressed_wire_cost_map = t_wire_cost_map({static_cast(grid.get_num_layers()), - 2, - segment_inf.size(), - static_cast(grid.get_num_layers()), - compresses_x_size, - compressed_y_size}); - initialize_index_map(sampling_regions, compresses_x_size * compressed_y_size); + initialize_sample_locations(sampling_regions, compresses_x_size * compressed_y_size); } -static std::vector get_sampling_points(const std::vector& segment_inf) { +static std::vector get_sampling_regions(const std::vector& segment_inf) { const auto& grid = g_vpr_ctx.device().grid; std::vector sampling_regions; From 5617d4da6b34b71279bce945ebaf4c0a13f94add Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 11:56:03 -0500 Subject: [PATCH 018/608] vpr: initialize compressed_loc_index_map compressed router lookahead --- .../route/router_lookahead_compressed_map.cpp | 22 ++++++++----------- 1 file changed, 9 insertions(+), 13 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 76d1bb12ba3..ce3478d67a2 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -50,13 +50,11 @@ struct SamplingRegion { } }; -static std::vector get_sampling_regions(const std::vector& segment_inf); - -static void initialize_sample_locations(const std::vector& sampling_regions, size_t num_sampling_points); +static void initialize_compressed_loc_structs(std::vector& sampling_regions, int num_sampling_points); -static void initialize_index_map(const std::vector& sampling_regions, size_t num_sampling_points); +static std::vector get_sampling_regions(const std::vector& segment_inf); -static void initialize_sample_locations(std::vector& sampling_regions, size_t num_sampling_points) { +static void initialize_compressed_loc_structs(std::vector& sampling_regions, int num_sampling_points) { std::sort(sampling_regions.begin(), sampling_regions.end(), [](const SamplingRegion& a, const SamplingRegion& b) { VTR_ASSERT_DEBUG(a.width() == b.width() && a.height() == b.height()); VTR_ASSERT_DEBUG(a.height() != 0 && b.height() != 0); @@ -88,13 +86,12 @@ static void initialize_sample_locations(std::vector& sampling_re sample_locations[x] = std::unordered_set(); } sample_locations[x].insert(y); + compressed_loc_index_map[x][y] = sample_point_num; + sample_point_num++; } } } - VTR_ASSERT_DEBUG(sample_point_num == num_sampling_points); -} - -static void initialize_index_map(const std::vector& sampling_regions, size_t num_sampling_points) { + VTR_ASSERT(sample_point_num == num_sampling_points); } static void compute_router_wire_lookahead(const std::vector& segment_inf) { @@ -105,8 +102,8 @@ static void compute_router_wire_lookahead(const std::vector& segm auto sampling_regions = get_sampling_regions(segment_inf); - size_t compresses_x_size = 0; - size_t compressed_y_size = 0; + int compresses_x_size = 0; + int compressed_y_size = 0; for (const auto& sampling_region : sampling_regions) { int step = sampling_region.step; int num_x = (sampling_region.x_max - sampling_region.x_min) / step; @@ -115,8 +112,7 @@ static void compute_router_wire_lookahead(const std::vector& segm compressed_y_size += num_y; } - initialize_index_map(sampling_regions, compresses_x_size * compressed_y_size); - initialize_sample_locations(sampling_regions, compresses_x_size * compressed_y_size); + initialize_compressed_loc_structs(sampling_regions, compresses_x_size * compressed_y_size); } static std::vector get_sampling_regions(const std::vector& segment_inf) { From 5517286f304391e679e0353f6eb2275db17f1126 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 12:28:25 -0500 Subject: [PATCH 019/608] move MAX_TRACK_OFFSET and REPRESENTATIVE_ENTRY_METHOD macros to map_utils --- vpr/src/route/router_lookahead_map.cpp | 9 --------- vpr/src/route/router_lookahead_map_utils.h | 9 +++++++++ 2 files changed, 9 insertions(+), 9 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 98d6cf88706..5322e2a4ee0 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -50,15 +50,6 @@ # include "serdes_utils.h" #endif /* VTR_ENABLE_CAPNPROTO */ -/* we will profile delay/congestion using this many tracks for each wire type */ -#define MAX_TRACK_OFFSET 16 - -/* we're profiling routing cost over many tracks for each wire type, so we'll have many cost entries at each |dx|,|dy| offset. - * there are many ways to "boil down" the many costs at each offset to a single entry for a given (wire type, chan_type) combination -- - * we can take the smallest cost, the average, median, etc. This define selects the method we use. - * See e_representative_entry_method */ -#define REPRESENTATIVE_ENTRY_METHOD SMALLEST - /* when a list of delay/congestion entries at a coordinate in Cost_Entry is boiled down to a single * representative entry, this enum is passed-in to specify how that representative entry should be * calculated */ diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index 7f1f83c1848..b0f2c8bd28f 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -25,6 +25,15 @@ #include "rr_node.h" #include "rr_graph_view.h" +/* we will profile delay/congestion using this many tracks for each wire type */ +#define MAX_TRACK_OFFSET 16 + +/* we're profiling routing cost over many tracks for each wire type, so we'll have many cost entries at each |dx|,|dy| offset. + * there are many ways to "boil down" the many costs at each offset to a single entry for a given (wire type, chan_type) combination -- + * we can take the smallest cost, the average, median, etc. This define selects the method we use. + * See e_representative_entry_method */ +#define REPRESENTATIVE_ENTRY_METHOD SMALLEST + namespace util { /* when a list of delay/congestion entries at a coordinate in Cost_Entry is boiled down to a single From fe6485ae8415be3b46af11a666822480303a54ac Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 12:33:22 -0500 Subject: [PATCH 020/608] vpr: move get_start_node to map utils --- vpr/src/route/router_lookahead_map.cpp | 46 +------------------- vpr/src/route/router_lookahead_map_utils.cpp | 42 ++++++++++++++++++ vpr/src/route/router_lookahead_map_utils.h | 3 ++ 3 files changed, 46 insertions(+), 45 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 5322e2a4ee0..cc3056f719f 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -269,8 +269,6 @@ static void read_intra_cluster_router_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay); -/* returns index of a node from which to start routing */ -static RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int target_y, t_rr_type rr_type, int seg_index, int track_offset); /* runs Dijkstra's algorithm from specified node until all nodes have been visited. Each time a pin is visited, the delay/congestion information * to that pin is stored is added to an entry in the routing_cost_map */ static void run_dijkstra(RRNodeId start_node, @@ -672,7 +670,7 @@ static void compute_router_wire_lookahead(const std::vector& segm for (int track_offset = 0; track_offset < MAX_TRACK_OFFSET; track_offset += 2) { /* get the rr node index from which to start routing */ - RRNodeId start_node = get_start_node(from_layer_num, sample_x, sample_y, + RRNodeId start_node = util::get_start_node(from_layer_num, sample_x, sample_y, target_x, target_y, //non-corner upper right chan_type, iseg, track_offset); @@ -763,48 +761,6 @@ static void compute_router_wire_lookahead(const std::vector& segm } } -/* returns index of a node from which to start routing */ -static RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int target_y, t_rr_type rr_type, int seg_index, int track_offset) { - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - const auto& node_lookup = rr_graph.node_lookup(); - - RRNodeId result = RRNodeId::INVALID(); - - if (rr_type != CHANX && rr_type != CHANY) { - VPR_FATAL_ERROR(VPR_ERROR_ROUTE, "Must start lookahead routing from CHANX or CHANY node\n"); - } - - /* determine which direction the wire should go in based on the start & target coordinates */ - Direction direction = Direction::INC; - if ((rr_type == CHANX && target_x < start_x) || (rr_type == CHANY && target_y < start_y)) { - direction = Direction::DEC; - } - - int start_lookup_x = start_x; - int start_lookup_y = start_y; - - /* find first node in channel that has specified segment index and goes in the desired direction */ - for (const RRNodeId& node_id : node_lookup.find_channel_nodes(layer, start_lookup_x, start_lookup_y, rr_type)) { - VTR_ASSERT(rr_graph.node_type(node_id) == rr_type); - - Direction node_direction = rr_graph.node_direction(node_id); - auto node_cost_ind = rr_graph.node_cost_index(node_id); - int node_seg_ind = device_ctx.rr_indexed_data[node_cost_ind].seg_index; - - if ((node_direction == direction || node_direction == Direction::BIDIR) && node_seg_ind == seg_index) { - /* found first track that has the specified segment index and goes in the desired direction */ - result = node_id; - if (track_offset == 0) { - break; - } - track_offset -= 2; - } - } - - return result; -} - /* runs Dijkstra's algorithm from specified node until all nodes have been visited. Each time a pin is visited, the delay/congestion information * to that pin is stored is added to an entry in the routing_cost_map */ static void run_dijkstra(RRNodeId start_node, diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 9ffe2433c29..3fefeada81c 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -494,6 +494,48 @@ t_ipin_primitive_sink_delays compute_intra_tile_dijkstra(const RRGraphView& rr_g return pin_delays; } +/* returns index of a node from which to start routing */ +RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int target_y, t_rr_type rr_type, int seg_index, int track_offset) { + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + const auto& node_lookup = rr_graph.node_lookup(); + + RRNodeId result = RRNodeId::INVALID(); + + if (rr_type != CHANX && rr_type != CHANY) { + VPR_FATAL_ERROR(VPR_ERROR_ROUTE, "Must start lookahead routing from CHANX or CHANY node\n"); + } + + /* determine which direction the wire should go in based on the start & target coordinates */ + Direction direction = Direction::INC; + if ((rr_type == CHANX && target_x < start_x) || (rr_type == CHANY && target_y < start_y)) { + direction = Direction::DEC; + } + + int start_lookup_x = start_x; + int start_lookup_y = start_y; + + /* find first node in channel that has specified segment index and goes in the desired direction */ + for (const RRNodeId& node_id : node_lookup.find_channel_nodes(layer, start_lookup_x, start_lookup_y, rr_type)) { + VTR_ASSERT(rr_graph.node_type(node_id) == rr_type); + + Direction node_direction = rr_graph.node_direction(node_id); + auto node_cost_ind = rr_graph.node_cost_index(node_id); + int node_seg_ind = device_ctx.rr_indexed_data[node_cost_ind].seg_index; + + if ((node_direction == direction || node_direction == Direction::BIDIR) && node_seg_ind == seg_index) { + /* found first track that has the specified segment index and goes in the desired direction */ + result = node_id; + if (track_offset == 0) { + break; + } + track_offset -= 2; + } + } + + return result; +} + } // namespace util static void dijkstra_flood_to_wires(int itile, diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index b0f2c8bd28f..50b3a0738e3 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -313,6 +313,9 @@ t_ipin_primitive_sink_delays compute_intra_tile_dijkstra(const RRGraphView& rr_g int x, int y); +/* returns index of a node from which to start routing */ +RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int target_y, t_rr_type rr_type, int seg_index, int track_offset); + } // namespace util #endif From 6fcafacc129501d7326ba9df2a350f8c6c057070 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 14:48:51 -0500 Subject: [PATCH 021/608] delete util::pq_entry since it was not being used --- .../route/router_lookahead_compressed_map.cpp | 1 + vpr/src/route/router_lookahead_map_utils.cpp | 46 ------------------- vpr/src/route/router_lookahead_map_utils.h | 20 +------- 3 files changed, 2 insertions(+), 65 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index ce3478d67a2..71d54799054 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -98,6 +98,7 @@ static void compute_router_wire_lookahead(const std::vector& segm vtr::ScopedStartFinishTimer timer("Computing wire lookahead"); const auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; const auto& grid = device_ctx.grid; auto sampling_regions = get_sampling_regions(segment_inf); diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 3fefeada81c..75534afd046 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -69,52 +69,6 @@ static void run_intra_tile_dijkstra(const RRGraphView& rr_graph, namespace util { -PQ_Entry::PQ_Entry( - RRNodeId set_rr_node, - int switch_ind, - float parent_delay, - float parent_R_upstream, - float parent_congestion_upstream, - bool starting_node, - float Tsw_adjust) { - this->rr_node = set_rr_node; - - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - this->delay = parent_delay; - this->congestion_upstream = parent_congestion_upstream; - this->R_upstream = parent_R_upstream; - if (!starting_node) { - float Tsw = rr_graph.rr_switch_inf(RRSwitchId(switch_ind)).Tdel; - Tsw += Tsw_adjust; - VTR_ASSERT(Tsw >= 0.f); - float Rsw = rr_graph.rr_switch_inf(RRSwitchId(switch_ind)).R; - float Cnode = rr_graph.node_C(set_rr_node); - float Rnode = rr_graph.node_R(set_rr_node); - - float T_linear = 0.f; - if (rr_graph.rr_switch_inf(RRSwitchId(switch_ind)).buffered()) { - T_linear = Tsw + Rsw * Cnode + 0.5 * Rnode * Cnode; - } else { /* Pass transistor */ - T_linear = Tsw + 0.5 * Rsw * Cnode; - } - - float base_cost = 0.f; - if (rr_graph.rr_switch_inf(RRSwitchId(switch_ind)).configurable()) { - base_cost = get_single_rr_cong_base_cost(set_rr_node); - } - - VTR_ASSERT(T_linear >= 0.); - VTR_ASSERT(base_cost >= 0.); - this->delay += T_linear; - - this->congestion_upstream += base_cost; - } - - /* set the cost of this node */ - this->cost = this->delay; -} - util::PQ_Entry_Delay::PQ_Entry_Delay( RRNodeId set_rr_node, int switch_ind, diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index 50b3a0738e3..5161b0cafd8 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -24,6 +24,7 @@ #include "vtr_geometry.h" #include "rr_node.h" #include "rr_graph_view.h" +#include "globals.h" /* we will profile delay/congestion using this many tracks for each wire type */ #define MAX_TRACK_OFFSET 16 @@ -183,25 +184,6 @@ struct HashRoutingCostKey { // Map used to store intermediate routing costs typedef std::unordered_map RoutingCosts; -/* a class that represents an entry in the Dijkstra expansion priority queue */ -class PQ_Entry { - public: - RRNodeId rr_node; //index in device_ctx.rr_nodes that this entry represents - float cost; //the cost of the path to get to this node - - /* store backward delay, R and congestion info */ - float delay; - float R_upstream; - float congestion_upstream; - - PQ_Entry(RRNodeId set_rr_node, int /*switch_ind*/, float parent_delay, float parent_R_upstream, float parent_congestion_upstream, bool starting_node, float Tsw_adjust); - - bool operator<(const PQ_Entry& obj) const { - /* inserted into max priority queue so want queue entries with a lower cost to be greater */ - return (this->cost > obj.cost); - } -}; - // A version of PQ_Entry that only calculates and stores the delay. class PQ_Entry_Delay { public: From 3314de1479b19be4dbe9ef8933efee78f0eeb472 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 14:49:21 -0500 Subject: [PATCH 022/608] move t_dijkstra_data to map_utils --- vpr/src/route/router_lookahead_map.cpp | 12 +----------- vpr/src/route/router_lookahead_map_utils.h | 10 ++++++++++ 2 files changed, 11 insertions(+), 11 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index cc3056f719f..210b04c1c59 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -180,16 +180,6 @@ class PQ_Entry { * the list at each coordinate is later boiled down to a single representative cost entry to be stored in the final cost map */ typedef vtr::NdMatrix t_routing_cost_map; //[0..num_layers][0..device_ctx.grid.width()-1][0..device_ctx.grid.height()-1] -struct t_dijkstra_data { - /* a list of boolean flags (one for each rr node) to figure out if a certain node has already been expanded */ - vtr::vector node_expanded; - /* for each node keep a list of the cost with which that node has been visited (used to determine whether to push - * a candidate node onto the expansion queue */ - vtr::vector node_visited_costs; - /* a priority queue for expansion */ - std::priority_queue pq; -}; - /******** File-Scope Variables ********/ //Look-up table from CHANX/CHANY (to SINKs) for various distances @@ -767,7 +757,7 @@ static void run_dijkstra(RRNodeId start_node, int start_x, int start_y, t_routing_cost_map& routing_cost_map, - t_dijkstra_data* data) { + util::t_dijkstra_data* data) { auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index 5161b0cafd8..32908e9d70a 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -37,6 +37,16 @@ namespace util { +struct t_dijkstra_data { + /* a list of boolean flags (one for each rr node) to figure out if a certain node has already been expanded */ + vtr::vector node_expanded; + /* for each node keep a list of the cost with which that node has been visited (used to determine whether to push + * a candidate node onto the expansion queue */ + vtr::vector node_visited_costs; + /* a priority queue for expansion */ + std::priority_queue pq; +}; + /* when a list of delay/congestion entries at a coordinate in Cost_Entry is boiled down to a single * representative entry, this enum is passed-in to specify how that representative entry should be * calculated */ From e0480651e6ab1867553f29b69ce2e8624fa94284 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 14:52:54 -0500 Subject: [PATCH 023/608] vpr: move pq_entry to map utils --- vpr/src/route/router_lookahead_map.cpp | 73 +++------------------- vpr/src/route/router_lookahead_map_utils.h | 53 ++++++++++++++++ 2 files changed, 63 insertions(+), 63 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 210b04c1c59..a027e6743b6 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -123,59 +123,6 @@ class Expansion_Cost_Entry { } }; -/* a class that represents an entry in the Dijkstra expansion priority queue */ -class PQ_Entry { - public: - RRNodeId rr_node; //index in device_ctx.rr_nodes that this entry represents - float cost; //the cost of the path to get to this node - - /* store backward delay, R and congestion info */ - float delay; - float R_upstream; - float congestion_upstream; - - PQ_Entry(RRNodeId set_rr_node, int /*switch_ind*/, float parent_delay, float parent_R_upstream, float parent_congestion_upstream, bool starting_node) { - this->rr_node = set_rr_node; - - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - this->delay = parent_delay; - this->congestion_upstream = parent_congestion_upstream; - this->R_upstream = parent_R_upstream; - if (!starting_node) { - auto cost_index = rr_graph.node_cost_index(RRNodeId(set_rr_node)); - //this->delay += rr_graph.node_C(RRNodeId(set_rr_node)) * (g_rr_switch_inf[switch_ind].R + 0.5*rr_graph.node_R(RRNodeId(set_rr_node))) + - // g_rr_switch_inf[switch_ind].Tdel; - - //FIXME going to use the delay data that the VPR7 lookahead uses. For some reason the delay calculation above calculates - // a value that's just a little smaller compared to what VPR7 lookahead gets. While the above calculation should be more accurate, - // I have found that it produces the same CPD results but with worse runtime. - // - // TODO: figure out whether anything's wrong with the calculation above and use that instead. If not, add the other - // terms like T_quadratic and R_upstream to the calculation below (they are == 0 or UNDEFINED for buffered archs I think) - - //NOTE: We neglect the T_quadratic and C_load terms and Switch R, so this lookahead is likely - // less accurate on unbuffered (e.g. pass-gate) architectures - - this->delay += device_ctx.rr_indexed_data[cost_index].T_linear; - - this->congestion_upstream += device_ctx.rr_indexed_data[cost_index].base_cost; - } - - if (this->delay < 0) { - VTR_LOG("NEGATIVE DELAY!\n"); - } - - /* set the cost of this node */ - this->cost = this->delay; - } - - bool operator<(const PQ_Entry& obj) const { - /* inserted into max priority queue so want queue entries with a lower cost to be greater */ - return (this->cost > obj.cost); - } -}; - /* used during Dijkstra expansion to store delay/congestion info lists for each relative coordinate for a given segment and channel type. * the list at each coordinate is later boiled down to a single representative cost entry to be stored in the final cost map */ typedef vtr::NdMatrix t_routing_cost_map; //[0..num_layers][0..device_ctx.grid.width()-1][0..device_ctx.grid.height()-1] @@ -265,12 +212,12 @@ static void run_dijkstra(RRNodeId start_node, int start_x, int start_y, t_routing_cost_map& routing_cost_map, - t_dijkstra_data* data); + util::t_dijkstra_data* data); /* iterates over the children of the specified node and selectively pushes them onto the priority queue */ -static void expand_dijkstra_neighbours(PQ_Entry parent_entry, +static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, vtr::vector& node_visited_costs, vtr::vector& node_expanded, - std::priority_queue& pq); + std::priority_queue& pq); /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, t_routing_cost_map& routing_cost_map); /* fills in missing lookahead map entries by copying the cost of the closest valid entry */ @@ -706,7 +653,7 @@ static void compute_router_wire_lookahead(const std::vector& segm //Finally, now that we have a list of sample locations, run a Djikstra flood from //each sample location to profile the routing network from this type - t_dijkstra_data dijkstra_data; + util::t_dijkstra_data dijkstra_data; t_routing_cost_map routing_cost_map({static_cast(device_ctx.grid.get_num_layers()), device_ctx.grid.width(), device_ctx.grid.height()}); for (e_rr_type chan_type : chan_types) { @@ -770,7 +717,7 @@ static void run_dijkstra(RRNodeId start_node, std::fill(node_visited_costs.begin(), node_visited_costs.end(), -1.0); /* a priority queue for expansion */ - std::priority_queue& pq = data->pq; + std::priority_queue& pq = data->pq; //Clear priority queue if non-empty while (!pq.empty()) { @@ -778,13 +725,13 @@ static void run_dijkstra(RRNodeId start_node, } /* first entry has no upstream delay or congestion */ - PQ_Entry first_entry(start_node, UNDEFINED, 0, 0, 0, true); + util::PQ_Entry first_entry(start_node, UNDEFINED, 0, 0, 0, true); pq.push(first_entry); /* now do routing */ while (!pq.empty()) { - PQ_Entry current = pq.top(); + util::PQ_Entry current = pq.top(); pq.pop(); RRNodeId curr_node = current.rr_node; @@ -818,10 +765,10 @@ static void run_dijkstra(RRNodeId start_node, } /* iterates over the children of the specified node and selectively pushes them onto the priority queue */ -static void expand_dijkstra_neighbours(PQ_Entry parent_entry, +static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, vtr::vector& node_visited_costs, vtr::vector& node_expanded, - std::priority_queue& pq) { + std::priority_queue& pq) { auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; @@ -848,7 +795,7 @@ static void expand_dijkstra_neighbours(PQ_Entry parent_entry, continue; } - PQ_Entry child_entry(child_node, switch_ind, parent_entry.delay, + util::PQ_Entry child_entry(child_node, switch_ind, parent_entry.delay, parent_entry.R_upstream, parent_entry.congestion_upstream, false); //VTR_ASSERT(child_entry.cost >= 0); //Asertion fails in practise. TODO: debug diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index 32908e9d70a..d0aa3f03f73 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -37,6 +37,59 @@ namespace util { +/* a class that represents an entry in the Dijkstra expansion priority queue */ +class PQ_Entry { + public: + RRNodeId rr_node; //index in device_ctx.rr_nodes that this entry represents + float cost; //the cost of the path to get to this node + + /* store backward delay, R and congestion info */ + float delay; + float R_upstream; + float congestion_upstream; + + PQ_Entry(RRNodeId set_rr_node, int /*switch_ind*/, float parent_delay, float parent_R_upstream, float parent_congestion_upstream, bool starting_node) { + this->rr_node = set_rr_node; + + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + this->delay = parent_delay; + this->congestion_upstream = parent_congestion_upstream; + this->R_upstream = parent_R_upstream; + if (!starting_node) { + auto cost_index = rr_graph.node_cost_index(RRNodeId(set_rr_node)); + //this->delay += rr_graph.node_C(RRNodeId(set_rr_node)) * (g_rr_switch_inf[switch_ind].R + 0.5*rr_graph.node_R(RRNodeId(set_rr_node))) + + // g_rr_switch_inf[switch_ind].Tdel; + + //FIXME going to use the delay data that the VPR7 lookahead uses. For some reason the delay calculation above calculates + // a value that's just a little smaller compared to what VPR7 lookahead gets. While the above calculation should be more accurate, + // I have found that it produces the same CPD results but with worse runtime. + // + // TODO: figure out whether anything's wrong with the calculation above and use that instead. If not, add the other + // terms like T_quadratic and R_upstream to the calculation below (they are == 0 or UNDEFINED for buffered archs I think) + + //NOTE: We neglect the T_quadratic and C_load terms and Switch R, so this lookahead is likely + // less accurate on unbuffered (e.g. pass-gate) architectures + + this->delay += device_ctx.rr_indexed_data[cost_index].T_linear; + + this->congestion_upstream += device_ctx.rr_indexed_data[cost_index].base_cost; + } + + if (this->delay < 0) { + VTR_LOG("NEGATIVE DELAY!\n"); + } + + /* set the cost of this node */ + this->cost = this->delay; + } + + bool operator<(const PQ_Entry& obj) const { + /* inserted into max priority queue so want queue entries with a lower cost to be greater */ + return (this->cost > obj.cost); + } +}; + struct t_dijkstra_data { /* a list of boolean flags (one for each rr node) to figure out if a certain node has already been expanded */ vtr::vector node_expanded; From 0387e829a215e6cff40db943807e826b99a3f6d3 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 15:36:28 -0500 Subject: [PATCH 024/608] vpr: remove/edit all data structures that has moved to map utils --- vpr/src/route/router_lookahead_map.cpp | 280 ++++--------------------- 1 file changed, 38 insertions(+), 242 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index a027e6743b6..e8d4818eb75 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -61,72 +61,6 @@ enum e_representative_entry_method { MEDIAN }; -/* a class that stores delay/congestion information for a given relative coordinate during the Dijkstra expansion. - * since it stores multiple cost entries, it is later boiled down to a single representative cost entry to be stored - * in the final lookahead cost map */ -class Expansion_Cost_Entry { - public: - std::vector cost_vector; - - private: - Cost_Entry get_smallest_entry(); - Cost_Entry get_average_entry(); - Cost_Entry get_geomean_entry(); - Cost_Entry get_median_entry(); - - public: - void add_cost_entry(float add_delay, float add_congestion) { - Cost_Entry cost_entry(add_delay, add_congestion); - if (REPRESENTATIVE_ENTRY_METHOD == SMALLEST) { - /* taking the smallest-delay entry anyway, so no need to push back multple entries */ - if (this->cost_vector.empty()) { - this->cost_vector.push_back(cost_entry); - } else { - if (add_delay < this->cost_vector[0].delay) { - this->cost_vector[0] = cost_entry; - } - } - } else { - this->cost_vector.push_back(cost_entry); - } - } - void clear_cost_entries() { - this->cost_vector.clear(); - } - - Cost_Entry get_representative_cost_entry(e_representative_entry_method method) { - float nan = std::numeric_limits::quiet_NaN(); - Cost_Entry entry(nan, nan); - - if (!cost_vector.empty()) { - switch (method) { - case FIRST: - entry = cost_vector[0]; - break; - case SMALLEST: - entry = this->get_smallest_entry(); - break; - case AVERAGE: - entry = this->get_average_entry(); - break; - case GEOMEAN: - entry = this->get_geomean_entry(); - break; - case MEDIAN: - entry = this->get_median_entry(); - break; - default: - break; - } - } - return entry; - } -}; - -/* used during Dijkstra expansion to store delay/congestion info lists for each relative coordinate for a given segment and channel type. - * the list at each coordinate is later boiled down to a single representative cost entry to be stored in the final cost map */ -typedef vtr::NdMatrix t_routing_cost_map; //[0..num_layers][0..device_ctx.grid.width()-1][0..device_ctx.grid.height()-1] - /******** File-Scope Variables ********/ //Look-up table from CHANX/CHANY (to SINKs) for various distances @@ -138,12 +72,12 @@ t_wire_cost_map f_wire_cost_map; * @brief Fill f_wire_cost_map. It is a look-up table from CHANX/CHANY (to SINKs) for various distances * @param segment_inf */ -Cost_Entry get_wire_cost_entry(e_rr_type rr_type, - int seg_index, - int from_layer_num, - int delta_x, - int delta_y, - int to_layer_num); +util::Cost_Entry get_wire_cost_entry(e_rr_type rr_type, + int seg_index, + int from_layer_num, + int delta_x, + int delta_y, + int to_layer_num); static void compute_router_wire_lookahead(const std::vector& segment_inf); /*** @@ -211,7 +145,7 @@ static void write_intra_cluster_router_lookahead(const std::string& file, static void run_dijkstra(RRNodeId start_node, int start_x, int start_y, - t_routing_cost_map& routing_cost_map, + util::t_routing_cost_map& routing_cost_map, util::t_dijkstra_data* data); /* iterates over the children of the specified node and selectively pushes them onto the priority queue */ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, @@ -219,11 +153,11 @@ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, vtr::vector& node_expanded, std::priority_queue& pq); /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ -static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, t_routing_cost_map& routing_cost_map); +static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map); /* fills in missing lookahead map entries by copying the cost of the closest valid entry */ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_type); /* returns a cost entry in the f_wire_cost_map that is near the specified coordinates (and preferably towards (0,0)) */ -static Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, int to_layer_num, int segment_index, int chan_index); +static util::Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, int to_layer_num, int segment_index, int chan_index); /* returns the absolute delta_x and delta_y offset required to reach to_node from from_node */ static void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_x, int* delta_y); static void adjust_rr_position(const RRNodeId rr, int& x, int& y); @@ -231,9 +165,6 @@ static void adjust_rr_pin_position(const RRNodeId rr, int& x, int& y); static void adjust_rr_wire_position(const RRNodeId rr, int& x, int& y); static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y); -static void print_wire_cost_map(int layer_num, const std::vector& segment_inf); -static void print_router_cost_map(const t_routing_cost_map& router_cost_map); - /******** Interface class member function definitions ********/ MapLookahead::MapLookahead(const t_det_routing_arch& det_routing_arch, bool is_flat) : det_routing_arch_(det_routing_arch) @@ -425,12 +356,12 @@ std::pair MapLookahead::get_expected_delay_and_cong(RRNodeId from_ VTR_ASSERT(from_seg_index >= 0); /* now get the expected cost from our lookahead map */ - Cost_Entry cost_entry = get_wire_cost_entry(from_type, - from_seg_index, - from_layer_num, - delta_x, - delta_y, - to_layer_num); + util::Cost_Entry cost_entry = get_wire_cost_entry(from_type, + from_seg_index, + from_layer_num, + delta_x, + delta_y, + to_layer_num); expected_delay_cost = cost_entry.delay; expected_cong_cost = cost_entry.congestion; @@ -523,7 +454,7 @@ void MapLookahead::write_intra_cluster(const std::string& file) const { /******** Function Definitions ********/ -Cost_Entry get_wire_cost_entry(e_rr_type rr_type, int seg_index, int from_layer_num, int delta_x, int delta_y, int to_layer_num) { +util::Cost_Entry get_wire_cost_entry(e_rr_type rr_type, int seg_index, int from_layer_num, int delta_x, int delta_y, int to_layer_num) { VTR_ASSERT_SAFE(rr_type == CHANX || rr_type == CHANY); int chan_index = 0; @@ -654,7 +585,7 @@ static void compute_router_wire_lookahead(const std::vector& segm //each sample location to profile the routing network from this type util::t_dijkstra_data dijkstra_data; - t_routing_cost_map routing_cost_map({static_cast(device_ctx.grid.get_num_layers()), device_ctx.grid.width(), device_ctx.grid.height()}); + util::t_routing_cost_map routing_cost_map({static_cast(device_ctx.grid.get_num_layers()), device_ctx.grid.width(), device_ctx.grid.height()}); for (e_rr_type chan_type : chan_types) { if (sample_nodes[chan_type].empty()) { @@ -664,7 +595,7 @@ static void compute_router_wire_lookahead(const std::vector& segm segment_inf[iseg].length); } else { //reset cost for this segment - routing_cost_map.fill(Expansion_Cost_Entry()); + routing_cost_map.fill(util::Expansion_Cost_Entry()); for (RRNodeId sample_node : sample_nodes[chan_type]) { int sample_x = rr_graph.node_xlow(sample_node); @@ -682,8 +613,6 @@ static void compute_router_wire_lookahead(const std::vector& segm &dijkstra_data); } - if (false) print_router_cost_map(routing_cost_map); - /* boil down the cost list in routing_cost_map at each coordinate to a representative cost entry and store it in the lookahead * cost map */ set_lookahead_map_costs(from_layer_num, iseg, chan_type, routing_cost_map); @@ -694,7 +623,6 @@ static void compute_router_wire_lookahead(const std::vector& segm } } } - if (false) print_wire_cost_map(from_layer_num, segment_inf); } } @@ -703,7 +631,7 @@ static void compute_router_wire_lookahead(const std::vector& segm static void run_dijkstra(RRNodeId start_node, int start_x, int start_y, - t_routing_cost_map& routing_cost_map, + util::t_routing_cost_map& routing_cost_map, util::t_dijkstra_data* data) { auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; @@ -755,7 +683,9 @@ static void run_dijkstra(RRNodeId start_node, delta_x = std::abs(delta_x); delta_y = std::abs(delta_y); - routing_cost_map[ipin_layer][delta_x][delta_y].add_cost_entry(current.delay, current.congestion_upstream); + routing_cost_map[ipin_layer][delta_x][delta_y].add_cost_entry(util::e_representative_entry_method::SMALLEST, + current.delay, + current.congestion_upstream); } } @@ -812,7 +742,7 @@ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, } /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ -static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, t_routing_cost_map& routing_cost_map) { +static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map) { int chan_index = 0; if (chan_type == CHANY) { chan_index = 1; @@ -822,9 +752,10 @@ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_ for (unsigned to_layer = 0; to_layer < routing_cost_map.dim_size(0); to_layer++) { for (unsigned ix = 0; ix < routing_cost_map.dim_size(1); ix++) { for (unsigned iy = 0; iy < routing_cost_map.dim_size(2); iy++) { - Expansion_Cost_Entry& expansion_cost_entry = routing_cost_map[to_layer][ix][iy]; + util::Expansion_Cost_Entry& expansion_cost_entry = routing_cost_map[to_layer][ix][iy]; - f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer][ix][iy] = expansion_cost_entry.get_representative_cost_entry(REPRESENTATIVE_ENTRY_METHOD); + f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer][ix][iy] = + expansion_cost_entry.get_representative_cost_entry(util::e_representative_entry_method::SMALLEST); } } } @@ -844,10 +775,10 @@ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_ for (int to_layer_num = 0; to_layer_num < device_ctx.grid.get_num_layers(); ++to_layer_num) { for (unsigned ix = 0; ix < device_ctx.grid.width(); ix++) { for (unsigned iy = 0; iy < device_ctx.grid.height(); iy++) { - Cost_Entry cost_entry = f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][ix][iy]; + util::Cost_Entry cost_entry = f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][ix][iy]; if (std::isnan(cost_entry.delay) && std::isnan(cost_entry.congestion)) { - Cost_Entry copied_entry = get_nearby_cost_entry(from_layer_num, ix, iy, to_layer_num, segment_index, chan_index); + util::Cost_Entry copied_entry = get_nearby_cost_entry(from_layer_num, ix, iy, to_layer_num, segment_index, chan_index); f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][ix][iy] = copied_entry; } } @@ -857,7 +788,7 @@ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_ } /* returns a cost entry in the f_wire_cost_map that is near the specified coordinates (and preferably towards (0,0)) */ -static Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, int to_layer_num, int segment_index, int chan_index) { +static util::Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, int to_layer_num, int segment_index, int chan_index) { /* compute the slope from x,y to 0,0 and then move towards 0,0 by one unit to get the coordinates * of the cost entry to be copied */ @@ -884,18 +815,18 @@ static Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, int to copy_y = std::max(copy_y, 0); //Clip to zero copy_x = std::max(copy_x, 0); //Clip to zero - Cost_Entry copy_entry = f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][copy_x][copy_y]; + util::Cost_Entry copy_entry = f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][copy_x][copy_y]; /* if the entry to be copied is also empty, recurse */ if (std::isnan(copy_entry.delay) && std::isnan(copy_entry.congestion)) { if (copy_x == 0 && copy_y == 0) { - copy_entry = Cost_Entry(0., 0.); //(0, 0) entry is invalid so set zero to terminate recursion + copy_entry = util::Cost_Entry(0., 0.); //(0, 0) entry is invalid so set zero to terminate recursion // set zero if the source and sink nodes are on the same layer. If they are not, it means that there is no connection from the source node to // the other layer. This means that the connection should be set to a very large number if (from_layer_num == to_layer_num) { - copy_entry = Cost_Entry(0., 0.); + copy_entry = util::Cost_Entry(0., 0.); } else { - copy_entry = Cost_Entry(std::numeric_limits::max() / 1e12, std::numeric_limits::max() / 1e12); + copy_entry = util::Cost_Entry(std::numeric_limits::max() / 1e12, std::numeric_limits::max() / 1e12); } } else { copy_entry = get_nearby_cost_entry(from_layer_num, copy_x, copy_y, to_layer_num, segment_index, chan_index); @@ -905,102 +836,6 @@ static Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, int to return copy_entry; } -/* returns cost entry with the smallest delay */ -Cost_Entry Expansion_Cost_Entry::get_smallest_entry() { - Cost_Entry smallest_entry; - - for (auto entry : this->cost_vector) { - if (std::isnan(smallest_entry.delay) || entry.delay < smallest_entry.delay) { - smallest_entry = entry; - } - } - - return smallest_entry; -} - -/* returns a cost entry that represents the average of all the recorded entries */ -Cost_Entry Expansion_Cost_Entry::get_average_entry() { - float avg_delay = 0; - float avg_congestion = 0; - - for (auto cost_entry : this->cost_vector) { - avg_delay += cost_entry.delay; - avg_congestion += cost_entry.congestion; - } - - avg_delay /= (float)this->cost_vector.size(); - avg_congestion /= (float)this->cost_vector.size(); - - return Cost_Entry(avg_delay, avg_congestion); -} - -/* returns a cost entry that represents the geomean of all the recorded entries */ -Cost_Entry Expansion_Cost_Entry::get_geomean_entry() { - float geomean_delay = 0; - float geomean_cong = 0; - for (auto cost_entry : this->cost_vector) { - geomean_delay += log(cost_entry.delay); - geomean_cong += log(cost_entry.congestion); - } - - geomean_delay = exp(geomean_delay / (float)this->cost_vector.size()); - geomean_cong = exp(geomean_cong / (float)this->cost_vector.size()); - - return Cost_Entry(geomean_delay, geomean_cong); -} - -/* returns a cost entry that represents the medial of all recorded entries */ -Cost_Entry Expansion_Cost_Entry::get_median_entry() { - /* find median by binning the delays of all entries and then chosing the bin - * with the largest number of entries */ - - int num_bins = 10; - - /* find entries with smallest and largest delays */ - Cost_Entry min_del_entry; - Cost_Entry max_del_entry; - for (auto entry : this->cost_vector) { - if (std::isnan(min_del_entry.delay) || entry.delay < min_del_entry.delay) { - min_del_entry = entry; - } - if (std::isnan(max_del_entry.delay) || entry.delay > max_del_entry.delay) { - max_del_entry = entry; - } - } - - /* get the bin size */ - float delay_diff = max_del_entry.delay - min_del_entry.delay; - float bin_size = delay_diff / (float)num_bins; - - /* sort the cost entries into bins */ - std::vector> entry_bins(num_bins, std::vector()); - for (auto entry : this->cost_vector) { - float bin_num = floor((entry.delay - min_del_entry.delay) / bin_size); - - VTR_ASSERT(vtr::nint(bin_num) >= 0 && vtr::nint(bin_num) <= num_bins); - if (vtr::nint(bin_num) == num_bins) { - /* largest entry will otherwise have an out-of-bounds bin number */ - bin_num -= 1; - } - entry_bins[vtr::nint(bin_num)].push_back(entry); - } - - /* find the bin with the largest number of elements */ - int largest_bin = 0; - int largest_size = 0; - for (int ibin = 0; ibin < num_bins; ibin++) { - if (entry_bins[ibin].size() > (unsigned)largest_size) { - largest_bin = ibin; - largest_size = (unsigned)entry_bins[ibin].size(); - } - } - - /* get the representative delay of the largest bin */ - Cost_Entry representative_entry = entry_bins[largest_bin][0]; - - return representative_entry; -} - /* returns the absolute delta_x and delta_y offset required to reach to_node from from_node */ static void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_x, int* delta_y) { auto& device_ctx = g_vpr_ctx.device(); @@ -1217,45 +1052,6 @@ static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y) { y = vtr::nint((rr_graph.node_ylow(rr) + rr_graph.node_yhigh(rr)) / 2.); } -static void print_wire_cost_map(int layer_num, const std::vector& segment_inf) { - auto& device_ctx = g_vpr_ctx.device(); - - for (size_t chan_index = 0; chan_index < f_wire_cost_map.dim_size(1); chan_index++) { - for (size_t iseg = 0; iseg < f_wire_cost_map.dim_size(2); iseg++) { - vtr::printf("Seg %d (%s, length %d) %d\n", - iseg, - segment_inf[iseg].name.c_str(), - segment_inf[iseg].length, - chan_index); - for (size_t iy = 0; iy < device_ctx.grid.height(); iy++) { - for (size_t ix = 0; ix < device_ctx.grid.width(); ix++) { - for (int to_layer_num = 0; to_layer_num < device_ctx.grid.get_num_layers(); ++to_layer_num) { - vtr::printf("%2d,%2d,%2d: %10.3g\t", ix, iy, to_layer_num, f_wire_cost_map[layer_num][chan_index][iseg][to_layer_num][ix][iy].delay); - } - } - vtr::printf("\n"); - } - vtr::printf("\n\n"); - } - } -} - -static void print_router_cost_map(const t_routing_cost_map& router_cost_map) { - VTR_LOG("Djikstra Flood Costs:\n"); - for (size_t to_layer_num = 0; to_layer_num < router_cost_map.dim_size(0); to_layer_num++) { - for (size_t x = 0; x < router_cost_map.dim_size(1); x++) { - for (size_t y = 0; y < router_cost_map.dim_size(2); y++) { - VTR_LOG("(%zu,%zu,%zu):\n", x, y, to_layer_num); - - for (size_t i = 0; i < router_cost_map[to_layer_num][x][y].cost_vector.size(); ++i) { - Cost_Entry entry = router_cost_map[to_layer_num][x][y].cost_vector[i]; - VTR_LOG(" %d: delay=%10.3g cong=%10.3g\n", i, entry.delay, entry.congestion); - } - } - } - } -} - static void compute_tiles_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay, std::unordered_map>& tile_min_cost, const t_det_routing_arch& det_routing_arch, @@ -1407,7 +1203,7 @@ static std::pair get_cost_from_src_opin(const std::map IPIN) connection. //In the lookahead, we treat such connections as 'special' wire types @@ -1467,12 +1263,12 @@ static void write_intra_cluster_router_lookahead(const std::string& /*file*/, #else /* VTR_ENABLE_CAPNPROTO */ -static void ToCostEntry(Cost_Entry* out, const VprMapCostEntry::Reader& in) { +static void ToCostEntry(util::Cost_Entry* out, const VprMapCostEntry::Reader& in) { out->delay = in.getDelay(); out->congestion = in.getCongestion(); } -static void FromCostEntry(VprMapCostEntry::Builder* out, const Cost_Entry& in) { +static void FromCostEntry(VprMapCostEntry::Builder* out, const util::Cost_Entry& in) { out->setDelay(in.delay); out->setCongestion(in.congestion); } @@ -1645,7 +1441,7 @@ void read_router_lookahead(const std::string& file) { auto map = reader.getRoot(); - ToNdMatrix<6, VprMapCostEntry, Cost_Entry>(&f_wire_cost_map, map.getCostMap(), ToCostEntry); + ToNdMatrix<6, VprMapCostEntry, util::Cost_Entry>(&f_wire_cost_map, map.getCostMap(), ToCostEntry); } void write_router_lookahead(const std::string& file) { @@ -1654,7 +1450,7 @@ void write_router_lookahead(const std::string& file) { auto map = builder.initRoot(); auto cost_map = map.initCostMap(); - FromNdMatrix<6, VprMapCostEntry, Cost_Entry>(&cost_map, f_wire_cost_map, FromCostEntry); + FromNdMatrix<6, VprMapCostEntry, util::Cost_Entry>(&cost_map, f_wire_cost_map, FromCostEntry); writeMessageToFile(file, &builder); } From 25129c85b49df515b07439b5f74b8ce9d30f7e8b Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 15:37:45 -0500 Subject: [PATCH 025/608] move cost_entry to utils --- vpr/src/route/router_lookahead_map.h | 20 +------------------- 1 file changed, 1 insertion(+), 19 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index da43fda9b90..bcf65054d0e 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -35,31 +35,13 @@ class MapLookahead : public RouterLookahead { void write_intra_cluster(const std::string& file) const override; }; -/* f_cost_map is an array of these cost entries that specifies delay/congestion estimates - * to travel relative x/y distances */ -class Cost_Entry { - public: - float delay; - float congestion; - - Cost_Entry() - : Cost_Entry(std::numeric_limits::quiet_NaN(), - std::numeric_limits::quiet_NaN()) { - } - - Cost_Entry(float set_delay, float set_congestion) { - delay = set_delay; - congestion = set_congestion; - } -}; - /* provides delay/congestion estimates to travel specified distances * in the x/y direction */ // This is a 6D array storing the cost to travel from a node of type CHANX/CHANY to a point that is dx, dy further, and is on the "layer_num" layer. // To store this information, the first index is the layer number that the node under consideration is on, the second index represents the type of channel (X/Y) // that the node under consideration belongs to, the third is the segment type (specified in the architecture file under the "segmentlist" tag), the fourth is the // target "layer_num" mentioned above, the fifth is dx, and the last one is dy. -typedef vtr::NdMatrix t_wire_cost_map; //[0..num_layers][0..1][[0..num_seg_types-1][0..num_layers][0..device_ctx.grid.width()-1][0..device_ctx.grid.height()-1] +typedef vtr::NdMatrix t_wire_cost_map; //[0..num_layers][0..1][[0..num_seg_types-1][0..num_layers][0..device_ctx.grid.width()-1][0..device_ctx.grid.height()-1] //[0..1] entry distinguish between CHANX/CHANY start nodes respectively // The first index is the layer number that the node under consideration is on, and the forth index // is the layer number that the target node is on. From 3aadc77529a1aff1d247d6be527451da7be325de Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 15:59:38 -0500 Subject: [PATCH 026/608] move t_routing_cost_map to map utils --- vpr/src/route/router_lookahead_map_utils.h | 11 +++++------ 1 file changed, 5 insertions(+), 6 deletions(-) diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index d0aa3f03f73..25fbf9064ed 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -29,14 +29,13 @@ /* we will profile delay/congestion using this many tracks for each wire type */ #define MAX_TRACK_OFFSET 16 -/* we're profiling routing cost over many tracks for each wire type, so we'll have many cost entries at each |dx|,|dy| offset. - * there are many ways to "boil down" the many costs at each offset to a single entry for a given (wire type, chan_type) combination -- - * we can take the smallest cost, the average, median, etc. This define selects the method we use. - * See e_representative_entry_method */ -#define REPRESENTATIVE_ENTRY_METHOD SMALLEST - namespace util { +class Expansion_Cost_Entry; +/* used during Dijkstra expansion to store delay/congestion info lists for each relative coordinate for a given segment and channel type. + * the list at each coordinate is later boiled down to a single representative cost entry to be stored in the final cost map */ +typedef vtr::NdMatrix t_routing_cost_map; //[0..num_layers][0..device_ctx.grid.width()-1][0..device_ctx.grid.height()-1] + /* a class that represents an entry in the Dijkstra expansion priority queue */ class PQ_Entry { public: From 8bf64ca70a409ced8524fa2c47029556f7c7141b Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 16:01:20 -0500 Subject: [PATCH 027/608] impl get_routing_cost_map to get routing cost map for a particular segment of a particular channel --- vpr/src/route/router_lookahead_map_utils.cpp | 132 +++++++++++++++++++ vpr/src/route/router_lookahead_map_utils.h | 4 + 2 files changed, 136 insertions(+) diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 75534afd046..c017fdb7c6e 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -490,6 +490,138 @@ RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int t return result; } +t_routing_cost_map get_routing_cost_map(int longest_seg_length, + int from_layer_num, + const e_rr_type& chan_type, + const t_segment_inf& segment_inf) { + const auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + const auto& grid = device_ctx.grid; + + + //Start sampling at the lower left non-corner + int ref_x = 1; + int ref_y = 1; + + //Sample from locations near the reference location (to capture maximum distance paths) + //Also sample from locations at least the longest wire length away from the edge (to avoid + //edge effects for shorter distances) + std::vector ref_increments = {0, + 1, + longest_seg_length, + longest_seg_length + 1}; + + //Uniquify the increments (avoid sampling the same locations repeatedly if they happen to + //overlap) + std::sort(ref_increments.begin(), ref_increments.end()); + ref_increments.erase(std::unique(ref_increments.begin(), ref_increments.end()), ref_increments.end()); + + //Upper right non-corner + int target_x = device_ctx.grid.width() - 2; + int target_y = device_ctx.grid.height() - 2; + + //if arch file specifies die_number="layer_num" doesn't require inter-cluster + //programmable routing resources, then we shouldn't profile wire segment types in + //the current layer + if (!device_ctx.inter_cluster_prog_routing_resources[from_layer_num]) { + return t_routing_cost_map(); + } + + //First try to pick good representative sample locations for each type + std::vector sample_nodes; + std::vector chan_types; + if (segment_inf.parallel_axis == X_AXIS) + chan_types.push_back(CHANX); + else if (segment_inf.parallel_axis == Y_AXIS) + chan_types.push_back(CHANY); + else //Both for BOTH_AXIS segments and special segments such as clock_networks we want to search in both directions. + chan_types.insert(chan_types.end(), {CHANX, CHANY}); + + for (int ref_inc : ref_increments) { + int sample_x = ref_x + ref_inc; + int sample_y = ref_y + ref_inc; + + if (sample_x >= int(grid.width())) continue; + if (sample_y >= int(grid.height())) continue; + + for (int track_offset = 0; track_offset < MAX_TRACK_OFFSET; track_offset += 2) { + /* get the rr node index from which to start routing */ + RRNodeId start_node = get_start_node(from_layer_num, sample_x, sample_y, + target_x, target_y, //non-corner upper right + chan_type, segment_inf.seg_index, track_offset); + + if (!start_node) { + continue; + } + // TODO: Temporary - After testing benchmarks this can be deleted + VTR_ASSERT(rr_graph.node_layer(start_node) == from_layer_num); + + sample_nodes.push_back(RRNodeId(start_node)); + } + } + + //If we failed to find any representative sample locations, search exhaustively + // + //This is to ensure we sample 'unusual' wire types which may not exist in all channels + //(e.g. clock routing) + if (sample_nodes.empty()) { + //Try an exhaustive search to find a suitable sample point + for (RRNodeId rr_node : rr_graph.nodes()) { + auto rr_type = rr_graph.node_type(rr_node); + if (rr_type != chan_type) continue; + if (rr_graph.node_layer(rr_node) != from_layer_num) continue; + + auto cost_index = rr_graph.node_cost_index(rr_node); + VTR_ASSERT(cost_index != RRIndexedDataId(OPEN)); + + int seg_index = device_ctx.rr_indexed_data[cost_index].seg_index; + + if (seg_index == segment_inf.seg_index) { + sample_nodes.push_back(rr_node); + } + + if (sample_nodes.size() >= ref_increments.size()) { + break; + } + } + } + + + //Finally, now that we have a list of sample locations, run a Djikstra flood from + //each sample location to profile the routing network from this type + + t_dijkstra_data dijkstra_data; + t_routing_cost_map routing_cost_map({static_cast(device_ctx.grid.get_num_layers()), device_ctx.grid.width(), device_ctx.grid.height()}); + + if (sample_nodes.empty()) { + VTR_LOG_WARN("Unable to find any sample location for segment %s type '%s' (length %d)\n", + rr_node_typename[chan_type], + segment_inf.name.c_str(), + segment_inf.length); + } else { + //reset cost for this segment + routing_cost_map.fill(Expansion_Cost_Entry()); + + for (RRNodeId sample_node : sample_nodes) { + int sample_x = rr_graph.node_xlow(sample_node); + int sample_y = rr_graph.node_ylow(sample_node); + + if (rr_graph.node_direction(sample_node) == Direction::DEC) { + sample_x = rr_graph.node_xhigh(sample_node); + sample_y = rr_graph.node_yhigh(sample_node); + } + + run_dijkstra(sample_node, + sample_x, + sample_y, + routing_cost_map, + &dijkstra_data); + } + } + + return routing_cost_map; +} + } // namespace util static void dijkstra_flood_to_wires(int itile, diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index 25fbf9064ed..f3b6a1ef3d2 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -360,6 +360,10 @@ t_ipin_primitive_sink_delays compute_intra_tile_dijkstra(const RRGraphView& rr_g /* returns index of a node from which to start routing */ RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int target_y, t_rr_type rr_type, int seg_index, int track_offset); +t_routing_cost_map get_routing_cost_map(int longest_seg_length, + int from_layer_num, + const e_rr_type& chan_type, + const t_segment_inf& segment_inf); } // namespace util #endif From ab3dcbafe1b87da9e330830537534b8684af8273 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 16:18:02 -0500 Subject: [PATCH 028/608] romve functions realted to runnig dijkstra to router lookahead utils --- vpr/src/route/router_lookahead_map.cpp | 342 ------------------ vpr/src/route/router_lookahead_map_utils.cpp | 353 +++++++++++++++++++ 2 files changed, 353 insertions(+), 342 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index e8d4818eb75..89f6dfd0d81 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -140,13 +140,6 @@ static void read_intra_cluster_router_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay); -/* runs Dijkstra's algorithm from specified node until all nodes have been visited. Each time a pin is visited, the delay/congestion information - * to that pin is stored is added to an entry in the routing_cost_map */ -static void run_dijkstra(RRNodeId start_node, - int start_x, - int start_y, - util::t_routing_cost_map& routing_cost_map, - util::t_dijkstra_data* data); /* iterates over the children of the specified node and selectively pushes them onto the priority queue */ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, vtr::vector& node_visited_costs, @@ -160,10 +153,6 @@ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_ static util::Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, int to_layer_num, int segment_index, int chan_index); /* returns the absolute delta_x and delta_y offset required to reach to_node from from_node */ static void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_x, int* delta_y); -static void adjust_rr_position(const RRNodeId rr, int& x, int& y); -static void adjust_rr_pin_position(const RRNodeId rr, int& x, int& y); -static void adjust_rr_wire_position(const RRNodeId rr, int& x, int& y); -static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y); /******** Interface class member function definitions ********/ MapLookahead::MapLookahead(const t_det_routing_arch& det_routing_arch, bool is_flat) @@ -626,121 +615,6 @@ static void compute_router_wire_lookahead(const std::vector& segm } } -/* runs Dijkstra's algorithm from specified node until all nodes have been visited. Each time a pin is visited, the delay/congestion information - * to that pin is stored is added to an entry in the routing_cost_map */ -static void run_dijkstra(RRNodeId start_node, - int start_x, - int start_y, - util::t_routing_cost_map& routing_cost_map, - util::t_dijkstra_data* data) { - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - - auto& node_expanded = data->node_expanded; - node_expanded.resize(rr_graph.num_nodes()); - std::fill(node_expanded.begin(), node_expanded.end(), false); - - auto& node_visited_costs = data->node_visited_costs; - node_visited_costs.resize(rr_graph.num_nodes()); - std::fill(node_visited_costs.begin(), node_visited_costs.end(), -1.0); - - /* a priority queue for expansion */ - std::priority_queue& pq = data->pq; - - //Clear priority queue if non-empty - while (!pq.empty()) { - pq.pop(); - } - - /* first entry has no upstream delay or congestion */ - util::PQ_Entry first_entry(start_node, UNDEFINED, 0, 0, 0, true); - - pq.push(first_entry); - - /* now do routing */ - while (!pq.empty()) { - util::PQ_Entry current = pq.top(); - pq.pop(); - - RRNodeId curr_node = current.rr_node; - - /* check that we haven't already expanded from this node */ - if (node_expanded[curr_node]) { - continue; - } - - //VTR_LOG("Expanding with delay=%10.3g cong=%10.3g (%s)\n", current.delay, current.congestion_upstream, describe_rr_node(rr_graph, device_ctx.grid, device_ctx.rr_indexed_data, curr_node).c_str()); - - /* if this node is an ipin record its congestion/delay in the routing_cost_map */ - if (rr_graph.node_type(curr_node) == IPIN) { - int ipin_x = rr_graph.node_xlow(curr_node); - int ipin_y = rr_graph.node_ylow(curr_node); - int ipin_layer = rr_graph.node_layer(curr_node); - - if (ipin_x >= start_x && ipin_y >= start_y) { - int delta_x, delta_y; - get_xy_deltas(start_node, curr_node, &delta_x, &delta_y); - delta_x = std::abs(delta_x); - delta_y = std::abs(delta_y); - - routing_cost_map[ipin_layer][delta_x][delta_y].add_cost_entry(util::e_representative_entry_method::SMALLEST, - current.delay, - current.congestion_upstream); - } - } - - expand_dijkstra_neighbours(current, node_visited_costs, node_expanded, pq); - node_expanded[curr_node] = true; - } -} - -/* iterates over the children of the specified node and selectively pushes them onto the priority queue */ -static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, - vtr::vector& node_visited_costs, - vtr::vector& node_expanded, - std::priority_queue& pq) { - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - - RRNodeId parent = parent_entry.rr_node; - - for (t_edge_size edge : rr_graph.edges(parent)) { - RRNodeId child_node = rr_graph.edge_sink_node(parent, edge); - // For the time being, we decide to not let the lookahead explore the node inside the clusters - t_physical_tile_type_ptr physical_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(child_node), - rr_graph.node_ylow(child_node), - rr_graph.node_layer(child_node)}); - - if (!is_inter_cluster_node(physical_type, - rr_graph.node_type(child_node), - rr_graph.node_ptc_num(child_node))) { - continue; - } - int switch_ind = size_t(rr_graph.edge_switch(parent, edge)); - - if (rr_graph.node_type(child_node) == SINK) return; - - /* skip this child if it has already been expanded from */ - if (node_expanded[child_node]) { - continue; - } - - util::PQ_Entry child_entry(child_node, switch_ind, parent_entry.delay, - parent_entry.R_upstream, parent_entry.congestion_upstream, false); - - //VTR_ASSERT(child_entry.cost >= 0); //Asertion fails in practise. TODO: debug - - /* skip this child if it has been visited with smaller cost */ - if (node_visited_costs[child_node] >= 0 && node_visited_costs[child_node] < child_entry.cost) { - continue; - } - - /* finally, record the cost with which the child was visited and put the child entry on the queue */ - node_visited_costs[child_node] = child_entry.cost; - pq.push(child_entry); - } -} - /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map) { int chan_index = 0; @@ -836,222 +710,6 @@ static util::Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, return copy_entry; } -/* returns the absolute delta_x and delta_y offset required to reach to_node from from_node */ -static void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_x, int* delta_y) { - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - - e_rr_type from_type = rr_graph.node_type(from_node); - e_rr_type to_type = rr_graph.node_type(to_node); - - if (!is_chan(from_type) && !is_chan(to_type)) { - //Alternate formulation for non-channel types - int from_x = 0; - int from_y = 0; - adjust_rr_position(from_node, from_x, from_y); - - int to_x = 0; - int to_y = 0; - adjust_rr_position(to_node, to_x, to_y); - - *delta_x = to_x - from_x; - *delta_y = to_y - from_y; - } else { - //Traditional formulation - - /* get chan/seg coordinates of the from/to nodes. seg coordinate is along the wire, - * chan coordinate is orthogonal to the wire */ - int from_seg_low; - int from_seg_high; - int from_chan; - int to_seg; - int to_chan; - if (from_type == CHANY) { - from_seg_low = rr_graph.node_ylow(from_node); - from_seg_high = rr_graph.node_yhigh(from_node); - from_chan = rr_graph.node_xlow(from_node); - to_seg = rr_graph.node_ylow(to_node); - to_chan = rr_graph.node_xlow(to_node); - } else { - from_seg_low = rr_graph.node_xlow(from_node); - from_seg_high = rr_graph.node_xhigh(from_node); - from_chan = rr_graph.node_ylow(from_node); - to_seg = rr_graph.node_xlow(to_node); - to_chan = rr_graph.node_ylow(to_node); - } - - /* now we want to count the minimum number of *channel segments* between the from and to nodes */ - int delta_seg, delta_chan; - - /* orthogonal to wire */ - int no_need_to_pass_by_clb = 0; //if we need orthogonal wires then we don't need to pass by the target CLB along the current wire direction - if (to_chan > from_chan + 1) { - /* above */ - delta_chan = to_chan - from_chan; - no_need_to_pass_by_clb = 1; - } else if (to_chan < from_chan) { - /* below */ - delta_chan = from_chan - to_chan + 1; - no_need_to_pass_by_clb = 1; - } else { - /* adjacent to current channel */ - delta_chan = 0; - no_need_to_pass_by_clb = 0; - } - - /* along same direction as wire. */ - if (to_seg > from_seg_high) { - /* ahead */ - delta_seg = to_seg - from_seg_high - no_need_to_pass_by_clb; - } else if (to_seg < from_seg_low) { - /* behind */ - delta_seg = from_seg_low - to_seg - no_need_to_pass_by_clb; - } else { - /* along the span of the wire */ - delta_seg = 0; - } - - /* account for wire direction. lookahead map was computed by looking up and to the right starting at INC wires. for targets - * that are opposite of the wire direction, let's add 1 to delta_seg */ - Direction from_dir = rr_graph.node_direction(from_node); - if (is_chan(from_type) - && ((to_seg < from_seg_low && from_dir == Direction::INC) || (to_seg > from_seg_high && from_dir == Direction::DEC))) { - delta_seg++; - } - - if (from_type == CHANY) { - *delta_x = delta_chan; - *delta_y = delta_seg; - } else { - *delta_x = delta_seg; - *delta_y = delta_chan; - } - } - - VTR_ASSERT_SAFE(std::abs(*delta_x) < (int)device_ctx.grid.width()); - VTR_ASSERT_SAFE(std::abs(*delta_y) < (int)device_ctx.grid.height()); -} - -static void adjust_rr_position(const RRNodeId rr, int& x, int& y) { - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - - e_rr_type rr_type = rr_graph.node_type(rr); - - if (is_chan(rr_type)) { - adjust_rr_wire_position(rr, x, y); - } else if (is_pin(rr_type)) { - adjust_rr_pin_position(rr, x, y); - } else { - VTR_ASSERT_SAFE(is_src_sink(rr_type)); - adjust_rr_src_sink_position(rr, x, y); - } -} - -static void adjust_rr_pin_position(const RRNodeId rr, int& x, int& y) { - /* - * VPR uses a co-ordinate system where wires above and to the right of a block - * are at the same location as the block: - * - * - * <-----------C - * D - * | +---------+ ^ - * | | | | - * | | (1,1) | | - * | | | | - * V +---------+ | - * A - * B-----------> - * - * So wires are located as follows: - * - * A: (1, 1) CHANY - * B: (1, 0) CHANX - * C: (1, 1) CHANX - * D: (0, 1) CHANY - * - * But all pins incident on the surrounding channels - * would be at (1,1) along with a relevant side. - * - * In the following, we adjust the positions of pins to - * account for the channel they are incident too. - * - * Note that blocks at (0,*) such as IOs, may have (unconnected) - * pins on the left side, so we also clip the minimum x to zero. - * Similarly for blocks at (*,0) we clip the minimum y to zero. - */ - auto& device_ctx = g_vpr_ctx.device(); - auto& rr_graph = device_ctx.rr_graph; - - VTR_ASSERT_SAFE(is_pin(rr_graph.node_type(rr))); - VTR_ASSERT_SAFE(rr_graph.node_xlow(rr) == rr_graph.node_xhigh(rr)); - VTR_ASSERT_SAFE(rr_graph.node_ylow(rr) == rr_graph.node_yhigh(rr)); - - x = rr_graph.node_xlow(rr); - y = rr_graph.node_ylow(rr); - - /* Use the first side we can find - * Note that this may NOT return an accurate coordinate - * when a rr node appears on different sides - * However, current test show that the simple strategy provides - * a good trade-off between runtime and quality of results - */ - e_side rr_side = NUM_SIDES; - for (const e_side& candidate_side : SIDES) { - if (rr_graph.is_node_on_specific_side(rr, candidate_side)) { - rr_side = candidate_side; - break; - } - } - VTR_ASSERT_SAFE(NUM_SIDES != rr_side); - - if (rr_side == LEFT) { - x -= 1; - x = std::max(x, 0); - } else if (rr_side == BOTTOM && y > 0) { - y -= 1; - y = std::max(y, 0); - } -} - -static void adjust_rr_wire_position(const RRNodeId rr, int& x, int& y) { - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - - VTR_ASSERT_SAFE(is_chan(rr_graph.node_type(rr))); - - Direction rr_dir = rr_graph.node_direction(rr); - - if (rr_dir == Direction::DEC) { - x = rr_graph.node_xhigh(rr); - y = rr_graph.node_yhigh(rr); - } else if (rr_dir == Direction::INC) { - x = rr_graph.node_xlow(rr); - y = rr_graph.node_ylow(rr); - } else { - VTR_ASSERT_SAFE(rr_dir == Direction::BIDIR); - //Not sure what to do here... - //Try average for now. - x = vtr::nint((rr_graph.node_xlow(rr) + rr_graph.node_xhigh(rr)) / 2.); - y = vtr::nint((rr_graph.node_ylow(rr) + rr_graph.node_yhigh(rr)) / 2.); - } -} - -static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y) { - //SOURCE/SINK nodes assume the full dimensions of their - //associated block - // - //Use the average position. - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - - VTR_ASSERT_SAFE(is_src_sink(rr_graph.node_type(rr))); - - x = vtr::nint((rr_graph.node_xlow(rr) + rr_graph.node_xhigh(rr)) / 2.); - y = vtr::nint((rr_graph.node_ylow(rr) + rr_graph.node_yhigh(rr)) / 2.); -} - static void compute_tiles_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay, std::unordered_map>& tile_min_cost, const t_det_routing_arch& det_routing_arch, diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index c017fdb7c6e..5b9147ac6d7 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -34,6 +34,28 @@ static void run_intra_tile_dijkstra(const RRGraphView& rr_graph, t_physical_tile_type_ptr physical_tile, RRNodeId starting_node_id); +/* runs Dijkstra's algorithm from specified node until all nodes have been visited. Each time a pin is visited, the delay/congestion information + * to that pin is stored is added to an entry in the routing_cost_map */ +static void run_dijkstra(RRNodeId start_node, + int start_x, + int start_y, + util::t_routing_cost_map& routing_cost_map, + util::t_dijkstra_data* data); + +static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, + vtr::vector& node_visited_costs, + vtr::vector& node_expanded, + std::priority_queue& pq); + +static void adjust_rr_position(const RRNodeId rr, int& x, int& y); + +static void adjust_rr_pin_position(const RRNodeId rr, int& x, int& y); + +static void adjust_rr_wire_position(const RRNodeId rr, int& x, int& y); + +static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y); + + // Constants needed to reduce the bounding box when expanding CHAN wires to reach the IPINs. // These are used when finding all the delays to get to the IPINs of all the different tile types // of the device. @@ -490,6 +512,102 @@ RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int t return result; } +/* returns the absolute delta_x and delta_y offset required to reach to_node from from_node */ +void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_x, int* delta_y) { + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + e_rr_type from_type = rr_graph.node_type(from_node); + e_rr_type to_type = rr_graph.node_type(to_node); + + if (!is_chan(from_type) && !is_chan(to_type)) { + //Alternate formulation for non-channel types + int from_x = 0; + int from_y = 0; + adjust_rr_position(from_node, from_x, from_y); + + int to_x = 0; + int to_y = 0; + adjust_rr_position(to_node, to_x, to_y); + + *delta_x = to_x - from_x; + *delta_y = to_y - from_y; + } else { + //Traditional formulation + + /* get chan/seg coordinates of the from/to nodes. seg coordinate is along the wire, + * chan coordinate is orthogonal to the wire */ + int from_seg_low; + int from_seg_high; + int from_chan; + int to_seg; + int to_chan; + if (from_type == CHANY) { + from_seg_low = rr_graph.node_ylow(from_node); + from_seg_high = rr_graph.node_yhigh(from_node); + from_chan = rr_graph.node_xlow(from_node); + to_seg = rr_graph.node_ylow(to_node); + to_chan = rr_graph.node_xlow(to_node); + } else { + from_seg_low = rr_graph.node_xlow(from_node); + from_seg_high = rr_graph.node_xhigh(from_node); + from_chan = rr_graph.node_ylow(from_node); + to_seg = rr_graph.node_xlow(to_node); + to_chan = rr_graph.node_ylow(to_node); + } + + /* now we want to count the minimum number of *channel segments* between the from and to nodes */ + int delta_seg, delta_chan; + + /* orthogonal to wire */ + int no_need_to_pass_by_clb = 0; //if we need orthogonal wires then we don't need to pass by the target CLB along the current wire direction + if (to_chan > from_chan + 1) { + /* above */ + delta_chan = to_chan - from_chan; + no_need_to_pass_by_clb = 1; + } else if (to_chan < from_chan) { + /* below */ + delta_chan = from_chan - to_chan + 1; + no_need_to_pass_by_clb = 1; + } else { + /* adjacent to current channel */ + delta_chan = 0; + no_need_to_pass_by_clb = 0; + } + + /* along same direction as wire. */ + if (to_seg > from_seg_high) { + /* ahead */ + delta_seg = to_seg - from_seg_high - no_need_to_pass_by_clb; + } else if (to_seg < from_seg_low) { + /* behind */ + delta_seg = from_seg_low - to_seg - no_need_to_pass_by_clb; + } else { + /* along the span of the wire */ + delta_seg = 0; + } + + /* account for wire direction. lookahead map was computed by looking up and to the right starting at INC wires. for targets + * that are opposite of the wire direction, let's add 1 to delta_seg */ + Direction from_dir = rr_graph.node_direction(from_node); + if (is_chan(from_type) + && ((to_seg < from_seg_low && from_dir == Direction::INC) || (to_seg > from_seg_high && from_dir == Direction::DEC))) { + delta_seg++; + } + + if (from_type == CHANY) { + *delta_x = delta_chan; + *delta_y = delta_seg; + } else { + *delta_x = delta_seg; + *delta_y = delta_chan; + } + } + + VTR_ASSERT_SAFE(std::abs(*delta_x) < (int)device_ctx.grid.width()); + VTR_ASSERT_SAFE(std::abs(*delta_y) < (int)device_ctx.grid.height()); +} + t_routing_cost_map get_routing_cost_map(int longest_seg_length, int from_layer_num, const e_rr_type& chan_type, @@ -1018,3 +1136,238 @@ static void run_intra_tile_dijkstra(const RRGraphView& rr_graph, } } } + +/* runs Dijkstra's algorithm from specified node until all nodes have been visited. Each time a pin is visited, the delay/congestion information + * to that pin is stored is added to an entry in the routing_cost_map */ +static void run_dijkstra(RRNodeId start_node, + int start_x, + int start_y, + util::t_routing_cost_map& routing_cost_map, + util::t_dijkstra_data* data) { + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + auto& node_expanded = data->node_expanded; + node_expanded.resize(rr_graph.num_nodes()); + std::fill(node_expanded.begin(), node_expanded.end(), false); + + auto& node_visited_costs = data->node_visited_costs; + node_visited_costs.resize(rr_graph.num_nodes()); + std::fill(node_visited_costs.begin(), node_visited_costs.end(), -1.0); + + /* a priority queue for expansion */ + std::priority_queue& pq = data->pq; + + //Clear priority queue if non-empty + while (!pq.empty()) { + pq.pop(); + } + + /* first entry has no upstream delay or congestion */ + util::PQ_Entry first_entry(start_node, UNDEFINED, 0, 0, 0, true); + + pq.push(first_entry); + + /* now do routing */ + while (!pq.empty()) { + util::PQ_Entry current = pq.top(); + pq.pop(); + + RRNodeId curr_node = current.rr_node; + + /* check that we haven't already expanded from this node */ + if (node_expanded[curr_node]) { + continue; + } + + //VTR_LOG("Expanding with delay=%10.3g cong=%10.3g (%s)\n", current.delay, current.congestion_upstream, describe_rr_node(rr_graph, device_ctx.grid, device_ctx.rr_indexed_data, curr_node).c_str()); + + /* if this node is an ipin record its congestion/delay in the routing_cost_map */ + if (rr_graph.node_type(curr_node) == IPIN) { + int ipin_x = rr_graph.node_xlow(curr_node); + int ipin_y = rr_graph.node_ylow(curr_node); + int ipin_layer = rr_graph.node_layer(curr_node); + + if (ipin_x >= start_x && ipin_y >= start_y) { + int delta_x, delta_y; + util::get_xy_deltas(start_node, curr_node, &delta_x, &delta_y); + delta_x = std::abs(delta_x); + delta_y = std::abs(delta_y); + + routing_cost_map[ipin_layer][delta_x][delta_y].add_cost_entry(util::e_representative_entry_method::SMALLEST, + current.delay, + current.congestion_upstream); + } + } + + expand_dijkstra_neighbours(current, node_visited_costs, node_expanded, pq); + node_expanded[curr_node] = true; + } +} + +/* iterates over the children of the specified node and selectively pushes them onto the priority queue */ +static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, + vtr::vector& node_visited_costs, + vtr::vector& node_expanded, + std::priority_queue& pq) { + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + RRNodeId parent = parent_entry.rr_node; + + for (t_edge_size edge : rr_graph.edges(parent)) { + RRNodeId child_node = rr_graph.edge_sink_node(parent, edge); + // For the time being, we decide to not let the lookahead explore the node inside the clusters + t_physical_tile_type_ptr physical_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(child_node), + rr_graph.node_ylow(child_node), + rr_graph.node_layer(child_node)}); + + if (!is_inter_cluster_node(physical_type, + rr_graph.node_type(child_node), + rr_graph.node_ptc_num(child_node))) { + continue; + } + int switch_ind = size_t(rr_graph.edge_switch(parent, edge)); + + if (rr_graph.node_type(child_node) == SINK) return; + + /* skip this child if it has already been expanded from */ + if (node_expanded[child_node]) { + continue; + } + + util::PQ_Entry child_entry(child_node, switch_ind, parent_entry.delay, + parent_entry.R_upstream, parent_entry.congestion_upstream, false); + + //VTR_ASSERT(child_entry.cost >= 0); //Asertion fails in practise. TODO: debug + + /* skip this child if it has been visited with smaller cost */ + if (node_visited_costs[child_node] >= 0 && node_visited_costs[child_node] < child_entry.cost) { + continue; + } + + /* finally, record the cost with which the child was visited and put the child entry on the queue */ + node_visited_costs[child_node] = child_entry.cost; + pq.push(child_entry); + } +} + +static void adjust_rr_position(const RRNodeId rr, int& x, int& y) { + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + e_rr_type rr_type = rr_graph.node_type(rr); + + if (is_chan(rr_type)) { + adjust_rr_wire_position(rr, x, y); + } else if (is_pin(rr_type)) { + adjust_rr_pin_position(rr, x, y); + } else { + VTR_ASSERT_SAFE(is_src_sink(rr_type)); + adjust_rr_src_sink_position(rr, x, y); + } +} + +static void adjust_rr_pin_position(const RRNodeId rr, int& x, int& y) { + /* + * VPR uses a co-ordinate system where wires above and to the right of a block + * are at the same location as the block: + * + * + * <-----------C + * D + * | +---------+ ^ + * | | | | + * | | (1,1) | | + * | | | | + * V +---------+ | + * A + * B-----------> + * + * So wires are located as follows: + * + * A: (1, 1) CHANY + * B: (1, 0) CHANX + * C: (1, 1) CHANX + * D: (0, 1) CHANY + * + * But all pins incident on the surrounding channels + * would be at (1,1) along with a relevant side. + * + * In the following, we adjust the positions of pins to + * account for the channel they are incident too. + * + * Note that blocks at (0,*) such as IOs, may have (unconnected) + * pins on the left side, so we also clip the minimum x to zero. + * Similarly for blocks at (*,0) we clip the minimum y to zero. + */ + auto& device_ctx = g_vpr_ctx.device(); + auto& rr_graph = device_ctx.rr_graph; + + VTR_ASSERT_SAFE(is_pin(rr_graph.node_type(rr))); + VTR_ASSERT_SAFE(rr_graph.node_xlow(rr) == rr_graph.node_xhigh(rr)); + VTR_ASSERT_SAFE(rr_graph.node_ylow(rr) == rr_graph.node_yhigh(rr)); + + x = rr_graph.node_xlow(rr); + y = rr_graph.node_ylow(rr); + + /* Use the first side we can find + * Note that this may NOT return an accurate coordinate + * when a rr node appears on different sides + * However, current test show that the simple strategy provides + * a good trade-off between runtime and quality of results + */ + e_side rr_side = NUM_SIDES; + for (const e_side& candidate_side : SIDES) { + if (rr_graph.is_node_on_specific_side(rr, candidate_side)) { + rr_side = candidate_side; + break; + } + } + VTR_ASSERT_SAFE(NUM_SIDES != rr_side); + + if (rr_side == LEFT) { + x -= 1; + x = std::max(x, 0); + } else if (rr_side == BOTTOM && y > 0) { + y -= 1; + y = std::max(y, 0); + } +} + +static void adjust_rr_wire_position(const RRNodeId rr, int& x, int& y) { + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + VTR_ASSERT_SAFE(is_chan(rr_graph.node_type(rr))); + + Direction rr_dir = rr_graph.node_direction(rr); + + if (rr_dir == Direction::DEC) { + x = rr_graph.node_xhigh(rr); + y = rr_graph.node_yhigh(rr); + } else if (rr_dir == Direction::INC) { + x = rr_graph.node_xlow(rr); + y = rr_graph.node_ylow(rr); + } else { + VTR_ASSERT_SAFE(rr_dir == Direction::BIDIR); + //Not sure what to do here... + //Try average for now. + x = vtr::nint((rr_graph.node_xlow(rr) + rr_graph.node_xhigh(rr)) / 2.); + y = vtr::nint((rr_graph.node_ylow(rr) + rr_graph.node_yhigh(rr)) / 2.); + } +} + +static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y) { + //SOURCE/SINK nodes assume the full dimensions of their + //associated block + // + //Use the average position. + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + VTR_ASSERT_SAFE(is_src_sink(rr_graph.node_type(rr))); + + x = vtr::nint((rr_graph.node_xlow(rr) + rr_graph.node_xhigh(rr)) / 2.); + y = vtr::nint((rr_graph.node_ylow(rr) + rr_graph.node_yhigh(rr)) / 2.); +} From 2cbd499f748b548d0d7631a0d9399f978376d422 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 16:18:40 -0500 Subject: [PATCH 029/608] vpr: move get_xy_deltas to utils --- vpr/src/route/router_lookahead_map_utils.h | 2 ++ 1 file changed, 2 insertions(+) diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index f3b6a1ef3d2..c64ae8d4f6b 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -360,6 +360,8 @@ t_ipin_primitive_sink_delays compute_intra_tile_dijkstra(const RRGraphView& rr_g /* returns index of a node from which to start routing */ RRNodeId get_start_node(int layer, int start_x, int start_y, int target_x, int target_y, t_rr_type rr_type, int seg_index, int track_offset); +void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_x, int* delta_y); + t_routing_cost_map get_routing_cost_map(int longest_seg_length, int from_layer_num, const e_rr_type& chan_type, From a727eafc69a8827a261348c17eb20c0eebcd64e6 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 16:34:22 -0500 Subject: [PATCH 030/608] vpr: use get_routing_cost_map in compute_router_wire_lookahead --- vpr/src/route/router_lookahead_map.cpp | 145 ++++--------------------- 1 file changed, 19 insertions(+), 126 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 89f6dfd0d81..5527d91c1a3 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -140,11 +140,6 @@ static void read_intra_cluster_router_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay); -/* iterates over the children of the specified node and selectively pushes them onto the priority queue */ -static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, - vtr::vector& node_visited_costs, - vtr::vector& node_expanded, - std::priority_queue& pq); /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map); /* fills in missing lookahead map entries by copying the cost of the closest valid entry */ @@ -459,7 +454,7 @@ util::Cost_Entry get_wire_cost_entry(e_rr_type rr_type, int seg_index, int from_ return f_wire_cost_map[from_layer_num][chan_index][seg_index][to_layer_num][delta_x][delta_y]; } -static void compute_router_wire_lookahead(const std::vector& segment_inf) { +static void compute_router_wire_lookahead(const std::vector& segment_inf_vec) { vtr::ScopedStartFinishTimer timer("Computing wire lookahead"); auto& device_ctx = g_vpr_ctx.device(); @@ -469,150 +464,48 @@ static void compute_router_wire_lookahead(const std::vector& segm //Re-allocate f_wire_cost_map = t_wire_cost_map({static_cast(grid.get_num_layers()), 2, - segment_inf.size(), + segment_inf_vec.size(), static_cast(grid.get_num_layers()), device_ctx.grid.width(), device_ctx.grid.height()}); - int longest_length = 0; - for (const auto& seg_inf : segment_inf) { - longest_length = std::max(longest_length, seg_inf.length); + int longest_seg_length = 0; + for (const auto& seg_inf : segment_inf_vec) { + longest_seg_length = std::max(longest_seg_length, seg_inf.length); } - //Start sampling at the lower left non-corner - int ref_x = 1; - int ref_y = 1; - - //Sample from locations near the reference location (to capture maximum distance paths) - //Also sample from locations at least the longest wire length away from the edge (to avoid - //edge effects for shorter distances) - std::vector ref_increments = {0, 1, - longest_length, longest_length + 1}; - - //Uniquify the increments (avoid sampling the same locations repeatedly if they happen to - //overlap) - std::sort(ref_increments.begin(), ref_increments.end()); - ref_increments.erase(std::unique(ref_increments.begin(), ref_increments.end()), ref_increments.end()); - - //Upper right non-corner - int target_x = device_ctx.grid.width() - 2; - int target_y = device_ctx.grid.height() - 2; - //Profile each wire segment type for (int from_layer_num = 0; from_layer_num < grid.get_num_layers(); from_layer_num++) { - //if arch file specifies die_number="layer_num" doesn't require inter-cluster - //programmable routing resources, then we shouldn't profile wire segment types in - //the current layer - if (!device_ctx.inter_cluster_prog_routing_resources[from_layer_num]) { - continue; - } - for (int iseg = 0; iseg < int(segment_inf.size()); iseg++) { - //First try to pick good representative sample locations for each type + for (const auto& segment_inf : segment_inf_vec) { std::map> sample_nodes; std::vector chan_types; - if (segment_inf[iseg].parallel_axis == X_AXIS) + if (segment_inf.parallel_axis == X_AXIS) chan_types.push_back(CHANX); - else if (segment_inf[iseg].parallel_axis == Y_AXIS) + else if (segment_inf.parallel_axis == Y_AXIS) chan_types.push_back(CHANY); else //Both for BOTH_AXIS segments and special segments such as clock_networks we want to search in both directions. chan_types.insert(chan_types.end(), {CHANX, CHANY}); for (e_rr_type chan_type : chan_types) { - for (int ref_inc : ref_increments) { - int sample_x = ref_x + ref_inc; - int sample_y = ref_y + ref_inc; - - if (sample_x >= int(grid.width())) continue; - if (sample_y >= int(grid.height())) continue; - - for (int track_offset = 0; track_offset < MAX_TRACK_OFFSET; track_offset += 2) { - /* get the rr node index from which to start routing */ - RRNodeId start_node = util::get_start_node(from_layer_num, sample_x, sample_y, - target_x, target_y, //non-corner upper right - chan_type, iseg, track_offset); - - if (!start_node) { - continue; - } - // TODO: Temporary - After testing benchmarks this can be deleted - VTR_ASSERT(rr_graph.node_layer(start_node) == from_layer_num); - - sample_nodes[chan_type].push_back(RRNodeId(start_node)); - } - } - } - - //If we failed to find any representative sample locations, search exhaustively - // - //This is to ensure we sample 'unusual' wire types which may not exist in all channels - //(e.g. clock routing) - for (e_rr_type chan_type : chan_types) { - if (!sample_nodes[chan_type].empty()) continue; - - //Try an exhaustive search to find a suitable sample point - for (RRNodeId rr_node : rr_graph.nodes()) { - auto rr_type = rr_graph.node_type(rr_node); - if (rr_type != chan_type) continue; - if (rr_graph.node_layer(rr_node) != from_layer_num) continue; - - auto cost_index = rr_graph.node_cost_index(rr_node); - VTR_ASSERT(cost_index != RRIndexedDataId(OPEN)); - - int seg_index = device_ctx.rr_indexed_data[cost_index].seg_index; - - if (seg_index == iseg) { - sample_nodes[chan_type].push_back(rr_node); - } - - if (sample_nodes[chan_type].size() >= ref_increments.size()) { - break; - } + util::t_routing_cost_map routing_cost_map = util::get_routing_cost_map(longest_seg_length, + from_layer_num, + chan_type, + segment_inf); + if (routing_cost_map.empty()) { + continue; } - } - - //Finally, now that we have a list of sample locations, run a Djikstra flood from - //each sample location to profile the routing network from this type - - util::t_dijkstra_data dijkstra_data; - util::t_routing_cost_map routing_cost_map({static_cast(device_ctx.grid.get_num_layers()), device_ctx.grid.width(), device_ctx.grid.height()}); - - for (e_rr_type chan_type : chan_types) { - if (sample_nodes[chan_type].empty()) { - VTR_LOG_WARN("Unable to find any sample location for segment %s type '%s' (length %d)\n", - rr_node_typename[chan_type], - segment_inf[iseg].name.c_str(), - segment_inf[iseg].length); - } else { - //reset cost for this segment - routing_cost_map.fill(util::Expansion_Cost_Entry()); - - for (RRNodeId sample_node : sample_nodes[chan_type]) { - int sample_x = rr_graph.node_xlow(sample_node); - int sample_y = rr_graph.node_ylow(sample_node); - - if (rr_graph.node_direction(sample_node) == Direction::DEC) { - sample_x = rr_graph.node_xhigh(sample_node); - sample_y = rr_graph.node_yhigh(sample_node); - } - run_dijkstra(sample_node, - sample_x, - sample_y, - routing_cost_map, - &dijkstra_data); - } - - /* boil down the cost list in routing_cost_map at each coordinate to a representative cost entry and store it in the lookahead + /* boil down the cost list in routing_cost_map at each coordinate to a representative cost entry and store it in the lookahead * cost map */ - set_lookahead_map_costs(from_layer_num, iseg, chan_type, routing_cost_map); + set_lookahead_map_costs(from_layer_num, segment_inf.seg_index, chan_type, routing_cost_map); - /* fill in missing entries in the lookahead cost map by copying the closest cost entries (cost map was computed based on + /* fill in missing entries in the lookahead cost map by copying the closest cost entries (cost map was computed based on * a reference coordinate > (0,0) so some entries that represent a cross-chip distance have not been computed) */ - fill_in_missing_lookahead_entries(iseg, chan_type); - } + fill_in_missing_lookahead_entries(segment_inf.seg_index, chan_type); } } } + } /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ From 15b4c1bcd6858b3a7985c907b1ce1880b2f8dc09 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 17:00:15 -0500 Subject: [PATCH 031/608] remove unused variables --- vpr/src/route/router_lookahead_compressed_map.cpp | 6 ++---- vpr/src/route/router_lookahead_compressed_map.h | 5 ----- vpr/src/route/router_lookahead_map_utils.cpp | 1 + 3 files changed, 3 insertions(+), 9 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 71d54799054..a848389771e 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -80,8 +80,8 @@ static void initialize_compressed_loc_structs(std::vector& sampl int y_max = sample_region.y_max; int x_min = sample_region.x_min; int y_min = sample_region.y_min; - for (int x = sample_region.x_min; x < x_max; x += step) { - for (int y = y_min; y < y_min; y += step) { + for (int x = x_min; x < x_max; x += step) { + for (int y = y_min; y < y_max; y += step) { if (sample_locations.count(x) == 0) { sample_locations[x] = std::unordered_set(); } @@ -98,8 +98,6 @@ static void compute_router_wire_lookahead(const std::vector& segm vtr::ScopedStartFinishTimer timer("Computing wire lookahead"); const auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - const auto& grid = device_ctx.grid; auto sampling_regions = get_sampling_regions(segment_inf); diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index d08c320124b..e1305482da3 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -69,9 +69,4 @@ class Cost_Entry { } }; -typedef vtr::NdMatrix t_wire_cost_map; //[0..num_layers][0..1][[0..num_seg_types-1][0..num_layers][0..device_ctx.grid.width()-1][0..device_ctx.grid.height()-1] - //[0..1] entry distinguish between CHANX/CHANY start nodes respectively - // The first index is the layer number that the node under consideration is on, and the forth index - // is the layer number that the target node is on. - #endif //VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 5b9147ac6d7..58d076c92c5 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -42,6 +42,7 @@ static void run_dijkstra(RRNodeId start_node, util::t_routing_cost_map& routing_cost_map, util::t_dijkstra_data* data); +/* iterates over the children of the specified node and selectively pushes them onto the priority queue */ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, vtr::vector& node_visited_costs, vtr::vector& node_expanded, From 04372c7e5902b515c125287f99b1ca7b6230b6ee Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 30 Nov 2023 17:13:29 -0500 Subject: [PATCH 032/608] vpr: move pq_entry constructor to cpp file --- vpr/src/route/router_lookahead_map_utils.cpp | 68 +++++++++++++++----- vpr/src/route/router_lookahead_map_utils.h | 37 +---------- 2 files changed, 53 insertions(+), 52 deletions(-) diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 58d076c92c5..c403fbf490e 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -92,10 +92,46 @@ static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y); namespace util { -util::PQ_Entry_Delay::PQ_Entry_Delay( +PQ_Entry::PQ_Entry(RRNodeId set_rr_node, int /*switch_ind*/, float parent_delay, float parent_R_upstream, float parent_congestion_upstream, bool starting_node) { + this->rr_node = set_rr_node; + + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + this->delay = parent_delay; + this->congestion_upstream = parent_congestion_upstream; + this->R_upstream = parent_R_upstream; + if (!starting_node) { + auto cost_index = rr_graph.node_cost_index(RRNodeId(set_rr_node)); + //this->delay += rr_graph.node_C(RRNodeId(set_rr_node)) * (g_rr_switch_inf[switch_ind].R + 0.5*rr_graph.node_R(RRNodeId(set_rr_node))) + + // g_rr_switch_inf[switch_ind].Tdel; + + //FIXME going to use the delay data that the VPR7 lookahead uses. For some reason the delay calculation above calculates + // a value that's just a little smaller compared to what VPR7 lookahead gets. While the above calculation should be more accurate, + // I have found that it produces the same CPD results but with worse runtime. + // + // TODO: figure out whether anything's wrong with the calculation above and use that instead. If not, add the other + // terms like T_quadratic and R_upstream to the calculation below (they are == 0 or UNDEFINED for buffered archs I think) + + //NOTE: We neglect the T_quadratic and C_load terms and Switch R, so this lookahead is likely + // less accurate on unbuffered (e.g. pass-gate) architectures + + this->delay += device_ctx.rr_indexed_data[cost_index].T_linear; + + this->congestion_upstream += device_ctx.rr_indexed_data[cost_index].base_cost; + } + + if (this->delay < 0) { + VTR_LOG("NEGATIVE DELAY!\n"); + } + + /* set the cost of this node */ + this->cost = this->delay; +} + +PQ_Entry_Delay::PQ_Entry_Delay( RRNodeId set_rr_node, int switch_ind, - const util::PQ_Entry_Delay* parent) { + const PQ_Entry_Delay* parent) { this->rr_node = set_rr_node; if (parent != nullptr) { @@ -120,10 +156,10 @@ util::PQ_Entry_Delay::PQ_Entry_Delay( } } -util::PQ_Entry_Base_Cost::PQ_Entry_Base_Cost( +PQ_Entry_Base_Cost::PQ_Entry_Base_Cost( RRNodeId set_rr_node, int switch_ind, - const util::PQ_Entry_Base_Cost* parent) { + const PQ_Entry_Base_Cost* parent) { this->rr_node = set_rr_node; if (parent != nullptr) { @@ -140,8 +176,8 @@ util::PQ_Entry_Base_Cost::PQ_Entry_Base_Cost( } /* returns cost entry with the smallest delay */ -util::Cost_Entry util::Expansion_Cost_Entry::get_smallest_entry() const { - util::Cost_Entry smallest_entry; +Cost_Entry Expansion_Cost_Entry::get_smallest_entry() const { + Cost_Entry smallest_entry; for (auto entry : this->cost_vector) { if (!smallest_entry.valid() || entry.delay < smallest_entry.delay) { @@ -153,7 +189,7 @@ util::Cost_Entry util::Expansion_Cost_Entry::get_smallest_entry() const { } /* returns a cost entry that represents the average of all the recorded entries */ -util::Cost_Entry util::Expansion_Cost_Entry::get_average_entry() const { +Cost_Entry Expansion_Cost_Entry::get_average_entry() const { float avg_delay = 0; float avg_congestion = 0; @@ -165,11 +201,11 @@ util::Cost_Entry util::Expansion_Cost_Entry::get_average_entry() const { avg_delay /= (float)this->cost_vector.size(); avg_congestion /= (float)this->cost_vector.size(); - return util::Cost_Entry(avg_delay, avg_congestion); + return Cost_Entry(avg_delay, avg_congestion); } /* returns a cost entry that represents the geomean of all the recorded entries */ -util::Cost_Entry util::Expansion_Cost_Entry::get_geomean_entry() const { +Cost_Entry Expansion_Cost_Entry::get_geomean_entry() const { float geomean_delay = 0; float geomean_cong = 0; for (auto cost_entry : this->cost_vector) { @@ -180,11 +216,11 @@ util::Cost_Entry util::Expansion_Cost_Entry::get_geomean_entry() const { geomean_delay = exp(geomean_delay / (float)this->cost_vector.size()); geomean_cong = exp(geomean_cong / (float)this->cost_vector.size()); - return util::Cost_Entry(geomean_delay, geomean_cong); + return Cost_Entry(geomean_delay, geomean_cong); } /* returns a cost entry that represents the medial of all recorded entries */ -util::Cost_Entry util::Expansion_Cost_Entry::get_median_entry() const { +Cost_Entry Expansion_Cost_Entry::get_median_entry() const { /* find median by binning the delays of all entries and then chosing the bin * with the largest number of entries */ @@ -195,8 +231,8 @@ util::Cost_Entry util::Expansion_Cost_Entry::get_median_entry() const { int num_bins = 10; /* find entries with smallest and largest delays */ - util::Cost_Entry min_del_entry; - util::Cost_Entry max_del_entry; + Cost_Entry min_del_entry; + Cost_Entry max_del_entry; for (auto entry : this->cost_vector) { if (!min_del_entry.valid() || entry.delay < min_del_entry.delay) { min_del_entry = entry; @@ -211,7 +247,7 @@ util::Cost_Entry util::Expansion_Cost_Entry::get_median_entry() const { float bin_size = delay_diff / (float)num_bins; /* sort the cost entries into bins */ - std::vector> entry_bins(num_bins, std::vector()); + std::vector> entry_bins(num_bins, std::vector()); for (auto entry : this->cost_vector) { float bin_num = floor((entry.delay - min_del_entry.delay) / bin_size); @@ -234,7 +270,7 @@ util::Cost_Entry util::Expansion_Cost_Entry::get_median_entry() const { } /* get the representative delay of the largest bin */ - util::Cost_Entry representative_entry = entry_bins[largest_bin][0]; + Cost_Entry representative_entry = entry_bins[largest_bin][0]; return representative_entry; } @@ -242,7 +278,7 @@ util::Cost_Entry util::Expansion_Cost_Entry::get_median_entry() const { template void expand_dijkstra_neighbours(const RRGraphView& rr_graph, const Entry& parent_entry, - std::vector* paths, + std::vector* paths, std::vector* node_expanded, std::priority_queue, diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index c64ae8d4f6b..547d196395a 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -24,7 +24,6 @@ #include "vtr_geometry.h" #include "rr_node.h" #include "rr_graph_view.h" -#include "globals.h" /* we will profile delay/congestion using this many tracks for each wire type */ #define MAX_TRACK_OFFSET 16 @@ -47,41 +46,7 @@ class PQ_Entry { float R_upstream; float congestion_upstream; - PQ_Entry(RRNodeId set_rr_node, int /*switch_ind*/, float parent_delay, float parent_R_upstream, float parent_congestion_upstream, bool starting_node) { - this->rr_node = set_rr_node; - - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - this->delay = parent_delay; - this->congestion_upstream = parent_congestion_upstream; - this->R_upstream = parent_R_upstream; - if (!starting_node) { - auto cost_index = rr_graph.node_cost_index(RRNodeId(set_rr_node)); - //this->delay += rr_graph.node_C(RRNodeId(set_rr_node)) * (g_rr_switch_inf[switch_ind].R + 0.5*rr_graph.node_R(RRNodeId(set_rr_node))) + - // g_rr_switch_inf[switch_ind].Tdel; - - //FIXME going to use the delay data that the VPR7 lookahead uses. For some reason the delay calculation above calculates - // a value that's just a little smaller compared to what VPR7 lookahead gets. While the above calculation should be more accurate, - // I have found that it produces the same CPD results but with worse runtime. - // - // TODO: figure out whether anything's wrong with the calculation above and use that instead. If not, add the other - // terms like T_quadratic and R_upstream to the calculation below (they are == 0 or UNDEFINED for buffered archs I think) - - //NOTE: We neglect the T_quadratic and C_load terms and Switch R, so this lookahead is likely - // less accurate on unbuffered (e.g. pass-gate) architectures - - this->delay += device_ctx.rr_indexed_data[cost_index].T_linear; - - this->congestion_upstream += device_ctx.rr_indexed_data[cost_index].base_cost; - } - - if (this->delay < 0) { - VTR_LOG("NEGATIVE DELAY!\n"); - } - - /* set the cost of this node */ - this->cost = this->delay; - } + PQ_Entry(RRNodeId set_rr_node, int /*switch_ind*/, float parent_delay, float parent_R_upstream, float parent_congestion_upstream, bool starting_node); bool operator<(const PQ_Entry& obj) const { /* inserted into max priority queue so want queue entries with a lower cost to be greater */ From 3a761e7bc1d2d4a71f04fea7998326d885be48a2 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 10:24:54 -0500 Subject: [PATCH 033/608] add sample_loc parameter to run_dijkstra --- vpr/src/route/router_lookahead_map.cpp | 4 +++- vpr/src/route/router_lookahead_map_utils.cpp | 24 ++++++++++++-------- vpr/src/route/router_lookahead_map_utils.h | 4 +++- 3 files changed, 21 insertions(+), 11 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 5527d91c1a3..8f38a45c3ef 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -490,7 +490,9 @@ static void compute_router_wire_lookahead(const std::vector& segm util::t_routing_cost_map routing_cost_map = util::get_routing_cost_map(longest_seg_length, from_layer_num, chan_type, - segment_inf); + segment_inf, + std::unordered_map>(), + true); if (routing_cost_map.empty()) { continue; } diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index c403fbf490e..a00d36589d6 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -37,10 +37,12 @@ static void run_intra_tile_dijkstra(const RRGraphView& rr_graph, /* runs Dijkstra's algorithm from specified node until all nodes have been visited. Each time a pin is visited, the delay/congestion information * to that pin is stored is added to an entry in the routing_cost_map */ static void run_dijkstra(RRNodeId start_node, - int start_x, - int start_y, - util::t_routing_cost_map& routing_cost_map, - util::t_dijkstra_data* data); + int start_x, + int start_y, + util::t_routing_cost_map& routing_cost_map, + util::t_dijkstra_data* data, + const std::unordered_map>& sample_locs, + bool sample_all_locs); /* iterates over the children of the specified node and selectively pushes them onto the priority queue */ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, @@ -648,7 +650,9 @@ void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_ t_routing_cost_map get_routing_cost_map(int longest_seg_length, int from_layer_num, const e_rr_type& chan_type, - const t_segment_inf& segment_inf) { + const t_segment_inf& segment_inf, + const std::unordered_map>& sample_locs, + bool sample_all_locs) { const auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; const auto& grid = device_ctx.grid; @@ -1177,10 +1181,12 @@ static void run_intra_tile_dijkstra(const RRGraphView& rr_graph, /* runs Dijkstra's algorithm from specified node until all nodes have been visited. Each time a pin is visited, the delay/congestion information * to that pin is stored is added to an entry in the routing_cost_map */ static void run_dijkstra(RRNodeId start_node, - int start_x, - int start_y, - util::t_routing_cost_map& routing_cost_map, - util::t_dijkstra_data* data) { + int start_x, + int start_y, + util::t_routing_cost_map& routing_cost_map, + util::t_dijkstra_data* data, + const std::unordered_map>& sample_locs, + bool sample_all_locs) { auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index 547d196395a..827cbde6075 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -330,7 +330,9 @@ void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_ t_routing_cost_map get_routing_cost_map(int longest_seg_length, int from_layer_num, const e_rr_type& chan_type, - const t_segment_inf& segment_inf); + const t_segment_inf& segment_inf, + const std::unordered_map>& sample_locs, + bool sample_all_locs); } // namespace util #endif From 17e68075dfb2bdc6f85bf2cc8a363780a57225e0 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 10:44:32 -0500 Subject: [PATCH 034/608] vpr: don't sample loc if it is not marked --- .../route/router_lookahead_compressed_map.cpp | 5 +-- vpr/src/route/router_lookahead_map_utils.cpp | 35 ++++++++++++++----- 2 files changed, 29 insertions(+), 11 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index a848389771e..894866a97c7 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -94,12 +94,13 @@ static void initialize_compressed_loc_structs(std::vector& sampl VTR_ASSERT(sample_point_num == num_sampling_points); } -static void compute_router_wire_lookahead(const std::vector& segment_inf) { +static void compute_router_wire_lookahead(const std::vector& segment_inf_vec) { vtr::ScopedStartFinishTimer timer("Computing wire lookahead"); const auto& device_ctx = g_vpr_ctx.device(); + const auto& grid = device_ctx.grid; - auto sampling_regions = get_sampling_regions(segment_inf); + auto sampling_regions = get_sampling_regions(segment_inf_vec); int compresses_x_size = 0; int compressed_y_size = 0; diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index a00d36589d6..229d89ea4d8 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -774,7 +774,9 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, sample_x, sample_y, routing_cost_map, - &dijkstra_data); + &dijkstra_data, + sample_locs, + sample_all_locs); } } @@ -1227,19 +1229,34 @@ static void run_dijkstra(RRNodeId start_node, /* if this node is an ipin record its congestion/delay in the routing_cost_map */ if (rr_graph.node_type(curr_node) == IPIN) { + VTR_ASSERT_SAFE(rr_graph.node_xlow(curr_node) == rr_graph.node_xhigh(curr_node)); + VTR_ASSERT_SAFE(rr_graph.node_ylow(curr_node) == rr_graph.node_yhigh(curr_node)); int ipin_x = rr_graph.node_xlow(curr_node); int ipin_y = rr_graph.node_ylow(curr_node); int ipin_layer = rr_graph.node_layer(curr_node); - if (ipin_x >= start_x && ipin_y >= start_y) { - int delta_x, delta_y; - util::get_xy_deltas(start_node, curr_node, &delta_x, &delta_y); - delta_x = std::abs(delta_x); - delta_y = std::abs(delta_y); + bool store_this_pin = true; + if (!sample_all_locs) { + if (sample_locs.find(ipin_x) == sample_locs.end()) { + store_this_pin = false; + } else { + if (sample_locs.at(ipin_x).find(ipin_y) == sample_locs.at(ipin_x).end()) { + store_this_pin = false; + } + } + } - routing_cost_map[ipin_layer][delta_x][delta_y].add_cost_entry(util::e_representative_entry_method::SMALLEST, - current.delay, - current.congestion_upstream); + if (store_this_pin) { + if (ipin_x >= start_x && ipin_y >= start_y) { + int delta_x, delta_y; + util::get_xy_deltas(start_node, curr_node, &delta_x, &delta_y); + delta_x = std::abs(delta_x); + delta_y = std::abs(delta_y); + + routing_cost_map[ipin_layer][delta_x][delta_y].add_cost_entry(util::e_representative_entry_method::SMALLEST, + current.delay, + current.congestion_upstream); + } } } From d70fb9962608bce91e66615bbe1418afd6735d14 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 11:53:28 -0500 Subject: [PATCH 035/608] vpr: define t_compressed_wire_cost_map for compressed router lookahead --- .../route/router_lookahead_compressed_map.cpp | 2 ++ .../route/router_lookahead_compressed_map.h | 29 +++++++++---------- 2 files changed, 16 insertions(+), 15 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 894866a97c7..9f895477f83 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -26,6 +26,8 @@ vtr::Matrix compressed_loc_index_map; std::unordered_map> sample_locations; +t_compressed_wire_cost_map f_compressed_wire_cost_map; + struct SamplingRegion { SamplingRegion() = default; diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index e1305482da3..ab5647f0108 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -53,20 +53,19 @@ class CompressedMapLookahead : public RouterLookahead { } }; -class Cost_Entry { - public: - float delay; - float congestion; - - Cost_Entry() - : Cost_Entry(std::numeric_limits::quiet_NaN(), - std::numeric_limits::quiet_NaN()) { - } - - Cost_Entry(float set_delay, float set_congestion) { - delay = set_delay; - congestion = set_congestion; - } -}; +// This is a 5D array that stores estimates of the cost to reach a location at a particular distance away from the current location. +// The router look-ahead is built under the assumption of translation-invariance, meaning the current location (in terms of x-y coordinates) is not crucial. +// The indices of this array are as follows: +// from_layer: The layer number that the node under consideration is on. +// Chan type: The type of channel (x/y) that the node under consideration belongs to. +// Seg type: The type of segment (listed under "segmentlist" tag in the architecture file) that the node under consideration belongs to. +// to_layer: The layer number that the target node is on. +// compressed index: In this type of router look-ahead, we do not sample every x and y. Another data structure maps every x and y to +// an index. That index should be used here. + +typedef vtr::NdMatrix t_compressed_wire_cost_map; //[0..num_layers][0..1][[0..num_seg_types-1][0..num_layers][compressed_idx] + //[0..1] entry distinguish between CHANX/CHANY start nodes respectively + // The first index is the layer number that the node under consideration is on, and the forth index + // is the layer number that the target node is on. #endif //VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H From 37eea49d77c7f1d3301fd855f6602d39f8abfd58 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 12:49:10 -0500 Subject: [PATCH 036/608] vpr: impl set_compressed_lookahead_map_costs for compressed router lookahead --- .../route/router_lookahead_compressed_map.cpp | 32 +++++++++++++++++++ .../route/router_lookahead_compressed_map.h | 2 +- 2 files changed, 33 insertions(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 9f895477f83..1168bf7a181 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -56,6 +56,8 @@ static void initialize_compressed_loc_structs(std::vector& sampl static std::vector get_sampling_regions(const std::vector& segment_inf); +static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map); + static void initialize_compressed_loc_structs(std::vector& sampling_regions, int num_sampling_points) { std::sort(sampling_regions.begin(), sampling_regions.end(), [](const SamplingRegion& a, const SamplingRegion& b) { VTR_ASSERT_DEBUG(a.width() == b.width() && a.height() == b.height()); @@ -75,6 +77,9 @@ static void initialize_compressed_loc_structs(std::vector& sampl } }); + const auto& grid = g_vpr_ctx.device().grid; + compressed_loc_index_map.resize({grid.width(), grid.height()}, OPEN); + int sample_point_num = 0; for (const auto& sample_region: sampling_regions) { int step = sample_region.step; @@ -157,6 +162,33 @@ static std::vector get_sampling_regions(const std::vector(routing_cost_map.dim_size(0)); + for (int to_layer = 0; to_layer < to_layer_dim; to_layer++) { + int x_dim = static_cast(routing_cost_map.dim_size(1)); + for (int ix = 0; ix < x_dim; ix++) { + int y_dim = static_cast(routing_cost_map.dim_size(2)); + for (int iy = 0; iy < y_dim; iy++) { + if (sample_locations.find(ix) == sample_locations.end() || sample_locations.at(ix).find(iy) == sample_locations[ix].end()) { + continue; + } + util::Expansion_Cost_Entry& expansion_cost_entry = routing_cost_map[to_layer][ix][iy]; + int compressed_idx = compressed_loc_index_map[ix][iy]; + VTR_ASSERT(compressed_idx != OPEN); + + f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer][compressed_idx] = + expansion_cost_entry.get_representative_cost_entry(util::e_representative_entry_method::SMALLEST); + } + } + } +} + diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index ab5647f0108..863028e276a 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -63,7 +63,7 @@ class CompressedMapLookahead : public RouterLookahead { // compressed index: In this type of router look-ahead, we do not sample every x and y. Another data structure maps every x and y to // an index. That index should be used here. -typedef vtr::NdMatrix t_compressed_wire_cost_map; //[0..num_layers][0..1][[0..num_seg_types-1][0..num_layers][compressed_idx] +typedef vtr::NdMatrix t_compressed_wire_cost_map; //[0..num_layers][0..1][[0..num_seg_types-1][0..num_layers][compressed_idx] //[0..1] entry distinguish between CHANX/CHANY start nodes respectively // The first index is the layer number that the node under consideration is on, and the forth index // is the layer number that the target node is on. From 858a029a4b5b7b4f7bdf9aad8dfc4b91ca890e54 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 14:01:24 -0500 Subject: [PATCH 037/608] vpr: impl fill_in_missing_compressed_lookahead_entries for compressed router lookahead --- .../route/router_lookahead_compressed_map.cpp | 102 ++++++++++++++++++ 1 file changed, 102 insertions(+) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 1168bf7a181..07575166410 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -56,8 +56,20 @@ static void initialize_compressed_loc_structs(std::vector& sampl static std::vector get_sampling_regions(const std::vector& segment_inf); +/* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map); +/* fills in missing lookahead map entries by copying the cost of the closest valid entry */ +static void fill_in_missing_compressed_lookahead_entries(int segment_index, e_rr_type chan_type); + +/* returns a cost entry in the f_wire_cost_map that is near the specified coordinates (and preferably towards (0,0)) */ +static util::Cost_Entry get_nearby_cost_entry_compressed_lookahead(int from_layer_num, + int x, + int y, + int to_layer_num, + int segment_index, + int chan_index); + static void initialize_compressed_loc_structs(std::vector& sampling_regions, int num_sampling_points) { std::sort(sampling_regions.begin(), sampling_regions.end(), [](const SamplingRegion& a, const SamplingRegion& b) { VTR_ASSERT_DEBUG(a.width() == b.width() && a.height() == b.height()); @@ -189,6 +201,96 @@ static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_i } } +static void fill_in_missing_compressed_lookahead_entries(int segment_index, e_rr_type chan_type) { + int chan_index = 0; + if (chan_type == CHANY) { + chan_index = 1; + } + + auto& device_ctx = g_vpr_ctx.device(); + int grid_width = static_cast(device_ctx.grid.width()); + int grid_height = static_cast(device_ctx.grid.height()); + /* find missing cost entries and fill them in by copying a nearby cost entry */ + for (int from_layer_num = 0; from_layer_num < device_ctx.grid.get_num_layers(); from_layer_num++) { + for (int to_layer_num = 0; to_layer_num < device_ctx.grid.get_num_layers(); ++to_layer_num) { + for (int ix = 0; ix < grid_width; ix++) { + for (int iy = 0; iy < grid_height; iy++) { + if (sample_locations.find(ix) == sample_locations.end() || sample_locations.at(ix).find(iy) == sample_locations[ix].end()) { + continue; + } + int compressed_idx = compressed_loc_index_map[ix][iy]; + util::Cost_Entry cost_entry = f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][compressed_idx]; + + if (std::isnan(cost_entry.delay) && std::isnan(cost_entry.congestion)) { + util::Cost_Entry copied_entry = get_nearby_cost_entry_compressed_lookahead(from_layer_num, + ix, + iy, + to_layer_num, + segment_index, + chan_index); + f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][compressed_idx] = copied_entry; + } + } + } + } + } +} + +/* returns a cost entry in the f_wire_cost_map that is near the specified coordinates (and preferably towards (0,0)) */ +static util::Cost_Entry get_nearby_cost_entry_compressed_lookahead(int from_layer_num, + int x, + int y, + int to_layer_num, + int segment_index, + int chan_index) { + /* compute the slope from x,y to 0,0 and then move towards 0,0 by one unit to get the coordinates + * of the cost entry to be copied */ + + //VTR_ASSERT(x > 0 || y > 0); //Asertion fails in practise. TODO: debug + + float slope; + if (x == 0) { + slope = 1e12; //just a really large number + } else if (y == 0) { + slope = 1e-12; //just a really small number + } else { + slope = (float)y / (float)x; + } + + int copy_x, copy_y; + if (slope >= 1.0) { + copy_y = y - 1; + copy_x = vtr::nint((float)y / slope); + } else { + copy_x = x - 1; + copy_y = vtr::nint((float)x * slope); + } + + copy_y = std::max(copy_y, 0); //Clip to zero + copy_x = std::max(copy_x, 0); //Clip to zero + + int compressed_idx = compressed_loc_index_map[copy_x][copy_y]; + + util::Cost_Entry copy_entry = f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][compressed_idx]; + + /* if the entry to be copied is also empty, recurse */ + if (std::isnan(copy_entry.delay) && std::isnan(copy_entry.congestion)) { + if (copy_x == 0 && copy_y == 0) { + copy_entry = util::Cost_Entry(0., 0.); //(0, 0) entry is invalid so set zero to terminate recursion + // set zero if the source and sink nodes are on the same layer. If they are not, it means that there is no connection from the source node to + // the other layer. This means that the connection should be set to a very large number + if (from_layer_num == to_layer_num) { + copy_entry = util::Cost_Entry(0., 0.); + } else { + copy_entry = util::Cost_Entry(std::numeric_limits::max() / 1e12, std::numeric_limits::max() / 1e12); + } + } else { + copy_entry = get_nearby_cost_entry_compressed_lookahead(from_layer_num, copy_x, copy_y, to_layer_num, segment_index, chan_index); + } + } + + return copy_entry; +} From 0ca82d9d8486f76e4570c0ce200bdfda100f86a7 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 14:05:18 -0500 Subject: [PATCH 038/608] vpr complete the impl of compute_router_wire_compressed_lookahead for compressed router lookahead --- .../route/router_lookahead_compressed_map.cpp | 47 +++++++++++++++++-- 1 file changed, 44 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 07575166410..5bb8a6b854c 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -54,6 +54,8 @@ struct SamplingRegion { static void initialize_compressed_loc_structs(std::vector& sampling_regions, int num_sampling_points); +static void compute_router_wire_compressed_lookahead(const std::vector& segment_inf_vec); + static std::vector get_sampling_regions(const std::vector& segment_inf); /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ @@ -113,7 +115,7 @@ static void initialize_compressed_loc_structs(std::vector& sampl VTR_ASSERT(sample_point_num == num_sampling_points); } -static void compute_router_wire_lookahead(const std::vector& segment_inf_vec) { +static void compute_router_wire_compressed_lookahead(const std::vector& segment_inf_vec) { vtr::ScopedStartFinishTimer timer("Computing wire lookahead"); const auto& device_ctx = g_vpr_ctx.device(); @@ -132,6 +134,45 @@ static void compute_router_wire_lookahead(const std::vector& segm } initialize_compressed_loc_structs(sampling_regions, compresses_x_size * compressed_y_size); + + int longest_seg_length = 0; + for (const auto& seg_inf : segment_inf_vec) { + longest_seg_length = std::max(longest_seg_length, seg_inf.length); + } + + //Profile each wire segment type + for (int from_layer_num = 0; from_layer_num < grid.get_num_layers(); from_layer_num++) { + for (const auto& segment_inf : segment_inf_vec) { + std::map> sample_nodes; + std::vector chan_types; + if (segment_inf.parallel_axis == X_AXIS) + chan_types.push_back(CHANX); + else if (segment_inf.parallel_axis == Y_AXIS) + chan_types.push_back(CHANY); + else //Both for BOTH_AXIS segments and special segments such as clock_networks we want to search in both directions. + chan_types.insert(chan_types.end(), {CHANX, CHANY}); + + for (e_rr_type chan_type : chan_types) { + util::t_routing_cost_map routing_cost_map = util::get_routing_cost_map(longest_seg_length, + from_layer_num, + chan_type, + segment_inf, + sample_locations, + false); + if (routing_cost_map.empty()) { + continue; + } + + /* boil down the cost list in routing_cost_map at each coordinate to a representative cost entry and store it in the lookahead + * cost map */ + set_compressed_lookahead_map_costs(from_layer_num, segment_inf.seg_index, chan_type, routing_cost_map); + + /* fill in missing entries in the lookahead cost map by copying the closest cost entries (cost map was computed based on + * a reference coordinate > (0,0) so some entries that represent a cross-chip distance have not been computed) */ + fill_in_missing_compressed_lookahead_entries(segment_inf.seg_index, chan_type); + } + } + } } static std::vector get_sampling_regions(const std::vector& segment_inf) { @@ -140,8 +181,8 @@ static std::vector get_sampling_regions(const std::vector sampling_regions; - int grid_width = grid.width(); - int grid_height = grid.height(); + int grid_width = static_cast(grid.width()); + int grid_height = static_cast(grid.height()); int max_seg_lenght = std::numeric_limits::min(); int min_seg_length = std::numeric_limits::max(); From 736452128ef86615e364b1e8fa779ea8004fb47c Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 14:19:35 -0500 Subject: [PATCH 039/608] vpr: remvoe get_xy_delta from router_lookahead_map --- vpr/src/route/router_lookahead_map.cpp | 8 +++----- vpr/src/route/router_lookahead_map.h | 2 +- 2 files changed, 4 insertions(+), 6 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 8f38a45c3ef..f3b9d9b0900 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -146,8 +146,6 @@ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_type); /* returns a cost entry in the f_wire_cost_map that is near the specified coordinates (and preferably towards (0,0)) */ static util::Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, int to_layer_num, int segment_index, int chan_index); -/* returns the absolute delta_x and delta_y offset required to reach to_node from from_node */ -static void get_xy_deltas(const RRNodeId from_node, const RRNodeId to_node, int* delta_x, int* delta_y); /******** Interface class member function definitions ********/ MapLookahead::MapLookahead(const t_det_routing_arch& det_routing_arch, bool is_flat) @@ -221,7 +219,7 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod // distance_based_min_cost to get an estimation of the global cost, and then, add this cost to the tile_min_cost // to have an estimation of the cost of getting into a cluster - We don't have any estimation of the cost to get out of the cluster int delta_x, delta_y; - get_xy_deltas(current_node, target_node, &delta_x, &delta_y); + util::get_xy_deltas(current_node, target_node, &delta_x, &delta_y); delta_x = abs(delta_x); delta_y = abs(delta_y); delay_cost = params.criticality * distance_based_min_cost[to_layer_num][delta_x][delta_y].delay; @@ -253,7 +251,7 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod cong_offset_cost = 0.; } else { int delta_x, delta_y; - get_xy_deltas(current_node, target_node, &delta_x, &delta_y); + util::get_xy_deltas(current_node, target_node, &delta_x, &delta_y); delta_x = abs(delta_x); delta_y = abs(delta_y); delay_cost = params.criticality * distance_based_min_cost[to_layer_num][delta_x][delta_y].delay; @@ -290,7 +288,7 @@ std::pair MapLookahead::get_expected_delay_and_cong(RRNodeId from_ int delta_x, delta_y; int from_layer_num = rr_graph.node_layer(from_node); int to_layer_num = rr_graph.node_layer(to_node); - get_xy_deltas(from_node, to_node, &delta_x, &delta_y); + util::get_xy_deltas(from_node, to_node, &delta_x, &delta_y); delta_x = abs(delta_x); delta_y = abs(delta_y); diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index bcf65054d0e..f35692b5b8b 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -24,7 +24,7 @@ class MapLookahead : public RouterLookahead { bool is_flat_; protected: - float get_expected_cost(RRNodeId node, RRNodeId target_node, const t_conn_cost_params& params, float R_upstream) const override; + float get_expected_cost(RRNodeId current_node, RRNodeId target_node, const t_conn_cost_params& params, float R_upstream) const override; std::pair get_expected_delay_and_cong(RRNodeId from_node, RRNodeId to_node, const t_conn_cost_params& params, float R_upstream) const override; void compute(const std::vector& segment_inf) override; From 4fee067ffee37f05b80d7a6311f24429598052a6 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 14:37:19 -0500 Subject: [PATCH 040/608] vpr: move the part related to getting router lookahead cost when flat router is enabled to a separate function --- vpr/src/route/router_lookahead_map.cpp | 188 +++++++++++++------------ vpr/src/route/router_lookahead_map.h | 1 + 2 files changed, 102 insertions(+), 87 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index f3b9d9b0900..447ecd9975c 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -156,100 +156,93 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; + t_rr_type from_rr_type = rr_graph.node_type(current_node); + + VTR_ASSERT_SAFE(rr_graph.node_type(target_node) == t_rr_type::SINK); + + float delay_cost = 0.; + float cong_cost = 0.; + + if (is_flat_) { + return get_expected_cost_flat_router(current_node, target_node, params, R_upstream); + } else { + if (from_rr_type == CHANX || from_rr_type == CHANY || from_rr_type == SOURCE || from_rr_type == OPIN) { + // Get the total cost using the combined delay and congestion costs + std::tie(delay_cost, cong_cost) = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); + return delay_cost + cong_cost; + } else if (from_rr_type == IPIN) { /* Change if you're allowing route-throughs */ + return (device_ctx.rr_indexed_data[RRIndexedDataId(SINK_COST_INDEX)].base_cost); + } else { /* Change this if you want to investigate route-throughs */ + return (0.); + } + } +} + +float MapLookahead::get_expected_cost_flat_router(RRNodeId current_node, RRNodeId target_node, const t_conn_cost_params& params, float R_upstream) const { + + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + t_rr_type from_rr_type = rr_graph.node_type(current_node); + + VTR_ASSERT_SAFE(rr_graph.node_type(target_node) == t_rr_type::SINK); + + float delay_cost = 0.; + float cong_cost = 0.; + t_physical_tile_type_ptr from_physical_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(current_node), rr_graph.node_ylow(current_node), rr_graph.node_layer(current_node)}); - t_rr_type from_rr_type = rr_graph.node_type(current_node); int from_node_ptc_num = rr_graph.node_ptc_num(current_node); - t_physical_tile_type_ptr to_physical_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(target_node), rr_graph.node_ylow(target_node), rr_graph.node_layer(target_node)}); - t_rr_type to_rr_type = rr_graph.node_type(target_node); - int to_node_ptc_num = rr_graph.node_ptc_num(target_node); - int to_layer_num = rr_graph.node_layer(target_node); - VTR_ASSERT(to_rr_type == t_rr_type::SINK); - - float delay_cost = 0.; - float cong_cost = 0.; float delay_offset_cost = 0.; float cong_offset_cost = 0.; - - if (is_flat_) { - // We have not checked the multi-layer FPGA for flat routing - VTR_ASSERT(rr_graph.node_layer(current_node) == rr_graph.node_layer(target_node)); - if (from_rr_type == CHANX || from_rr_type == CHANY) { + int to_node_ptc_num = rr_graph.node_ptc_num(target_node); + int to_layer_num = rr_graph.node_layer(target_node); + // We have not checked the multi-layer FPGA for flat routing + VTR_ASSERT(rr_graph.node_layer(current_node) == rr_graph.node_layer(target_node)); + if (from_rr_type == CHANX || from_rr_type == CHANY) { + std::tie(delay_cost, cong_cost) = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); + + // delay_cost and cong_cost only represent the cost to get to the root-level pins. The below offsets are used to represent the intra-cluster cost + // of getting to a sink + delay_offset_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; + cong_offset_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; + + return delay_cost + cong_cost + delay_offset_cost + cong_offset_cost; + } else if (from_rr_type == OPIN) { + if (is_inter_cluster_node(from_physical_type, + from_rr_type, + from_node_ptc_num)) { + // Similar to CHANX and CHANY std::tie(delay_cost, cong_cost) = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); - // delay_cost and cong_cost only represent the cost to get to the root-level pins. The below offsets are used to represent the intra-cluster cost - // of getting to a sink delay_offset_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; cong_offset_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; - return delay_cost + cong_cost + delay_offset_cost + cong_offset_cost; - } else if (from_rr_type == OPIN) { - if (is_inter_cluster_node(from_physical_type, - from_rr_type, - from_node_ptc_num)) { - // Similar to CHANX and CHANY - std::tie(delay_cost, cong_cost) = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); - - delay_offset_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; - cong_offset_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; - return delay_cost + cong_cost + delay_offset_cost + cong_offset_cost; - } else { - if (node_in_same_physical_tile(current_node, target_node)) { - delay_offset_cost = 0.; - cong_offset_cost = 0.; - const auto& pin_delays = intra_tile_pin_primitive_pin_delay.at(from_physical_type->index)[from_node_ptc_num]; - auto pin_delay_itr = pin_delays.find(rr_graph.node_ptc_num(target_node)); - if (pin_delay_itr == pin_delays.end()) { - // There isn't any intra-cluster path to connect the current OPIN to the SINK, thus it has to outside. - // The best estimation we have now, it the minimum intra-cluster delay to the sink. However, this cost is incomplete, - // since it does not consider the cost of going outside of the cluster and, then, returning to it. - delay_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; - cong_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; - return delay_cost + cong_cost; - } else { - delay_cost = params.criticality * pin_delay_itr->second.delay; - cong_cost = (1. - params.criticality) * pin_delay_itr->second.congestion; - } - } else { - // Since we don't know which type of wires are accessible from an OPIN inside the cluster, we use - // distance_based_min_cost to get an estimation of the global cost, and then, add this cost to the tile_min_cost - // to have an estimation of the cost of getting into a cluster - We don't have any estimation of the cost to get out of the cluster - int delta_x, delta_y; - util::get_xy_deltas(current_node, target_node, &delta_x, &delta_y); - delta_x = abs(delta_x); - delta_y = abs(delta_y); - delay_cost = params.criticality * distance_based_min_cost[to_layer_num][delta_x][delta_y].delay; - cong_cost = (1. - params.criticality) * distance_based_min_cost[to_layer_num][delta_x][delta_y].congestion; - - delay_offset_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; - cong_offset_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; - } - return delay_cost + cong_cost + delay_offset_cost + cong_offset_cost; - } - } else if (from_rr_type == IPIN) { - // we assume that route-through is not enabled. - VTR_ASSERT(node_in_same_physical_tile(current_node, target_node)); - const auto& pin_delays = intra_tile_pin_primitive_pin_delay.at(from_physical_type->index)[from_node_ptc_num]; - auto pin_delay_itr = pin_delays.find(rr_graph.node_ptc_num(target_node)); - if (pin_delay_itr == pin_delays.end()) { - delay_cost = std::numeric_limits::max() / 1e12; - cong_cost = std::numeric_limits::max() / 1e12; - } else { - delay_cost = params.criticality * pin_delay_itr->second.delay; - cong_cost = (1. - params.criticality) * pin_delay_itr->second.congestion; - } - return delay_cost + cong_cost; - } else if (from_rr_type == SOURCE) { + } else { if (node_in_same_physical_tile(current_node, target_node)) { - delay_cost = 0.; - cong_cost = 0.; delay_offset_cost = 0.; cong_offset_cost = 0.; + const auto& pin_delays = intra_tile_pin_primitive_pin_delay.at(from_physical_type->index)[from_node_ptc_num]; + auto pin_delay_itr = pin_delays.find(rr_graph.node_ptc_num(target_node)); + if (pin_delay_itr == pin_delays.end()) { + // There isn't any intra-cluster path to connect the current OPIN to the SINK, thus it has to outside. + // The best estimation we have now, it the minimum intra-cluster delay to the sink. However, this cost is incomplete, + // since it does not consider the cost of going outside of the cluster and, then, returning to it. + delay_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; + cong_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; + return delay_cost + cong_cost; + } else { + delay_cost = params.criticality * pin_delay_itr->second.delay; + cong_cost = (1. - params.criticality) * pin_delay_itr->second.congestion; + } } else { + // Since we don't know which type of wires are accessible from an OPIN inside the cluster, we use + // distance_based_min_cost to get an estimation of the global cost, and then, add this cost to the tile_min_cost + // to have an estimation of the cost of getting into a cluster - We don't have any estimation of the cost to get out of the cluster int delta_x, delta_y; util::get_xy_deltas(current_node, target_node, &delta_x, &delta_y); delta_x = abs(delta_x); @@ -261,20 +254,41 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod cong_offset_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; } return delay_cost + cong_cost + delay_offset_cost + cong_offset_cost; + } + } else if (from_rr_type == IPIN) { + // we assume that route-through is not enabled. + VTR_ASSERT(node_in_same_physical_tile(current_node, target_node)); + const auto& pin_delays = intra_tile_pin_primitive_pin_delay.at(from_physical_type->index)[from_node_ptc_num]; + auto pin_delay_itr = pin_delays.find(rr_graph.node_ptc_num(target_node)); + if (pin_delay_itr == pin_delays.end()) { + delay_cost = std::numeric_limits::max() / 1e12; + cong_cost = std::numeric_limits::max() / 1e12; } else { - VTR_ASSERT(from_rr_type == SINK); - return (0.); + delay_cost = params.criticality * pin_delay_itr->second.delay; + cong_cost = (1. - params.criticality) * pin_delay_itr->second.congestion; } - } else { - if (from_rr_type == CHANX || from_rr_type == CHANY || from_rr_type == SOURCE || from_rr_type == OPIN) { - // Get the total cost using the combined delay and congestion costs - std::tie(delay_cost, cong_cost) = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); - return delay_cost + cong_cost; - } else if (from_rr_type == IPIN) { /* Change if you're allowing route-throughs */ - return (device_ctx.rr_indexed_data[RRIndexedDataId(SINK_COST_INDEX)].base_cost); - } else { /* Change this if you want to investigate route-throughs */ - return (0.); + return delay_cost + cong_cost; + } else if (from_rr_type == SOURCE) { + if (node_in_same_physical_tile(current_node, target_node)) { + delay_cost = 0.; + cong_cost = 0.; + delay_offset_cost = 0.; + cong_offset_cost = 0.; + } else { + int delta_x, delta_y; + util::get_xy_deltas(current_node, target_node, &delta_x, &delta_y); + delta_x = abs(delta_x); + delta_y = abs(delta_y); + delay_cost = params.criticality * distance_based_min_cost[to_layer_num][delta_x][delta_y].delay; + cong_cost = (1. - params.criticality) * distance_based_min_cost[to_layer_num][delta_x][delta_y].congestion; + + delay_offset_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; + cong_offset_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; } + return delay_cost + cong_cost + delay_offset_cost + cong_offset_cost; + } else { + VTR_ASSERT(from_rr_type == SINK); + return (0.); } } diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index f35692b5b8b..2dcb56231df 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -11,6 +11,7 @@ class MapLookahead : public RouterLookahead { explicit MapLookahead(const t_det_routing_arch& det_routing_arch, bool is_flat); private: + float get_expected_cost_flat_router(RRNodeId current_node, RRNodeId target_node, const t_conn_cost_params& params, float R_upstream) const; //Look-up table from SOURCE/OPIN to CHANX/CHANY of various types util::t_src_opin_delays src_opin_delays; // Lookup table from a tile pins to the primitive classes inside that tile From ef47248d66d1227bcee644a165654c50fff6b686 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 17:07:17 -0500 Subject: [PATCH 041/608] vpr: move get_cost_from_src_opin to map_utils --- vpr/src/route/router_lookahead_map_utils.cpp | 64 ++++++++++++++++++++ vpr/src/route/router_lookahead_map_utils.h | 18 ++++++ 2 files changed, 82 insertions(+) diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 229d89ea4d8..ab034c17b2f 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -783,6 +783,70 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, return routing_cost_map; } +std::pair get_cost_from_src_opin(const std::map& src_opin_delay_map, + int delta_x, + int delta_y, + int to_layer_num, + WireCostFunc wire_cost_func) { + float expected_delay_cost = std::numeric_limits::infinity(); + float expected_cong_cost = std::numeric_limits::infinity(); + if (src_opin_delay_map.empty()) { + //During lookahead profiling we were unable to find any wires which connected + //to this PTC. + // + //This can sometimes occur at very low channel widths (e.g. during min W search on + //small designs) where W discretization combined with fraction Fc may cause some + //pins/sources to be left disconnected. + // + //Such RR graphs are of course unroutable, but that should be determined by the + //router. So just return an arbitrary value here rather than error. + + //We choose to return the largest (non-infinite) value possible, but scaled + //down by a large factor to maintain some dynaimc range in case this value ends + //up being processed (e.g. by the timing analyzer). + // + //The cost estimate should still be *extremely* large compared to a typical delay, and + //so should ensure that the router de-prioritizes exploring this path, but does not + //forbid the router from trying. + expected_delay_cost = std::numeric_limits::max() / 1e12; + expected_cong_cost = std::numeric_limits::max() / 1e12; + } else { + //From the current SOURCE/OPIN we look-up the wiretypes which are reachable + //and then add the estimates from those wire types for the distance of interest. + //If there are multiple options we use the minimum value. + for (const auto& kv : src_opin_delay_map) { + const util::t_reachable_wire_inf& reachable_wire_inf = kv.second; + + util::Cost_Entry wire_cost_entry; + if (reachable_wire_inf.wire_rr_type == SINK) { + //Some pins maybe reachable via a direct (OPIN -> IPIN) connection. + //In the lookahead, we treat such connections as 'special' wire types + //with no delay/congestion cost + wire_cost_entry.delay = 0; + wire_cost_entry.congestion = 0; + } else { + //For an actual accessible wire, we query the wire look-up to get it's + //delay and congestion cost estimates + wire_cost_entry = wire_cost_func(reachable_wire_inf.wire_rr_type, + reachable_wire_inf.wire_seg_index, + reachable_wire_inf.layer_number, + delta_x, + delta_y, + to_layer_num); + } + + float this_delay_cost = reachable_wire_inf.delay + wire_cost_entry.delay; + float this_cong_cost = reachable_wire_inf.congestion + wire_cost_entry.congestion; + + expected_delay_cost = std::min(expected_delay_cost, this_delay_cost); + expected_cong_cost = std::min(expected_cong_cost, this_cong_cost); + } + } + + return std::make_pair(expected_delay_cost, expected_cong_cost); +} + + } // namespace util static void dijkstra_flood_to_wires(int itile, diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index 827cbde6075..360fa4b9844 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -307,6 +307,8 @@ typedef std::vector> t_ipin_primitive_sink_d // and the tile's IPIN. If there are many connections to the same IPIN, the one with the minimum delay is selected. typedef std::vector>> t_chan_ipins_delays; +typedef Cost_Entry (*WireCostFunc)(e_rr_type, int, int, int, int, int); + /** * @brief For each tile, iterate over its OPINs and store which segment types are accessible from each OPIN * @param is_flat @@ -333,6 +335,22 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, const t_segment_inf& segment_inf, const std::unordered_map>& sample_locs, bool sample_all_locs); + +/** + * @brief Iterate over all of the wire segments accessible from the SOURCE/OPIN (stored in src_opin_delay_map) and return the minimum cost (congestion and delay) across them to the sink + * @param src_opin_delay_map + * @param layer_num + * @param delta_x + * @param delta_y + * @param to_layer_num + * @param wire_cost_func call back function that would return a cost ot get to a given location from the given segment + * @return (delay, congestion) + */ +std::pair get_cost_from_src_opin(const std::map& src_opin_delay_map, + int delta_x, + int delta_y, + int to_layer_num, + WireCostFunc wire_cost_func); } // namespace util #endif From 61577c153e0c0575c4fdfb414de8ef709e9fd1ca Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 17:18:34 -0500 Subject: [PATCH 042/608] vpr: implement get_wire_cost_entry_compressed_lookahead to lookup a cost for compressed router lookahead --- .../route/router_lookahead_compressed_map.cpp | 18 ++++ vpr/src/route/router_lookahead_map.cpp | 98 +++---------------- 2 files changed, 30 insertions(+), 86 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 5bb8a6b854c..ea03268aa77 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -72,6 +72,8 @@ static util::Cost_Entry get_nearby_cost_entry_compressed_lookahead(int from_laye int segment_index, int chan_index); +static util::Cost_Entry get_wire_cost_entry_compressed_lookahead(e_rr_type rr_type, int seg_index, int from_layer_num, int delta_x, int delta_y, int to_layer_num); + static void initialize_compressed_loc_structs(std::vector& sampling_regions, int num_sampling_points) { std::sort(sampling_regions.begin(), sampling_regions.end(), [](const SamplingRegion& a, const SamplingRegion& b) { VTR_ASSERT_DEBUG(a.width() == b.width() && a.height() == b.height()); @@ -333,6 +335,22 @@ static util::Cost_Entry get_nearby_cost_entry_compressed_lookahead(int from_laye return copy_entry; } +static util::Cost_Entry get_wire_cost_entry_compressed_lookahead(e_rr_type rr_type, int seg_index, int from_layer_num, int delta_x, int delta_y, int to_layer_num) { + VTR_ASSERT_SAFE(rr_type == CHANX || rr_type == CHANY); + + int chan_index = 0; + if (rr_type == CHANY) { + chan_index = 1; + } + + int compressed_idx = compressed_loc_index_map[delta_x][delta_y]; + VTR_ASSERT_SAFE(from_layer_num < (int)f_compressed_wire_cost_map.dim_size(0)); + VTR_ASSERT_SAFE(to_layer_num < (int)f_compressed_wire_cost_map.dim_size(3)); + VTR_ASSERT_SAFE(compressed_idx < (int)f_compressed_wire_cost_map.dim_size(4)); + + return f_compressed_wire_cost_map[from_layer_num][chan_index][seg_index][to_layer_num][compressed_idx]; +} + /******** Interface class member function definitions ********/ diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 447ecd9975c..a847e2defb3 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -72,12 +72,12 @@ t_wire_cost_map f_wire_cost_map; * @brief Fill f_wire_cost_map. It is a look-up table from CHANX/CHANY (to SINKs) for various distances * @param segment_inf */ -util::Cost_Entry get_wire_cost_entry(e_rr_type rr_type, - int seg_index, - int from_layer_num, - int delta_x, - int delta_y, - int to_layer_num); +static util::Cost_Entry get_wire_cost_entry(e_rr_type rr_type, + int seg_index, + int from_layer_num, + int delta_x, + int delta_y, + int to_layer_num); static void compute_router_wire_lookahead(const std::vector& segment_inf); /*** @@ -119,19 +119,6 @@ static void store_min_cost_to_sinks(std::unordered_map& internal_opin_global_cost_map); -/** - * @brief Iterate over all of the wire segments accessible from the SOURCE/OPIN (stored in src_opin_delay_map) and return the minimum cost (congestion and delay) across them to the sink - * @param src_opin_delay_map - * @param layer_num - * @param delta_x - * @param delta_y - * @return (delay, congestion) - */ -static std::pair get_cost_from_src_opin(const std::map& src_opin_delay_map, - int delta_x, - int delta_y, - int to_layer_num); - // Read the file and fill intra_tile_pin_primitive_pin_delay and tile_min_cost static void read_intra_cluster_router_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay, const std::string& file); @@ -324,10 +311,11 @@ std::pair MapLookahead::get_expected_delay_and_cong(RRNodeId from_ auto from_ptc = rr_graph.node_ptc_num(from_node); - std::tie(expected_delay_cost, expected_cong_cost) = get_cost_from_src_opin(src_opin_delays[from_layer_num][from_tile_index][from_ptc][to_layer_num], - delta_x, - delta_y, - to_layer_num); + std::tie(expected_delay_cost, expected_cong_cost) = util::get_cost_from_src_opin(src_opin_delays[from_layer_num][from_tile_index][from_ptc][to_layer_num], + delta_x, + delta_y, + to_layer_num, + get_wire_cost_entry); expected_delay_cost *= params.criticality; expected_cong_cost *= (1 - params.criticality); @@ -450,7 +438,7 @@ void MapLookahead::write_intra_cluster(const std::string& file) const { /******** Function Definitions ********/ -util::Cost_Entry get_wire_cost_entry(e_rr_type rr_type, int seg_index, int from_layer_num, int delta_x, int delta_y, int to_layer_num) { +static util::Cost_Entry get_wire_cost_entry(e_rr_type rr_type, int seg_index, int from_layer_num, int delta_x, int delta_y, int to_layer_num) { VTR_ASSERT_SAFE(rr_type == CHANX || rr_type == CHANY); int chan_index = 0; @@ -735,68 +723,6 @@ static void min_global_cost_map(vtr::NdMatrix& internal_opi } } -static std::pair get_cost_from_src_opin(const std::map& src_opin_delay_map, - int delta_x, - int delta_y, - int to_layer_num) { - float expected_delay_cost = std::numeric_limits::infinity(); - float expected_cong_cost = std::numeric_limits::infinity(); - if (src_opin_delay_map.empty()) { - //During lookahead profiling we were unable to find any wires which connected - //to this PTC. - // - //This can sometimes occur at very low channel widths (e.g. during min W search on - //small designs) where W discretization combined with fraction Fc may cause some - //pins/sources to be left disconnected. - // - //Such RR graphs are of course unroutable, but that should be determined by the - //router. So just return an arbitrary value here rather than error. - - //We choose to return the largest (non-infinite) value possible, but scaled - //down by a large factor to maintain some dynaimc range in case this value ends - //up being processed (e.g. by the timing analyzer). - // - //The cost estimate should still be *extremely* large compared to a typical delay, and - //so should ensure that the router de-prioritizes exploring this path, but does not - //forbid the router from trying. - expected_delay_cost = std::numeric_limits::max() / 1e12; - expected_cong_cost = std::numeric_limits::max() / 1e12; - } else { - //From the current SOURCE/OPIN we look-up the wiretypes which are reachable - //and then add the estimates from those wire types for the distance of interest. - //If there are multiple options we use the minimum value. - for (const auto& kv : src_opin_delay_map) { - const util::t_reachable_wire_inf& reachable_wire_inf = kv.second; - - util::Cost_Entry wire_cost_entry; - if (reachable_wire_inf.wire_rr_type == SINK) { - //Some pins maybe reachable via a direct (OPIN -> IPIN) connection. - //In the lookahead, we treat such connections as 'special' wire types - //with no delay/congestion cost - wire_cost_entry.delay = 0; - wire_cost_entry.congestion = 0; - } else { - //For an actual accessible wire, we query the wire look-up to get it's - //delay and congestion cost estimates - wire_cost_entry = get_wire_cost_entry(reachable_wire_inf.wire_rr_type, - reachable_wire_inf.wire_seg_index, - reachable_wire_inf.layer_number, - delta_x, - delta_y, - to_layer_num); - } - - float this_delay_cost = reachable_wire_inf.delay + wire_cost_entry.delay; - float this_cong_cost = reachable_wire_inf.congestion + wire_cost_entry.congestion; - - expected_delay_cost = std::min(expected_delay_cost, this_delay_cost); - expected_cong_cost = std::min(expected_cong_cost, this_cong_cost); - } - } - - return std::make_pair(expected_delay_cost, expected_cong_cost); -} - // // When writing capnp targetted serialization, always allow compilation when // VTR_ENABLE_CAPNPROTO=OFF. Generally this means throwing an exception From 9689728b0d08dc28145130389097b89c00381f81 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 17:20:33 -0500 Subject: [PATCH 043/608] vpr: implement compute, get_expected_cost compressed lookahead --- .../route/router_lookahead_compressed_map.cpp | 123 ++++++++++++++++++ 1 file changed, 123 insertions(+) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index ea03268aa77..fffec408745 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -357,3 +357,126 @@ static util::Cost_Entry get_wire_cost_entry_compressed_lookahead(e_rr_type rr_ty CompressedMapLookahead::CompressedMapLookahead(const t_det_routing_arch& det_routing_arch, bool is_flat) : det_routing_arch_(det_routing_arch) , is_flat_(is_flat) {} + +float CompressedMapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_node, const t_conn_cost_params& params, float R_upstream) const { + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + t_rr_type from_rr_type = rr_graph.node_type(current_node); + + float delay_cost = 0.; + float cong_cost = 0.; + + if (from_rr_type == CHANX || from_rr_type == CHANY || from_rr_type == SOURCE || from_rr_type == OPIN) { + // Get the total cost using the combined delay and congestion costs + std::tie(delay_cost, cong_cost) = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); + return delay_cost + cong_cost; + } else if (from_rr_type == IPIN) { /* Change if you're allowing route-throughs */ + return (device_ctx.rr_indexed_data[RRIndexedDataId(SINK_COST_INDEX)].base_cost); + } else { /* Change this if you want to investigate route-throughs */ + return (0.); + } +} + +std::pair CompressedMapLookahead::get_expected_delay_and_cong(RRNodeId from_node, + RRNodeId to_node, + const t_conn_cost_params& params, + float /* R_upstream */) const { + auto& device_ctx = g_vpr_ctx.device(); + auto& rr_graph = device_ctx.rr_graph; + + int delta_x, delta_y; + int from_layer_num = rr_graph.node_layer(from_node); + int to_layer_num = rr_graph.node_layer(to_node); + util::get_xy_deltas(from_node, to_node, &delta_x, &delta_y); + delta_x = abs(delta_x); + delta_y = abs(delta_y); + + float expected_delay_cost = std::numeric_limits::infinity(); + float expected_cong_cost = std::numeric_limits::infinity(); + + e_rr_type from_type = rr_graph.node_type(from_node); + if (from_type == SOURCE || from_type == OPIN) { + //When estimating costs from a SOURCE/OPIN we look-up to find which wire types (and the + //cost to reach them) in src_opin_delays. Once we know what wire types are + //reachable, we query the f_wire_cost_map (i.e. the wire lookahead) to get the final + //delay to reach the sink. + + t_physical_tile_type_ptr from_tile_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(from_node), + rr_graph.node_ylow(from_node), + from_layer_num}); + + auto from_tile_index = std::distance(&device_ctx.physical_tile_types[0], from_tile_type); + + auto from_ptc = rr_graph.node_ptc_num(from_node); + + std::tie(expected_delay_cost, expected_cong_cost) = util::get_cost_from_src_opin(src_opin_delays[from_layer_num][from_tile_index][from_ptc][to_layer_num], + delta_x, + delta_y, + to_layer_num, + get_wire_cost_entry_compressed_lookahead); + + expected_delay_cost *= params.criticality; + expected_cong_cost *= (1 - params.criticality); + + VTR_ASSERT_SAFE_MSG(std::isfinite(expected_delay_cost), + vtr::string_fmt("Lookahead failed to estimate cost from %s: %s", + rr_node_arch_name(from_node, is_flat_).c_str(), + describe_rr_node(rr_graph, + device_ctx.grid, + device_ctx.rr_indexed_data, + from_node, + is_flat_) + .c_str()) + .c_str()); + + } else if (from_type == CHANX || from_type == CHANY) { + //When estimating costs from a wire, we directly look-up the result in the wire lookahead (f_wire_cost_map) + + auto from_cost_index = rr_graph.node_cost_index(from_node); + int from_seg_index = device_ctx.rr_indexed_data[from_cost_index].seg_index; + + VTR_ASSERT(from_seg_index >= 0); + + /* now get the expected cost from our lookahead map */ + util::Cost_Entry cost_entry = get_wire_cost_entry_compressed_lookahead(from_type, + from_seg_index, + from_layer_num, + delta_x, + delta_y, + to_layer_num); + expected_delay_cost = cost_entry.delay; + expected_cong_cost = cost_entry.congestion; + + VTR_ASSERT_SAFE_MSG(std::isfinite(expected_delay_cost), + vtr::string_fmt("Lookahead failed to estimate cost from %s: %s", + rr_node_arch_name(from_node, is_flat_).c_str(), + describe_rr_node(rr_graph, + device_ctx.grid, + device_ctx.rr_indexed_data, + from_node, + is_flat_) + .c_str()) + .c_str()); + expected_delay_cost = cost_entry.delay * params.criticality; + expected_cong_cost = cost_entry.congestion * (1 - params.criticality); + } else if (from_type == IPIN) { /* Change if you're allowing route-throughs */ + return std::make_pair(0., device_ctx.rr_indexed_data[RRIndexedDataId(SINK_COST_INDEX)].base_cost); + } else { /* Change this if you want to investigate route-throughs */ + return std::make_pair(0., 0.); + } + + return std::make_pair(expected_delay_cost, expected_cong_cost); + +} + +void MapLookahead::compute(const std::vector& segment_inf) { + + //First compute the delay map when starting from the various wire types + //(CHANX/CHANY)in the routing architecture + compute_router_wire_compressed_lookahead(segment_inf); + + //Next, compute which wire types are accessible (and the cost to reach them) + //from the different physical tile type's SOURCEs & OPINs + this->src_opin_delays = util::compute_router_src_opin_lookahead(is_flat_); +} From e7aae8b1c77839513e4303fcc6e76edb82670daa Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 1 Dec 2023 17:21:55 -0500 Subject: [PATCH 044/608] vpr: compressed router lookahead minor debugging --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 +- vpr/src/route/router_lookahead_compressed_map.h | 4 +++- 2 files changed, 4 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index fffec408745..188a001f9a5 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -470,7 +470,7 @@ std::pair CompressedMapLookahead::get_expected_delay_and_cong(RRNo } -void MapLookahead::compute(const std::vector& segment_inf) { +void CompressedMapLookahead::compute(const std::vector& segment_inf) { //First compute the delay map when starting from the various wire types //(CHANX/CHANY)in the routing architecture diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index 863028e276a..d0edcfe5a45 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -38,7 +38,9 @@ class CompressedMapLookahead : public RouterLookahead { VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::compute_intra_time unimplemented"); } - void read(const std::string& /*file*/) override; + void read(const std::string& /*file*/) override { + VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::read unimplemented"); + } void read_intra_cluster(const std::string& /*file*/) { VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::read_intra_cluster unimplemented"); From 134cb9591a3899c09dae2a654b870cc575d9168d Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 4 Dec 2023 11:33:11 -0500 Subject: [PATCH 045/608] vpr: implement dump_readable_router_lookahead_map to write down the router lookahead info in a tsv format --- vpr/src/route/router_lookahead_map_utils.cpp | 51 ++++++++++++++++++++ vpr/src/route/router_lookahead_map_utils.h | 6 +++ 2 files changed, 57 insertions(+) diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index ab034c17b2f..68ccfcf5bfa 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -10,6 +10,7 @@ * * To access the utility functions, the util namespace needs to be used. */ +#include #include "globals.h" #include "vpr_context.h" #include "vtr_math.h" @@ -846,6 +847,56 @@ std::pair get_cost_from_src_opin(const std::map& dim_sizes, WireCostCallBackFunction wire_cost_func) { + const auto& grid = g_vpr_ctx.device().grid; + const auto& rr_graph = g_vpr_ctx.device().rr_graph; + + int num_layers = grid.get_num_layers(); + int grid_width = static_cast(grid.width()); + int grid_height = static_cast(grid.height()); + + std::ofstream ofs(file_name); + if (!ofs.good()) { + VPR_FATAL_ERROR(VPR_ERROR_ROUTE, "Unable to open file '%s' for writing\n", file_name.c_str()); + } + + VTR_ASSERT(dim_sizes[0] == num_layers); + VTR_ASSERT(dim_sizes[1] == 2); + VTR_ASSERT(dim_sizes[3] == num_layers); + VTR_ASSERT(dim_sizes.size() == 5 || (dim_sizes.size() == 6 && dim_sizes[4] == grid_width && dim_sizes[5] == grid_height)); + + ofs << "from_layer," + "chan_type," + "seg_type," + "to_layer," + "delta_x," + "delta_y," + "cong_cost," + "delay_cost\n"; + + for (int from_layer_num = 0; from_layer_num < num_layers; from_layer_num++) { + for (e_rr_type chan_type : {CHANX, CHANY}) { + for (int seg_index = 0; seg_index < dim_sizes[2]; seg_index++) { + for (int to_layer_num = 0; to_layer_num < num_layers; to_layer_num++) { + for (int dx = 0; dx < grid_width; dx++) { + for (int dy = 0; dy < grid_height; dy++) { + auto cost = wire_cost_func(chan_type, seg_index, from_layer_num, dx, dy, to_layer_num); + ofs << from_layer_num << "," + << rr_node_typename[chan_type] << "," + << seg_index << "," + << to_layer_num << "," + << dx << "," + << dy << "," + << cost.congestion << "," + << cost.delay << "\n"; + } + } + } + } + } + } +} + } // namespace util diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index 360fa4b9844..731d12c49d9 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -30,11 +30,15 @@ namespace util { +class Cost_Entry; + class Expansion_Cost_Entry; /* used during Dijkstra expansion to store delay/congestion info lists for each relative coordinate for a given segment and channel type. * the list at each coordinate is later boiled down to a single representative cost entry to be stored in the final cost map */ typedef vtr::NdMatrix t_routing_cost_map; //[0..num_layers][0..device_ctx.grid.width()-1][0..device_ctx.grid.height()-1] +typedef Cost_Entry (*WireCostCallBackFunction)(e_rr_type, int, int, int, int, int); + /* a class that represents an entry in the Dijkstra expansion priority queue */ class PQ_Entry { public: @@ -351,6 +355,8 @@ std::pair get_cost_from_src_opin(const std::map& dim_sizes, WireCostCallBackFunction wire_cost_func); } // namespace util #endif From 925988fe60d7436084ce733c5cdcb816a34185db Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 4 Dec 2023 11:39:38 -0500 Subject: [PATCH 046/608] vpr: implement write for readable file compressed router lookahead --- vpr/src/route/router_lookahead_compressed_map.cpp | 14 ++++++++++++++ vpr/src/route/router_lookahead_compressed_map.h | 4 +--- vpr/src/route/router_lookahead_map_utils.cpp | 1 + 3 files changed, 16 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 188a001f9a5..91a58a77183 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -480,3 +480,17 @@ void CompressedMapLookahead::compute(const std::vector& segment_i //from the different physical tile type's SOURCEs & OPINs this->src_opin_delays = util::compute_router_src_opin_lookahead(is_flat_); } + +void CompressedMapLookahead::write(const std::string& file_name) const { + if (vtr::check_file_name_extension(file_name, ".tsv")) { + std::vector wire_cost_map_size(f_compressed_wire_cost_map.ndims()); + for (size_t i = 0; i < f_compressed_wire_cost_map.ndims(); ++i) { + wire_cost_map_size[i] = static_cast(f_compressed_wire_cost_map.dim_size(i)); + } + dump_readable_router_lookahead_map(file_name, wire_cost_map_size, get_wire_cost_entry_compressed_lookahead); + + } else { + vtr::check_file_name_extension(file_name, ".bin"); + VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::write for .bin format unimplemented"); + } +} diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index d0edcfe5a45..70a375bfbd2 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -46,9 +46,7 @@ class CompressedMapLookahead : public RouterLookahead { VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::read_intra_cluster unimplemented"); } - void write(const std::string& /*file*/) const override { - VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::write unimplemented"); - } + void write(const std::string& file_name) const override; void write_intra_cluster(const std::string& /*file*/) const override { VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::write_intra_cluster unimplemented"); diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 68ccfcf5bfa..c355a212edd 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -848,6 +848,7 @@ std::pair get_cost_from_src_opin(const std::map& dim_sizes, WireCostCallBackFunction wire_cost_func) { + VTR_ASSERT(vtr::check_file_name_extension(file_name, ".tsv")); const auto& grid = g_vpr_ctx.device().grid; const auto& rr_graph = g_vpr_ctx.device().rr_graph; From b57f49b62b2992bc9bbfec3ed42eddb5eb730af9 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 4 Dec 2023 11:44:01 -0500 Subject: [PATCH 047/608] vpr: implement readable write for map router looahead --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 +- vpr/src/route/router_lookahead_map.cpp | 13 +++++++++++-- vpr/src/route/router_lookahead_map.h | 2 +- 3 files changed, 13 insertions(+), 4 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 91a58a77183..0713bb71fe2 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -490,7 +490,7 @@ void CompressedMapLookahead::write(const std::string& file_name) const { dump_readable_router_lookahead_map(file_name, wire_cost_map_size, get_wire_cost_entry_compressed_lookahead); } else { - vtr::check_file_name_extension(file_name, ".bin"); + VTR_ASSERT(vtr::check_file_name_extension(file_name, ".bin")); VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::write for .bin format unimplemented"); } } diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index a847e2defb3..edd6070e45e 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -427,8 +427,17 @@ void MapLookahead::read_intra_cluster(const std::string& file) { min_global_cost_map(distance_based_min_cost); } -void MapLookahead::write(const std::string& file) const { - write_router_lookahead(file); +void MapLookahead::write(const std::string& file_name) const { + if (vtr::check_file_name_extension(file_name, ".tsv")) { + std::vector wire_cost_map_size(f_wire_cost_map.ndims()); + for (size_t i = 0; i < f_wire_cost_map.ndims(); ++i) { + wire_cost_map_size[i] = static_cast(f_wire_cost_map.dim_size(i)); + } + dump_readable_router_lookahead_map(file_name, wire_cost_map_size, get_wire_cost_entry); + } else { + VTR_ASSERT(vtr::check_file_name_extension(file_name, ".bin")); + write_router_lookahead(file_name); + } } void MapLookahead::write_intra_cluster(const std::string& file) const { diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index 2dcb56231df..c7fac5de797 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -32,7 +32,7 @@ class MapLookahead : public RouterLookahead { void compute_intra_tile() override; void read(const std::string& file) override; void read_intra_cluster(const std::string& file) override; - void write(const std::string& file) const override; + void write(const std::string& file_name) const override; void write_intra_cluster(const std::string& file) const override; }; From 55cdf742310dbaf94db982f3aa83554c53dd9dcb Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 4 Dec 2023 11:55:42 -0500 Subject: [PATCH 048/608] vpr: remove unused variables --- vpr/src/route/router_lookahead_map.cpp | 2 +- vpr/src/route/router_lookahead_map_utils.cpp | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index edd6070e45e..1fdd3b46bb7 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -467,7 +467,7 @@ static void compute_router_wire_lookahead(const std::vector& segm vtr::ScopedStartFinishTimer timer("Computing wire lookahead"); auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; + auto& grid = device_ctx.grid; //Re-allocate diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index c355a212edd..04503b81b22 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -850,7 +850,7 @@ std::pair get_cost_from_src_opin(const std::map& dim_sizes, WireCostCallBackFunction wire_cost_func) { VTR_ASSERT(vtr::check_file_name_extension(file_name, ".tsv")); const auto& grid = g_vpr_ctx.device().grid; - const auto& rr_graph = g_vpr_ctx.device().rr_graph; + int num_layers = grid.get_num_layers(); int grid_width = static_cast(grid.width()); From bba9b4433d1e4ef88378510d6ad328b40ecd138d Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 4 Dec 2023 12:31:09 -0500 Subject: [PATCH 049/608] vpr: fix the suffix checking of router lookahead file --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 +- vpr/src/route/router_lookahead_map.cpp | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 0713bb71fe2..a709914246e 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -490,7 +490,7 @@ void CompressedMapLookahead::write(const std::string& file_name) const { dump_readable_router_lookahead_map(file_name, wire_cost_map_size, get_wire_cost_entry_compressed_lookahead); } else { - VTR_ASSERT(vtr::check_file_name_extension(file_name, ".bin")); + VTR_ASSERT(vtr::check_file_name_extension(file_name, ".capnp")); VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::write for .bin format unimplemented"); } } diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 1fdd3b46bb7..1d9e39e5db5 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -435,7 +435,7 @@ void MapLookahead::write(const std::string& file_name) const { } dump_readable_router_lookahead_map(file_name, wire_cost_map_size, get_wire_cost_entry); } else { - VTR_ASSERT(vtr::check_file_name_extension(file_name, ".bin")); + VTR_ASSERT(vtr::check_file_name_extension(file_name, ".capnp")); write_router_lookahead(file_name); } } From e2980f4919bf8d91a53a69186b2532e48131e6eb Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 4 Dec 2023 15:35:47 -0500 Subject: [PATCH 050/608] vpr: set default cost of Cost_Entry to quiet_Nan instead of infinity --- vpr/src/route/router_lookahead_map_utils.h | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index 731d12c49d9..c9e62916ec9 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -90,8 +90,8 @@ class Cost_Entry { ///::infinity(); - congestion = std::numeric_limits::infinity(); + delay = std::numeric_limits::quiet_NaN(); + congestion = std::numeric_limits::quiet_NaN(); fill = false; } Cost_Entry(float set_delay, float set_congestion) From 9facdce63198c7f35edf7553d8ef5bf9a261ebc7 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 4 Dec 2023 16:24:31 -0500 Subject: [PATCH 051/608] vpr: add compressed router lookahead to router lookahead CLI options --- vpr/src/base/read_options.cpp | 13 ++++++++++--- vpr/src/base/vpr_types.h | 1 + 2 files changed, 11 insertions(+), 3 deletions(-) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 0764b76f947..8e03052f487 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -902,11 +902,14 @@ struct ParseRouteBBUpdate { struct ParseRouterLookahead { ConvertedValue from_str(std::string str) { + std::transform(str.begin(), str.end(), str.begin(), ::tolower); ConvertedValue conv_value; if (str == "classic") conv_value.set_value(e_router_lookahead::CLASSIC); else if (str == "map") conv_value.set_value(e_router_lookahead::MAP); + else if (str == "compressed_map") + conv_value.set_value(e_router_lookahead::COMPRESSED_MAP); else if (str == "extended_map") conv_value.set_value(e_router_lookahead::EXTENDED_MAP); else { @@ -926,15 +929,17 @@ struct ParseRouterLookahead { conv_value.set_value("classic"); else if (val == e_router_lookahead::MAP) { conv_value.set_value("map"); + } else if (val == e_router_lookahead::COMPRESSED_MAP) { + conv_value.set_value("compressed_map"); } else { - VTR_ASSERT(val == e_router_lookahead::EXTENDED_MAP); - conv_value.set_value("extended_map"); + VTR_ASSERT(val == e_router_lookahead::EXTENDED_MAP); + conv_value.set_value("extended_map"); } return conv_value; } std::vector default_choices() { - return {"classic", "map", "extended_map"}; + return {"classic", "map", "compressed_map", "extended_map"}; } }; @@ -2566,6 +2571,8 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg " * classic: The classic VPR lookahead (may perform better on un-buffered routing\n" " architectures)\n" " * map: An advanced lookahead which accounts for diverse wire type\n" + " * compressed_map: The algorithm is similar to map lookahead with the exception of saprse sampling of the chip" + " to reduce the run-time to build the router lookahead and also its memory footprint\n" " * extended_map: A more advanced and extended lookahead which accounts for a more\n" " exhaustive node sampling method\n" "\n" diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index 438b81086cc..e3d7821abc2 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -121,6 +121,7 @@ constexpr auto INVALID_BLOCK_ID = ClusterBlockId(-2); enum class e_router_lookahead { CLASSIC, /// Date: Mon, 4 Dec 2023 16:27:15 -0500 Subject: [PATCH 052/608] vpr: add compressed router lookahead to make_router_lookahead choices --- vpr/src/route/router_lookahead.cpp | 3 +++ 1 file changed, 3 insertions(+) diff --git a/vpr/src/route/router_lookahead.cpp b/vpr/src/route/router_lookahead.cpp index 545704e7d06..d0ab4271fb1 100644 --- a/vpr/src/route/router_lookahead.cpp +++ b/vpr/src/route/router_lookahead.cpp @@ -2,6 +2,7 @@ #include "connection_router_interface.h" #include "router_lookahead_map.h" +#include "router_lookahead_compressed_map.h" #include "router_lookahead_extended_map.h" #include "vpr_error.h" #include "globals.h" @@ -16,6 +17,8 @@ static std::unique_ptr make_router_lookahead_object(const t_det return std::make_unique(); } else if (router_lookahead_type == e_router_lookahead::MAP) { return std::make_unique(det_routing_arch, is_flat); + } else if (router_lookahead_type == e_router_lookahead::COMPRESSED_MAP) { + return std::make_unique(det_routing_arch, is_flat); } else if (router_lookahead_type == e_router_lookahead::EXTENDED_MAP) { return std::make_unique(is_flat); } else if (router_lookahead_type == e_router_lookahead::NO_OP) { From fb79dbd9bb5e07684ae94520e00da8b777fa6e7e Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 4 Dec 2023 16:51:44 -0500 Subject: [PATCH 053/608] vpr: add compressed_map type to show setup --- vpr/src/base/ShowSetup.cpp | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/vpr/src/base/ShowSetup.cpp b/vpr/src/base/ShowSetup.cpp index 61f1bf772c3..1a5772d4aa0 100644 --- a/vpr/src/base/ShowSetup.cpp +++ b/vpr/src/base/ShowSetup.cpp @@ -373,6 +373,9 @@ static void ShowRouterOpts(const t_router_opts& RouterOpts) { case e_router_lookahead::MAP: VTR_LOG("MAP\n"); break; + case e_router_lookahead::COMPRESSED_MAP: + VTR_LOG("COMPRESSED_MAP\n"); + break; case e_router_lookahead::EXTENDED_MAP: VTR_LOG("EXTENDED_MAP\n"); break; @@ -512,6 +515,9 @@ static void ShowRouterOpts(const t_router_opts& RouterOpts) { case e_router_lookahead::MAP: VTR_LOG("MAP\n"); break; + case e_router_lookahead::COMPRESSED_MAP: + VTR_LOG("COMPRESSED_MAP\n"); + break; case e_router_lookahead::EXTENDED_MAP: VTR_LOG("EXTENDED_MAP\n"); break; From f1a39d1d58939470105994a7b5483b9b22ccd500 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 4 Dec 2023 17:35:52 -0500 Subject: [PATCH 054/608] vpr: initialize f_compressed_wire_cost_map and set the maximum for sample region to be the max grid size --- vpr/src/route/router_lookahead_compressed_map.cpp | 14 ++++++++++++-- 1 file changed, 12 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index a709914246e..478d8a60306 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -135,7 +135,15 @@ static void compute_router_wire_compressed_lookahead(const std::vector(grid.get_num_layers()), + 2, + segment_inf_vec.size(), + static_cast(grid.get_num_layers()), + static_cast(num_sampling_points)}); int longest_seg_length = 0; for (const auto& seg_inf : segment_inf_vec) { @@ -210,7 +218,9 @@ static std::vector get_sampling_regions(const std::vector Date: Tue, 5 Dec 2023 09:10:53 -0500 Subject: [PATCH 055/608] vpr: fix the bug with sampling region --- .../route/router_lookahead_compressed_map.cpp | 79 +++++++++++-------- 1 file changed, 44 insertions(+), 35 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 478d8a60306..981c8a55d86 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -56,7 +56,7 @@ static void initialize_compressed_loc_structs(std::vector& sampl static void compute_router_wire_compressed_lookahead(const std::vector& segment_inf_vec); -static std::vector get_sampling_regions(const std::vector& segment_inf); +static std::vector get_sampling_regions(const std::vector& segment_inf, int region_length); /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map); @@ -103,13 +103,21 @@ static void initialize_compressed_loc_structs(std::vector& sampl int y_max = sample_region.y_max; int x_min = sample_region.x_min; int y_min = sample_region.y_min; - for (int x = x_min; x < x_max; x += step) { - for (int y = y_min; y < y_max; y += step) { + for (int x = x_min; x <= x_max; x += step) { + int sample_x_max = std::min(x+step-1, x_max); + for (int y = y_min; y <= y_max; y += step) { if (sample_locations.count(x) == 0) { sample_locations[x] = std::unordered_set(); } sample_locations[x].insert(y); - compressed_loc_index_map[x][y] = sample_point_num; + + int sample_y_max = std::min(y+step-1, y_max); + for(int sample_x = x; sample_x <= sample_x_max; sample_x++) { + for(int sample_y = y; sample_y <= sample_y_max; sample_y++) { + compressed_loc_index_map[sample_x][sample_y] = sample_point_num; + } + } + sample_point_num++; } } @@ -123,19 +131,31 @@ static void compute_router_wire_compressed_lookahead(const std::vector::min(); + int min_seg_length = std::numeric_limits::max(); + + for (const auto& segment : segment_inf_vec) { + if (!segment.longline) { + max_seg_lenght = std::max(max_seg_lenght, segment.length); + min_seg_length = std::min(min_seg_length, segment.length); + } + } + VTR_ASSERT(max_seg_lenght != std::numeric_limits::min()); + VTR_ASSERT(min_seg_length != std::numeric_limits::max()); + + auto sampling_regions = get_sampling_regions(segment_inf_vec, max_seg_lenght); - int compresses_x_size = 0; - int compressed_y_size = 0; + int num_sampling_points = 0; for (const auto& sampling_region : sampling_regions) { int step = sampling_region.step; - int num_x = (sampling_region.x_max - sampling_region.x_min) / step; - int num_y = (sampling_region.y_max - sampling_region.y_min) / step; - compresses_x_size += num_x; - compressed_y_size += num_y; - } + int sampling_region_width = sampling_region.x_max - sampling_region.x_min + 1; + int sampling_region_height = sampling_region.y_max - sampling_region.y_min + 1; + + int num_x = std::ceil(static_cast(sampling_region_width) / static_cast(step)); + int num_y = std::ceil(static_cast(sampling_region_height) / static_cast(step)); - int num_sampling_points = compresses_x_size * compressed_y_size; + num_sampling_points += (num_x * num_y); + } initialize_compressed_loc_structs(sampling_regions, num_sampling_points); @@ -185,7 +205,7 @@ static void compute_router_wire_compressed_lookahead(const std::vector get_sampling_regions(const std::vector& segment_inf) { +static std::vector get_sampling_regions(const std::vector& segment_inf, int region_length) { const auto& grid = g_vpr_ctx.device().grid; std::vector sampling_regions; @@ -194,32 +214,21 @@ static std::vector get_sampling_regions(const std::vector(grid.width()); int grid_height = static_cast(grid.height()); - int max_seg_lenght = std::numeric_limits::min(); - int min_seg_length = std::numeric_limits::max(); - - for (const auto& segment : segment_inf) { - if (!segment.longline) { - max_seg_lenght = std::max(max_seg_lenght, segment.length); - min_seg_length = std::min(min_seg_length, segment.length); - } - } - VTR_ASSERT(max_seg_lenght != std::numeric_limits::min()); - VTR_ASSERT(min_seg_length != std::numeric_limits::max()); - - for (int x = 0; x < grid_width; x+=max_seg_lenght) { - for (int y = 0; y < grid_height; y+=max_seg_lenght) { + int incr = region_length+1; + for (int x = 0; x < grid_width; x+=incr) { + for (int y = 0; y < grid_height; y+=incr) { int step; - if (x == 0 && y == 0) { - step= 1; - } else if (x < 2*max_seg_lenght && y < 2*max_seg_lenght) { - step = 2; - } else if (x < 4*max_seg_lenght && y < 4*max_seg_lenght) { + if (x < region_length && y < region_length) { + step = 1; + } else if (x < 2*region_length && y < 2*region_length) { + step = 2; + } else if (x < 4*region_length && y < 4*region_length) { step = 4; } else { step = 8; } - int sample_region_max_x = std::min(grid_width-1, x+max_seg_lenght); - int sample_region_max_y = std::min(grid_height-1, y+max_seg_lenght); + int sample_region_max_x = std::min(grid_width-1, x+region_length); + int sample_region_max_y = std::min(grid_height-1, y+region_length); sampling_regions.emplace_back(sample_region_max_x, sample_region_max_y, x, y, step); } } From 30e7c09fc13e3603f0094189c6f01833bb5b5a19 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 5 Dec 2023 09:55:01 -0500 Subject: [PATCH 056/608] vpr: remove an unused parameter from get_sampling_regions --- vpr/src/route/router_lookahead_compressed_map.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 981c8a55d86..2cef49bf6ed 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -56,7 +56,7 @@ static void initialize_compressed_loc_structs(std::vector& sampl static void compute_router_wire_compressed_lookahead(const std::vector& segment_inf_vec); -static std::vector get_sampling_regions(const std::vector& segment_inf, int region_length); +static std::vector get_sampling_regions(int region_length); /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map); @@ -143,7 +143,7 @@ static void compute_router_wire_compressed_lookahead(const std::vector::min()); VTR_ASSERT(min_seg_length != std::numeric_limits::max()); - auto sampling_regions = get_sampling_regions(segment_inf_vec, max_seg_lenght); + auto sampling_regions = get_sampling_regions(max_seg_lenght); int num_sampling_points = 0; for (const auto& sampling_region : sampling_regions) { @@ -205,7 +205,7 @@ static void compute_router_wire_compressed_lookahead(const std::vector get_sampling_regions(const std::vector& segment_inf, int region_length) { +static std::vector get_sampling_regions(int region_length) { const auto& grid = g_vpr_ctx.device().grid; std::vector sampling_regions; From 1844afa8ced9c83b5e82bad8c403e6d5bc978dc8 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 5 Dec 2023 10:23:42 -0500 Subject: [PATCH 057/608] vpr: the readable format of router lookahead file should be csv --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 +- vpr/src/route/router_lookahead_map.cpp | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 2cef49bf6ed..f9463f2c9e5 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -501,7 +501,7 @@ void CompressedMapLookahead::compute(const std::vector& segment_i } void CompressedMapLookahead::write(const std::string& file_name) const { - if (vtr::check_file_name_extension(file_name, ".tsv")) { + if (vtr::check_file_name_extension(file_name, ".csv")) { std::vector wire_cost_map_size(f_compressed_wire_cost_map.ndims()); for (size_t i = 0; i < f_compressed_wire_cost_map.ndims(); ++i) { wire_cost_map_size[i] = static_cast(f_compressed_wire_cost_map.dim_size(i)); diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 1d9e39e5db5..f5345ce7220 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -428,7 +428,7 @@ void MapLookahead::read_intra_cluster(const std::string& file) { } void MapLookahead::write(const std::string& file_name) const { - if (vtr::check_file_name_extension(file_name, ".tsv")) { + if (vtr::check_file_name_extension(file_name, ".csv")) { std::vector wire_cost_map_size(f_wire_cost_map.ndims()); for (size_t i = 0; i < f_wire_cost_map.ndims(); ++i) { wire_cost_map_size[i] = static_cast(f_wire_cost_map.dim_size(i)); From 463a5ac7090d4abc8a88027d8862819bd812cf52 Mon Sep 17 00:00:00 2001 From: KA7E Date: Tue, 5 Dec 2023 11:22:42 -0500 Subject: [PATCH 058/608] added comment --- vpr/src/pack/cluster_util.cpp | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/vpr/src/pack/cluster_util.cpp b/vpr/src/pack/cluster_util.cpp index 901bfcc3cc0..bd685d21fe8 100644 --- a/vpr/src/pack/cluster_util.cpp +++ b/vpr/src/pack/cluster_util.cpp @@ -1232,7 +1232,8 @@ enum e_block_pack_status try_place_atom_block_rec(const t_pb_graph_node* pb_grap } } } else { - if (parent_pb->mode != pb_graph_node->pb_type->parent_mode->index) { + /* if this is not the first child of this parent, must match existing parent mode */ + if (parent_pb->mode != pb_graph_node->pb_type->parent_mode->index) { return BLK_FAILED_FEASIBLE; } } From 2b1f2523e89373fd3bf47e29e6e5ac132a948d05 Mon Sep 17 00:00:00 2001 From: KA7E Date: Tue, 5 Dec 2023 12:14:31 -0500 Subject: [PATCH 059/608] added comments --- vpr/src/base/clustered_netlist_utils.cpp | 3 +++ vpr/src/pack/cluster_util.cpp | 3 +++ 2 files changed, 6 insertions(+) diff --git a/vpr/src/base/clustered_netlist_utils.cpp b/vpr/src/base/clustered_netlist_utils.cpp index 210f6b494ab..8fbdff8b658 100644 --- a/vpr/src/base/clustered_netlist_utils.cpp +++ b/vpr/src/base/clustered_netlist_utils.cpp @@ -48,6 +48,9 @@ void ClusterAtomsLookup::init_lookup() { for (auto atom_blk_id : atom_ctx.nlist.blocks()) { ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(atom_blk_id); + /* if this data structure is being built alongside the clustered netlist */ + /* e.g. when ingesting and legalizing a flat placement solution, some atoms */ + /* may not yet be mapped to a valid clb_index */ if (clb_index != ClusterBlockId::INVALID()) { cluster_atoms[clb_index].push_back(atom_blk_id); } diff --git a/vpr/src/pack/cluster_util.cpp b/vpr/src/pack/cluster_util.cpp index 3a9b650c5aa..53e6acc7fc0 100644 --- a/vpr/src/pack/cluster_util.cpp +++ b/vpr/src/pack/cluster_util.cpp @@ -3686,6 +3686,9 @@ void init_clb_atoms_lookup(vtr::vector Date: Tue, 5 Dec 2023 17:33:07 -0500 Subject: [PATCH 060/608] set dot to false --- .github/labeler.yml | 2 ++ 1 file changed, 2 insertions(+) diff --git a/.github/labeler.yml b/.github/labeler.yml index a9540320cfd..b5545729979 100644 --- a/.github/labeler.yml +++ b/.github/labeler.yml @@ -4,6 +4,8 @@ # WARNING: Due to this file being yaml, any string starting with `*` must be # wrapped in quotes. +dot: false + # Tools ABC: - abc_with_bb_support/* From 2d5a015e8eb231414716891c594b454ef50641c6 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 5 Dec 2023 17:38:36 -0500 Subject: [PATCH 061/608] set dot in the correct place --- .github/workflows/labeler.yml | 1 + 1 file changed, 1 insertion(+) diff --git a/.github/workflows/labeler.yml b/.github/workflows/labeler.yml index 23956a02fbd..7c40e6593fb 100644 --- a/.github/workflows/labeler.yml +++ b/.github/workflows/labeler.yml @@ -9,3 +9,4 @@ jobs: - uses: actions/labeler@main with: repo-token: "${{ secrets.GITHUB_TOKEN }}" + dot: false From 6ea6c60abe72d36c135bee69d9601d59602f2e1f Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 5 Dec 2023 17:41:27 -0500 Subject: [PATCH 062/608] remove unused var --- .github/labeler.yml | 1 - 1 file changed, 1 deletion(-) diff --git a/.github/labeler.yml b/.github/labeler.yml index b5545729979..0cad12572f4 100644 --- a/.github/labeler.yml +++ b/.github/labeler.yml @@ -4,7 +4,6 @@ # WARNING: Due to this file being yaml, any string starting with `*` must be # wrapped in quotes. -dot: false # Tools ABC: From 9cbaaf5fef4c32aa8647685cce7a37edde196864 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 5 Dec 2023 17:58:08 -0500 Subject: [PATCH 063/608] Revert "remove unused var" This reverts commit 6ea6c60abe72d36c135bee69d9601d59602f2e1f. --- .github/labeler.yml | 1 + 1 file changed, 1 insertion(+) diff --git a/.github/labeler.yml b/.github/labeler.yml index 0cad12572f4..b5545729979 100644 --- a/.github/labeler.yml +++ b/.github/labeler.yml @@ -4,6 +4,7 @@ # WARNING: Due to this file being yaml, any string starting with `*` must be # wrapped in quotes. +dot: false # Tools ABC: From 723008b3a86935da52228d5ba82a081a9b161369 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 5 Dec 2023 17:58:09 -0500 Subject: [PATCH 064/608] Revert "set dot in the correct place" This reverts commit 2d5a015e8eb231414716891c594b454ef50641c6. --- .github/workflows/labeler.yml | 1 - 1 file changed, 1 deletion(-) diff --git a/.github/workflows/labeler.yml b/.github/workflows/labeler.yml index 7c40e6593fb..23956a02fbd 100644 --- a/.github/workflows/labeler.yml +++ b/.github/workflows/labeler.yml @@ -9,4 +9,3 @@ jobs: - uses: actions/labeler@main with: repo-token: "${{ secrets.GITHUB_TOKEN }}" - dot: false From 31c35d15feb18223381efdd302e6427fea895d97 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 5 Dec 2023 17:58:10 -0500 Subject: [PATCH 065/608] Revert "set dot to false" This reverts commit 784cbb05279bb60b6d51feadae9d09ac41e7048d. --- .github/labeler.yml | 2 -- 1 file changed, 2 deletions(-) diff --git a/.github/labeler.yml b/.github/labeler.yml index b5545729979..a9540320cfd 100644 --- a/.github/labeler.yml +++ b/.github/labeler.yml @@ -4,8 +4,6 @@ # WARNING: Due to this file being yaml, any string starting with `*` must be # wrapped in quotes. -dot: false - # Tools ABC: - abc_with_bb_support/* From bc9d08c375bd8d626a67282ff9c2e8bd05f29e22 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 5 Dec 2023 19:03:21 -0500 Subject: [PATCH 066/608] vpr: assert if the suffix of readable output is not csv --- vpr/src/route/router_lookahead_map_utils.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 04503b81b22..0f23b881126 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -848,7 +848,7 @@ std::pair get_cost_from_src_opin(const std::map& dim_sizes, WireCostCallBackFunction wire_cost_func) { - VTR_ASSERT(vtr::check_file_name_extension(file_name, ".tsv")); + VTR_ASSERT(vtr::check_file_name_extension(file_name, ".csv")); const auto& grid = g_vpr_ctx.device().grid; From 0eb23f5c03a4ef219bd727360610c1c650d22f34 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 6 Dec 2023 13:53:11 -0500 Subject: [PATCH 067/608] vpr: add a timer when compressed router lookahead start --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index f9463f2c9e5..4024805d006 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -490,7 +490,7 @@ std::pair CompressedMapLookahead::get_expected_delay_and_cong(RRNo } void CompressedMapLookahead::compute(const std::vector& segment_inf) { - + vtr::ScopedStartFinishTimer timer("Computing router lookahead map"); //First compute the delay map when starting from the various wire types //(CHANX/CHANY)in the routing architecture compute_router_wire_compressed_lookahead(segment_inf); From b0c2cf37172a5e90d1fe967c4e2d8f94ddc70236 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 7 Dec 2023 10:24:48 -0500 Subject: [PATCH 068/608] expr: print the run-time of dijkstra --- vpr/src/route/router_lookahead_map_utils.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 0f23b881126..bfb42f2ea62 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -1305,6 +1305,7 @@ static void run_dijkstra(RRNodeId start_node, util::t_dijkstra_data* data, const std::unordered_map>& sample_locs, bool sample_all_locs) { + vtr::ScopedStartFinishTimer timer("Run Dijkstra"); auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; From 518bc31a3abcda8d35f5b88f22ab7c08c649c98e Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 7 Dec 2023 11:01:21 -0500 Subject: [PATCH 069/608] vpr: expr add timer to router lookahead filling in and set cost --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 ++ vpr/src/route/router_lookahead_map.cpp | 2 ++ 2 files changed, 4 insertions(+) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 4024805d006..f8dafbf0c09 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -237,6 +237,7 @@ static std::vector get_sampling_regions(int region_length) { } static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map) { + vtr::ScopedStartFinishTimer timer("Set cost"); int chan_index = 0; if (chan_type == CHANY) { chan_index = 1; @@ -264,6 +265,7 @@ static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_i } static void fill_in_missing_compressed_lookahead_entries(int segment_index, e_rr_type chan_type) { + vtr::ScopedStartFinishTimer timer("Filling in"); int chan_index = 0; if (chan_type == CHANY) { chan_index = 1; diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index f5345ce7220..d83d6a0765d 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -521,6 +521,7 @@ static void compute_router_wire_lookahead(const std::vector& segm /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map) { + vtr::ScopedStartFinishTimer timer("Set cost"); int chan_index = 0; if (chan_type == CHANY) { chan_index = 1; @@ -541,6 +542,7 @@ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_ /* fills in missing lookahead map entries by copying the cost of the closest valid entry */ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_type) { + vtr::ScopedStartFinishTimer timer("Filling in"); int chan_index = 0; if (chan_type == CHANY) { chan_index = 1; From bdc71f68dc2cf2632e9a1b14911b67d3d37ca58f Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 8 Dec 2023 15:47:33 -0500 Subject: [PATCH 070/608] vpr_experiment: print the routing time for each net --- vpr/src/route/connection_router.cpp | 3 +++ 1 file changed, 3 insertions(+) diff --git a/vpr/src/route/connection_router.cpp b/vpr/src/route/connection_router.cpp index 34376dc1d9d..a60a0431850 100644 --- a/vpr/src/route/connection_router.cpp +++ b/vpr/src/route/connection_router.cpp @@ -4,6 +4,7 @@ #include "binary_heap.h" #include "bucket.h" #include "rr_graph_fwd.h" +#include "vtr_time.h" /** * @brief This function is relevant when the architecture is 3D. If inter-layer connections are only from OPINs (determine by is_inter_layer_opin_connection), @@ -49,6 +50,8 @@ std::tuple ConnectionRouter::timing_driven_route_conne router_stats_ = &router_stats; conn_params_ = &conn_params; + std::string timer_str = "Routing: Net " + std::to_string(size_t(conn_params.net_id_)); + vtr::ScopedStartFinishTimer timer(timer_str); bool retry = false; t_heap* cheapest; std::tie(retry, cheapest) = timing_driven_route_connection_common_setup(rt_root, sink_node, cost_params, bounding_box); From dd43374de18fde37f5325db2c224686acd0dcbd9 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 8 Dec 2023 17:18:46 -0500 Subject: [PATCH 071/608] vpr_experiment: time routing of net instead of connection --- vpr/src/route/connection_router.cpp | 3 --- vpr/src/route/route_net.tpp | 3 +++ 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/connection_router.cpp b/vpr/src/route/connection_router.cpp index a60a0431850..34376dc1d9d 100644 --- a/vpr/src/route/connection_router.cpp +++ b/vpr/src/route/connection_router.cpp @@ -4,7 +4,6 @@ #include "binary_heap.h" #include "bucket.h" #include "rr_graph_fwd.h" -#include "vtr_time.h" /** * @brief This function is relevant when the architecture is 3D. If inter-layer connections are only from OPINs (determine by is_inter_layer_opin_connection), @@ -50,8 +49,6 @@ std::tuple ConnectionRouter::timing_driven_route_conne router_stats_ = &router_stats; conn_params_ = &conn_params; - std::string timer_str = "Routing: Net " + std::to_string(size_t(conn_params.net_id_)); - vtr::ScopedStartFinishTimer timer(timer_str); bool retry = false; t_heap* cheapest; std::tie(retry, cheapest) = timing_driven_route_connection_common_setup(rt_root, sink_node, cost_params, bounding_box); diff --git a/vpr/src/route/route_net.tpp b/vpr/src/route/route_net.tpp index 8542d8f306d..1066c644544 100644 --- a/vpr/src/route/route_net.tpp +++ b/vpr/src/route/route_net.tpp @@ -12,6 +12,7 @@ #include "route_debug.h" #include "route_profiling.h" #include "rr_graph_fwd.h" +#include "vtr_time.h" /** Attempt to route a single net. * @@ -53,6 +54,8 @@ inline NetResultFlags route_net(ConnectionRouter& router, const RoutingPredictor& routing_predictor, const std::vector>& choking_spots, bool is_flat) { + std::string timer_str = "Routing: Net " + std::to_string(size_t(net_id)); + vtr::ScopedStartFinishTimer timer(timer_str); auto& route_ctx = g_vpr_ctx.mutable_routing(); NetResultFlags flags; From 453761f10315ea09bbedad646354707c3a4e3240 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 13 Dec 2023 10:32:44 -0500 Subject: [PATCH 072/608] vpr experiment: put the timer in connection router --- vpr/src/route/connection_router.cpp | 3 +++ vpr/src/route/route_net.tpp | 3 --- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/connection_router.cpp b/vpr/src/route/connection_router.cpp index 34376dc1d9d..d5872fb8d01 100644 --- a/vpr/src/route/connection_router.cpp +++ b/vpr/src/route/connection_router.cpp @@ -4,6 +4,7 @@ #include "binary_heap.h" #include "bucket.h" #include "rr_graph_fwd.h" +#include "vtr_time.h" /** * @brief This function is relevant when the architecture is 3D. If inter-layer connections are only from OPINs (determine by is_inter_layer_opin_connection), @@ -46,6 +47,8 @@ std::tuple ConnectionRouter::timing_driven_route_conne t_bb bounding_box, RouterStats& router_stats, const ConnectionParameters& conn_params) { + std::string timer_str = "Routing: Net " + std::to_string(size_t(conn_params.net_id_)); + vtr::ScopedStartFinishTimer timer(timer_str); router_stats_ = &router_stats; conn_params_ = &conn_params; diff --git a/vpr/src/route/route_net.tpp b/vpr/src/route/route_net.tpp index 1066c644544..8542d8f306d 100644 --- a/vpr/src/route/route_net.tpp +++ b/vpr/src/route/route_net.tpp @@ -12,7 +12,6 @@ #include "route_debug.h" #include "route_profiling.h" #include "rr_graph_fwd.h" -#include "vtr_time.h" /** Attempt to route a single net. * @@ -54,8 +53,6 @@ inline NetResultFlags route_net(ConnectionRouter& router, const RoutingPredictor& routing_predictor, const std::vector>& choking_spots, bool is_flat) { - std::string timer_str = "Routing: Net " + std::to_string(size_t(net_id)); - vtr::ScopedStartFinishTimer timer(timer_str); auto& route_ctx = g_vpr_ctx.mutable_routing(); NetResultFlags flags; From 26cac4066f358283fa4d73b0c3ef941ec48d356c Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 13 Dec 2023 15:43:47 -0500 Subject: [PATCH 073/608] vpr: remove extra timers --- vpr/src/route/connection_router.cpp | 3 --- vpr/src/route/router_lookahead_map_utils.cpp | 1 - 2 files changed, 4 deletions(-) diff --git a/vpr/src/route/connection_router.cpp b/vpr/src/route/connection_router.cpp index d5872fb8d01..34376dc1d9d 100644 --- a/vpr/src/route/connection_router.cpp +++ b/vpr/src/route/connection_router.cpp @@ -4,7 +4,6 @@ #include "binary_heap.h" #include "bucket.h" #include "rr_graph_fwd.h" -#include "vtr_time.h" /** * @brief This function is relevant when the architecture is 3D. If inter-layer connections are only from OPINs (determine by is_inter_layer_opin_connection), @@ -47,8 +46,6 @@ std::tuple ConnectionRouter::timing_driven_route_conne t_bb bounding_box, RouterStats& router_stats, const ConnectionParameters& conn_params) { - std::string timer_str = "Routing: Net " + std::to_string(size_t(conn_params.net_id_)); - vtr::ScopedStartFinishTimer timer(timer_str); router_stats_ = &router_stats; conn_params_ = &conn_params; diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index bfb42f2ea62..0f23b881126 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -1305,7 +1305,6 @@ static void run_dijkstra(RRNodeId start_node, util::t_dijkstra_data* data, const std::unordered_map>& sample_locs, bool sample_all_locs) { - vtr::ScopedStartFinishTimer timer("Run Dijkstra"); auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; From 0684034b02570a7613dfc6c0b309cd88a18b3c2b Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 13 Dec 2023 16:05:42 -0500 Subject: [PATCH 074/608] vpr: remove other extra timers --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 -- vpr/src/route/router_lookahead_map.cpp | 2 -- 2 files changed, 4 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index f8dafbf0c09..4024805d006 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -237,7 +237,6 @@ static std::vector get_sampling_regions(int region_length) { } static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map) { - vtr::ScopedStartFinishTimer timer("Set cost"); int chan_index = 0; if (chan_type == CHANY) { chan_index = 1; @@ -265,7 +264,6 @@ static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_i } static void fill_in_missing_compressed_lookahead_entries(int segment_index, e_rr_type chan_type) { - vtr::ScopedStartFinishTimer timer("Filling in"); int chan_index = 0; if (chan_type == CHANY) { chan_index = 1; diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index d83d6a0765d..f5345ce7220 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -521,7 +521,6 @@ static void compute_router_wire_lookahead(const std::vector& segm /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map) { - vtr::ScopedStartFinishTimer timer("Set cost"); int chan_index = 0; if (chan_type == CHANY) { chan_index = 1; @@ -542,7 +541,6 @@ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_ /* fills in missing lookahead map entries by copying the cost of the closest valid entry */ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_type) { - vtr::ScopedStartFinishTimer timer("Filling in"); int chan_index = 0; if (chan_type == CHANY) { chan_index = 1; From 7fa342ef72f8d5e40df4ef8a7d7549957dabc747 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 13 Dec 2023 16:40:34 -0500 Subject: [PATCH 075/608] vpr: calculate missing entries of router lookahead by getting average --- vpr/src/route/router_lookahead_map.cpp | 55 +++++++++++++++++++++++++- 1 file changed, 54 insertions(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index f5345ce7220..0cd024a4dc3 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -134,6 +134,13 @@ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_ /* returns a cost entry in the f_wire_cost_map that is near the specified coordinates (and preferably towards (0,0)) */ static util::Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, int to_layer_num, int segment_index, int chan_index); +static util::Cost_Entry get_nearby_cost_entry_average_neighbour(int from_layer_num, + int missing_dx, + int missing_dy, + int to_layer_num, + int segment_index, + int chan_index); + /******** Interface class member function definitions ********/ MapLookahead::MapLookahead(const t_det_routing_arch& det_routing_arch, bool is_flat) : det_routing_arch_(det_routing_arch) @@ -556,7 +563,12 @@ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_ util::Cost_Entry cost_entry = f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][ix][iy]; if (std::isnan(cost_entry.delay) && std::isnan(cost_entry.congestion)) { - util::Cost_Entry copied_entry = get_nearby_cost_entry(from_layer_num, ix, iy, to_layer_num, segment_index, chan_index); + util::Cost_Entry copied_entry = get_nearby_cost_entry_average_neighbour(from_layer_num, + static_cast(ix), + static_cast(iy), + to_layer_num, + segment_index, + chan_index); f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][ix][iy] = copied_entry; } } @@ -614,6 +626,47 @@ static util::Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, return copy_entry; } +static util::Cost_Entry get_nearby_cost_entry_average_neighbour(int from_layer_num, + int missing_dx, + int missing_dy, + int to_layer_num, + int segment_index, + int chan_index) { + VTR_ASSERT(std::isnan(f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][missing_dx][missing_dy].delay)); + VTR_ASSERT(std::isnan(f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][missing_dx][missing_dy].congestion)); + + int neighbour_num = 0; + float neighbour_delay_sum = 0; + float neighbour_cong_sum = 0; + std::array window = {-3, -2, -1, 1, 2, 3}; + for (int dx: window) { + int neighbour_x = missing_dx + dx; + if (neighbour_x < 0 || neighbour_x >= (int)f_wire_cost_map.dim_size(4)) { + continue; + } + for (int dy: window) { + int neighbour_y = missing_dy + dy; + if (neighbour_y < 0 || neighbour_y >= (int)f_wire_cost_map.dim_size(5)) { + continue; + } + util::Cost_Entry copy_entry = f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][neighbour_x][neighbour_y]; + if (std::isnan(copy_entry.delay) || std::isnan(copy_entry.congestion)) { + continue; + } + neighbour_delay_sum += copy_entry.delay; + neighbour_cong_sum += copy_entry.congestion; + neighbour_num += 1; + } + } + + if (neighbour_num >= 3) { + return {neighbour_delay_sum / static_cast(neighbour_num), + neighbour_cong_sum / static_cast(neighbour_num)}; + } else { + return get_nearby_cost_entry(from_layer_num, missing_dx, missing_dy, to_layer_num, segment_index, chan_index); + } +} + static void compute_tiles_lookahead(std::unordered_map& intra_tile_pin_primitive_pin_delay, std::unordered_map>& tile_min_cost, const t_det_routing_arch& det_routing_arch, From 3cea0c2b5e6145cf74cda3c2318a37e28a261fd3 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 13 Dec 2023 19:18:20 -0500 Subject: [PATCH 076/608] vpr: get average of neighbouring locs for missing points in compressed router lookahead --- .../route/router_lookahead_compressed_map.cpp | 70 +++++++++++++++++++ 1 file changed, 70 insertions(+) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 4024805d006..cd281165f59 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -170,6 +170,10 @@ static void compute_router_wire_compressed_lookahead(const std::vector> sorted_sample_loc; + for (const auto& sample_loc : sample_locations) { + sorted_sample_loc[sample_loc.first] = std::set(sample_loc.second.begin(), sample_loc.second.end()); + } //Profile each wire segment type for (int from_layer_num = 0; from_layer_num < grid.get_num_layers(); from_layer_num++) { for (const auto& segment_inf : segment_inf_vec) { @@ -354,6 +358,72 @@ static util::Cost_Entry get_nearby_cost_entry_compressed_lookahead(int from_laye return copy_entry; } +static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map>& sorted_sample_loc, + int from_layer_num, + int missing_dx, + int missing_dy, + int to_layer_num, + int segment_index, + int chan_index) { + int missing_point_idx = compressed_loc_index_map[missing_dx][missing_dy]; + VTR_ASSERT(missing_point_idx != OPEN); + VTR_ASSERT(std::isnan(f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][missing_point_idx].delay)); + VTR_ASSERT(std::isnan(f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][missing_point_idx].congestion)); + + auto missing_point_compressed_iter_x = sorted_sample_loc.lower_bound(missing_dx); + if (missing_point_compressed_iter_x->first != missing_dx) { + missing_point_compressed_iter_x--; + } + + int neighbour_num = 0; + float neighbour_delay_sum = 0; + float neighbour_cong_sum = 0; + + std::array window = {-3, -2, -1, 1, 2, 3}; + for (int dx: window) { + auto dist_to_begin = std::distance(sorted_sample_loc.begin(), missing_point_compressed_iter_x); + auto dist_to_end = std::distance(missing_point_compressed_iter_x, sorted_sample_loc.end()); + if (dx >= dist_to_end || std::abs(dx) > dist_to_begin) { + continue; + } + auto neighbour_sample_loc_x_pair = missing_point_compressed_iter_x; + std::advance(neighbour_sample_loc_x_pair, dx); + int neighbour_x = neighbour_sample_loc_x_pair->first; + for (int dy: window) { + const auto& sampling_column = sorted_sample_loc.at(neighbour_x); + auto missing_point_compressed_iter_y = sampling_column.lower_bound(missing_dy); + if ((*missing_point_compressed_iter_y) != missing_dy) { + missing_point_compressed_iter_y--; + } + dist_to_begin = std::distance(sampling_column.begin(), missing_point_compressed_iter_y); + dist_to_end = std::distance(missing_point_compressed_iter_y, sampling_column.end()); + if (dy >= dist_to_end || std::abs(dy) > dist_to_begin) { + continue; + } + std::advance(missing_point_compressed_iter_y, dy); + int neighbour_y = *missing_point_compressed_iter_y; + if (neighbour_y < 0 || neighbour_y >= (int)f_compressed_wire_cost_map.dim_size(5)) { + continue; + } + int neighbour_compressed_idx = compressed_loc_index_map[neighbour_x][neighbour_y]; + util::Cost_Entry copy_entry = f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][neighbour_compressed_idx]; + if (std::isnan(copy_entry.delay) || std::isnan(copy_entry.congestion)) { + continue; + } + neighbour_delay_sum += copy_entry.delay; + neighbour_cong_sum += copy_entry.congestion; + neighbour_num += 1; + } + } + + if (neighbour_num >= 3) { + return {neighbour_delay_sum / static_cast(neighbour_num), + neighbour_cong_sum / static_cast(neighbour_num)}; + } else { + return get_nearby_cost_entry_compressed_lookahead(from_layer_num, missing_dx, missing_dy, to_layer_num, segment_index, chan_index); + } +} + static util::Cost_Entry get_wire_cost_entry_compressed_lookahead(e_rr_type rr_type, int seg_index, int from_layer_num, int delta_x, int delta_y, int to_layer_num) { VTR_ASSERT_SAFE(rr_type == CHANX || rr_type == CHANY); From b01ea11a5ad9c04895940cc839881395c802fcf4 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 13 Dec 2023 19:22:44 -0500 Subject: [PATCH 077/608] vpr: pass sorted_sample_loc to compressed router lookahead fill in function --- .../route/router_lookahead_compressed_map.cpp | 29 +++++++++++++------ 1 file changed, 20 insertions(+), 9 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index cd281165f59..b55a4fd2a0a 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -62,7 +62,7 @@ static std::vector get_sampling_regions(int region_length); static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map); /* fills in missing lookahead map entries by copying the cost of the closest valid entry */ -static void fill_in_missing_compressed_lookahead_entries(int segment_index, e_rr_type chan_type); +static void fill_in_missing_compressed_lookahead_entries(const std::map>& sorted_sample_loc, int segment_index, e_rr_type chan_type); /* returns a cost entry in the f_wire_cost_map that is near the specified coordinates (and preferably towards (0,0)) */ static util::Cost_Entry get_nearby_cost_entry_compressed_lookahead(int from_layer_num, @@ -72,6 +72,14 @@ static util::Cost_Entry get_nearby_cost_entry_compressed_lookahead(int from_laye int segment_index, int chan_index); +static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map>& sorted_sample_loc, + int from_layer_num, + int missing_dx, + int missing_dy, + int to_layer_num, + int segment_index, + int chan_index); + static util::Cost_Entry get_wire_cost_entry_compressed_lookahead(e_rr_type rr_type, int seg_index, int from_layer_num, int delta_x, int delta_y, int to_layer_num); static void initialize_compressed_loc_structs(std::vector& sampling_regions, int num_sampling_points) { @@ -203,7 +211,7 @@ static void compute_router_wire_compressed_lookahead(const std::vector (0,0) so some entries that represent a cross-chip distance have not been computed) */ - fill_in_missing_compressed_lookahead_entries(segment_inf.seg_index, chan_type); + fill_in_missing_compressed_lookahead_entries(sorted_sample_loc, segment_inf.seg_index, chan_type); } } } @@ -267,7 +275,9 @@ static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_i } } -static void fill_in_missing_compressed_lookahead_entries(int segment_index, e_rr_type chan_type) { +static void fill_in_missing_compressed_lookahead_entries(const std::map>& sorted_sample_loc, + int segment_index, + e_rr_type chan_type) { int chan_index = 0; if (chan_type == CHANY) { chan_index = 1; @@ -288,12 +298,13 @@ static void fill_in_missing_compressed_lookahead_entries(int segment_index, e_rr util::Cost_Entry cost_entry = f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][compressed_idx]; if (std::isnan(cost_entry.delay) && std::isnan(cost_entry.congestion)) { - util::Cost_Entry copied_entry = get_nearby_cost_entry_compressed_lookahead(from_layer_num, - ix, - iy, - to_layer_num, - segment_index, - chan_index); + util::Cost_Entry copied_entry = get_nearby_cost_entry_average_neighbour(sorted_sample_loc, + from_layer_num, + ix, + iy, + to_layer_num, + segment_index, + chan_index); f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][compressed_idx] = copied_entry; } } From a06635e31e880db05b2563f98b7dc64c0aecd2af Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 13 Dec 2023 19:31:42 -0500 Subject: [PATCH 078/608] vpr: compressed lookahead the validity of the coordiantion is checked before --- vpr/src/route/router_lookahead_compressed_map.cpp | 3 --- 1 file changed, 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index b55a4fd2a0a..782a9833a40 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -413,9 +413,6 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map= (int)f_compressed_wire_cost_map.dim_size(5)) { - continue; - } int neighbour_compressed_idx = compressed_loc_index_map[neighbour_x][neighbour_y]; util::Cost_Entry copy_entry = f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][neighbour_compressed_idx]; if (std::isnan(copy_entry.delay) || std::isnan(copy_entry.congestion)) { From cb6dd5fc74c62dc95b4226390d515b0a956aedc2 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 15 Dec 2023 13:45:36 -0500 Subject: [PATCH 079/608] vpr: experiment: for less dense sampling locations, use the previous filling in method --- .../route/router_lookahead_compressed_map.cpp | 93 ++++++++++++------- 1 file changed, 59 insertions(+), 34 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 782a9833a40..5f6c9107fa8 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -390,45 +390,70 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map window = {-3, -2, -1, 1, 2, 3}; - for (int dx: window) { - auto dist_to_begin = std::distance(sorted_sample_loc.begin(), missing_point_compressed_iter_x); - auto dist_to_end = std::distance(missing_point_compressed_iter_x, sorted_sample_loc.end()); - if (dx >= dist_to_end || std::abs(dx) > dist_to_begin) { - continue; - } - auto neighbour_sample_loc_x_pair = missing_point_compressed_iter_x; - std::advance(neighbour_sample_loc_x_pair, dx); - int neighbour_x = neighbour_sample_loc_x_pair->first; - for (int dy: window) { - const auto& sampling_column = sorted_sample_loc.at(neighbour_x); - auto missing_point_compressed_iter_y = sampling_column.lower_bound(missing_dy); - if ((*missing_point_compressed_iter_y) != missing_dy) { - missing_point_compressed_iter_y--; - } - dist_to_begin = std::distance(sampling_column.begin(), missing_point_compressed_iter_y); - dist_to_end = std::distance(missing_point_compressed_iter_y, sampling_column.end()); - if (dy >= dist_to_end || std::abs(dy) > dist_to_begin) { + int neighbour_x = OPEN; + int neighbour_y = OPEN; + + if (missing_dx == 0 && missing_dy == 0) { + return util::Cost_Entry(0., 0.); + } + if (missing_dx < static_cast(compressed_loc_index_map.dim_size(1))) { + neighbour_x = missing_dx + 1; + } else { + VTR_ASSERT(missing_dx != 0); + neighbour_x = missing_dx - 1; + } + + if (missing_dy < static_cast(compressed_loc_index_map.dim_size(2))) { + neighbour_y = missing_dy + 1; + } else { + VTR_ASSERT(missing_dy != 0); + neighbour_y = missing_dy - 1; + } + + if (std::abs(missing_dx - neighbour_x) == 1) { + VTR_ASSERT(std::abs(missing_dy - neighbour_y) == 1); + return get_nearby_cost_entry_compressed_lookahead(from_layer_num, missing_dx, missing_dy, to_layer_num, segment_index, chan_index); + } else { + std::array window = {-3, -2, -1, 1, 2, 3}; + for (int dx : window) { + auto dist_to_begin = std::distance(sorted_sample_loc.begin(), missing_point_compressed_iter_x); + auto dist_to_end = std::distance(missing_point_compressed_iter_x, sorted_sample_loc.end()); + if (dx >= dist_to_end || std::abs(dx) > dist_to_begin) { continue; } - std::advance(missing_point_compressed_iter_y, dy); - int neighbour_y = *missing_point_compressed_iter_y; - int neighbour_compressed_idx = compressed_loc_index_map[neighbour_x][neighbour_y]; - util::Cost_Entry copy_entry = f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][neighbour_compressed_idx]; - if (std::isnan(copy_entry.delay) || std::isnan(copy_entry.congestion)) { - continue; + auto neighbour_sample_loc_x_pair = missing_point_compressed_iter_x; + std::advance(neighbour_sample_loc_x_pair, dx); + neighbour_x = neighbour_sample_loc_x_pair->first; + for (int dy : window) { + const auto& sampling_column = sorted_sample_loc.at(neighbour_x); + auto missing_point_compressed_iter_y = sampling_column.lower_bound(missing_dy); + if ((*missing_point_compressed_iter_y) != missing_dy) { + missing_point_compressed_iter_y--; + } + dist_to_begin = std::distance(sampling_column.begin(), missing_point_compressed_iter_y); + dist_to_end = std::distance(missing_point_compressed_iter_y, sampling_column.end()); + if (dy >= dist_to_end || std::abs(dy) > dist_to_begin) { + continue; + } + std::advance(missing_point_compressed_iter_y, dy); + neighbour_y = *missing_point_compressed_iter_y; + int neighbour_compressed_idx = compressed_loc_index_map[neighbour_x][neighbour_y]; + util::Cost_Entry copy_entry = f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][neighbour_compressed_idx]; + if (std::isnan(copy_entry.delay) || std::isnan(copy_entry.congestion)) { + continue; + } + neighbour_delay_sum += copy_entry.delay; + neighbour_cong_sum += copy_entry.congestion; + neighbour_num += 1; } - neighbour_delay_sum += copy_entry.delay; - neighbour_cong_sum += copy_entry.congestion; - neighbour_num += 1; } - } - if (neighbour_num >= 3) { - return {neighbour_delay_sum / static_cast(neighbour_num), - neighbour_cong_sum / static_cast(neighbour_num)}; - } else { - return get_nearby_cost_entry_compressed_lookahead(from_layer_num, missing_dx, missing_dy, to_layer_num, segment_index, chan_index); + if (neighbour_num >= 3) { + return {neighbour_delay_sum / static_cast(neighbour_num), + neighbour_cong_sum / static_cast(neighbour_num)}; + } else { + return get_nearby_cost_entry_compressed_lookahead(from_layer_num, missing_dx, missing_dy, to_layer_num, segment_index, chan_index); + } } } From 3c66640d8412b53d631643ddd25390d05bb86844 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 15 Dec 2023 13:50:19 -0500 Subject: [PATCH 080/608] vpr: minor debugging --- vpr/src/route/router_lookahead_compressed_map.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 5f6c9107fa8..b7a1703bb7a 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -396,14 +396,14 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map(compressed_loc_index_map.dim_size(1))) { + if (missing_dx < static_cast(compressed_loc_index_map.dim_size(0))) { neighbour_x = missing_dx + 1; } else { VTR_ASSERT(missing_dx != 0); neighbour_x = missing_dx - 1; } - if (missing_dy < static_cast(compressed_loc_index_map.dim_size(2))) { + if (missing_dy < static_cast(compressed_loc_index_map.dim_size(1))) { neighbour_y = missing_dy + 1; } else { VTR_ASSERT(missing_dy != 0); From e18a41b374dde2b5bf9cc4b9761bd9a605a4add7 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 15 Dec 2023 14:02:51 -0500 Subject: [PATCH 081/608] vpr: experiment: use the normal filling in method if the loc is not in sample locs --- vpr/src/route/router_lookahead_compressed_map.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index b7a1703bb7a..af09ba99730 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -410,8 +410,8 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map window = {-3, -2, -1, 1, 2, 3}; From 165bb2eb735d24fbd42adf1c67efc16e82085f8f Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 15 Dec 2023 14:33:37 -0500 Subject: [PATCH 082/608] vpr: remove a wrong assertion and make an if statement of it --- .../route/router_lookahead_compressed_map.cpp | 81 ++++++++++--------- 1 file changed, 41 insertions(+), 40 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index af09ba99730..dd31dbb5d8f 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -396,14 +396,14 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map(compressed_loc_index_map.dim_size(0))) { + if (missing_dx < (static_cast(compressed_loc_index_map.dim_size(0))-1)) { neighbour_x = missing_dx + 1; } else { VTR_ASSERT(missing_dx != 0); neighbour_x = missing_dx - 1; } - if (missing_dy < static_cast(compressed_loc_index_map.dim_size(1))) { + if (missing_dy < (static_cast(compressed_loc_index_map.dim_size(1))-1)) { neighbour_y = missing_dy + 1; } else { VTR_ASSERT(missing_dy != 0); @@ -411,49 +411,50 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map window = {-3, -2, -1, 1, 2, 3}; - for (int dx : window) { - auto dist_to_begin = std::distance(sorted_sample_loc.begin(), missing_point_compressed_iter_x); - auto dist_to_end = std::distance(missing_point_compressed_iter_x, sorted_sample_loc.end()); - if (dx >= dist_to_end || std::abs(dx) > dist_to_begin) { + if(sample_locations.at(neighbour_x).find(neighbour_y) != sample_locations.at(neighbour_x).end()) { + return get_nearby_cost_entry_compressed_lookahead(from_layer_num, missing_dx, missing_dy, to_layer_num, segment_index, chan_index); + } + } + + std::array window = {-3, -2, -1, 1, 2, 3}; + for (int dx : window) { + auto dist_to_begin = std::distance(sorted_sample_loc.begin(), missing_point_compressed_iter_x); + auto dist_to_end = std::distance(missing_point_compressed_iter_x, sorted_sample_loc.end()); + if (dx >= dist_to_end || std::abs(dx) > dist_to_begin) { + continue; + } + auto neighbour_sample_loc_x_pair = missing_point_compressed_iter_x; + std::advance(neighbour_sample_loc_x_pair, dx); + neighbour_x = neighbour_sample_loc_x_pair->first; + for (int dy : window) { + const auto& sampling_column = sorted_sample_loc.at(neighbour_x); + auto missing_point_compressed_iter_y = sampling_column.lower_bound(missing_dy); + if ((*missing_point_compressed_iter_y) != missing_dy) { + missing_point_compressed_iter_y--; + } + dist_to_begin = std::distance(sampling_column.begin(), missing_point_compressed_iter_y); + dist_to_end = std::distance(missing_point_compressed_iter_y, sampling_column.end()); + if (dy >= dist_to_end || std::abs(dy) > dist_to_begin) { continue; } - auto neighbour_sample_loc_x_pair = missing_point_compressed_iter_x; - std::advance(neighbour_sample_loc_x_pair, dx); - neighbour_x = neighbour_sample_loc_x_pair->first; - for (int dy : window) { - const auto& sampling_column = sorted_sample_loc.at(neighbour_x); - auto missing_point_compressed_iter_y = sampling_column.lower_bound(missing_dy); - if ((*missing_point_compressed_iter_y) != missing_dy) { - missing_point_compressed_iter_y--; - } - dist_to_begin = std::distance(sampling_column.begin(), missing_point_compressed_iter_y); - dist_to_end = std::distance(missing_point_compressed_iter_y, sampling_column.end()); - if (dy >= dist_to_end || std::abs(dy) > dist_to_begin) { - continue; - } - std::advance(missing_point_compressed_iter_y, dy); - neighbour_y = *missing_point_compressed_iter_y; - int neighbour_compressed_idx = compressed_loc_index_map[neighbour_x][neighbour_y]; - util::Cost_Entry copy_entry = f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][neighbour_compressed_idx]; - if (std::isnan(copy_entry.delay) || std::isnan(copy_entry.congestion)) { - continue; - } - neighbour_delay_sum += copy_entry.delay; - neighbour_cong_sum += copy_entry.congestion; - neighbour_num += 1; + std::advance(missing_point_compressed_iter_y, dy); + neighbour_y = *missing_point_compressed_iter_y; + int neighbour_compressed_idx = compressed_loc_index_map[neighbour_x][neighbour_y]; + util::Cost_Entry copy_entry = f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][neighbour_compressed_idx]; + if (std::isnan(copy_entry.delay) || std::isnan(copy_entry.congestion)) { + continue; } + neighbour_delay_sum += copy_entry.delay; + neighbour_cong_sum += copy_entry.congestion; + neighbour_num += 1; } + } - if (neighbour_num >= 3) { - return {neighbour_delay_sum / static_cast(neighbour_num), - neighbour_cong_sum / static_cast(neighbour_num)}; - } else { - return get_nearby_cost_entry_compressed_lookahead(from_layer_num, missing_dx, missing_dy, to_layer_num, segment_index, chan_index); - } + if (neighbour_num >= 3) { + return {neighbour_delay_sum / static_cast(neighbour_num), + neighbour_cong_sum / static_cast(neighbour_num)}; + } else { + return get_nearby_cost_entry_compressed_lookahead(from_layer_num, missing_dx, missing_dy, to_layer_num, segment_index, chan_index); } } From f044f86bd464bcfc116d2d6fb100ea8138529f9c Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 15 Dec 2023 15:00:02 -0500 Subject: [PATCH 083/608] vpr: making sure the window doesn't exceed device limits --- .../route/router_lookahead_compressed_map.cpp | 19 +++++++++++++++++-- 1 file changed, 17 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index dd31dbb5d8f..94d0efff07b 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -420,6 +420,15 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map= 0) { + if (dx >= dist_to_end) { + continue; + } + } else { + if (std::abs(dx) > dist_to_begin) { + continue; + } + } if (dx >= dist_to_end || std::abs(dx) > dist_to_begin) { continue; } @@ -434,8 +443,14 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map= dist_to_end || std::abs(dy) > dist_to_begin) { - continue; + if (dy >= 0) { + if (dy >= dist_to_end) { + continue; + } + } else { + if (std::abs(dy) > dist_to_begin) { + continue; + } } std::advance(missing_point_compressed_iter_y, dy); neighbour_y = *missing_point_compressed_iter_y; From eaed630be88cca0df1a4ac02dbbb8f2da502dda7 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 15 Dec 2023 15:03:39 -0500 Subject: [PATCH 084/608] vpr: minor debugging --- vpr/src/route/router_lookahead_compressed_map.cpp | 3 --- 1 file changed, 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 94d0efff07b..ef45b16c1dd 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -429,9 +429,6 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map= dist_to_end || std::abs(dx) > dist_to_begin) { - continue; - } auto neighbour_sample_loc_x_pair = missing_point_compressed_iter_x; std::advance(neighbour_sample_loc_x_pair, dx); neighbour_x = neighbour_sample_loc_x_pair->first; From e5edd6991a7cb88f21d2afef6ecda947d692cc11 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 15 Dec 2023 15:08:49 -0500 Subject: [PATCH 085/608] vpr: revert using previous filling in method for desne sampling regions --- .../route/router_lookahead_compressed_map.cpp | 19 ------------------- 1 file changed, 19 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index ef45b16c1dd..196454259da 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -396,25 +396,6 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map(compressed_loc_index_map.dim_size(0))-1)) { - neighbour_x = missing_dx + 1; - } else { - VTR_ASSERT(missing_dx != 0); - neighbour_x = missing_dx - 1; - } - - if (missing_dy < (static_cast(compressed_loc_index_map.dim_size(1))-1)) { - neighbour_y = missing_dy + 1; - } else { - VTR_ASSERT(missing_dy != 0); - neighbour_y = missing_dy - 1; - } - - if (sample_locations.find(neighbour_x) != sample_locations.end()) { - if(sample_locations.at(neighbour_x).find(neighbour_y) != sample_locations.at(neighbour_x).end()) { - return get_nearby_cost_entry_compressed_lookahead(from_layer_num, missing_dx, missing_dy, to_layer_num, segment_index, chan_index); - } - } std::array window = {-3, -2, -1, 1, 2, 3}; for (int dx : window) { From c782916afaa02c5e5f123c7a36630200f07cac3a Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 15 Dec 2023 17:07:11 -0500 Subject: [PATCH 086/608] vpr: increase region size for dense sampling compressed_router_lookahead --- vpr/src/route/router_lookahead_compressed_map.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 196454259da..41a36fcdb10 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -230,11 +230,11 @@ static std::vector get_sampling_regions(int region_length) { for (int x = 0; x < grid_width; x+=incr) { for (int y = 0; y < grid_height; y+=incr) { int step; - if (x < region_length && y < region_length) { + if (x < 2*region_length && y < 2*region_length) { step = 1; - } else if (x < 2*region_length && y < 2*region_length) { - step = 2; } else if (x < 4*region_length && y < 4*region_length) { + step = 2; + } else if (x < 8*region_length && y < 8*region_length) { step = 4; } else { step = 8; From 6350484d6721cb39df1224f9176b6f4559e4c7cc Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 18 Dec 2023 13:41:16 -0500 Subject: [PATCH 087/608] vpr: compressed router lookahead: set step size for all regions to 1 --- vpr/src/route/router_lookahead_compressed_map.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 41a36fcdb10..0ee88f07e53 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -233,11 +233,11 @@ static std::vector get_sampling_regions(int region_length) { if (x < 2*region_length && y < 2*region_length) { step = 1; } else if (x < 4*region_length && y < 4*region_length) { - step = 2; + step = 1; } else if (x < 8*region_length && y < 8*region_length) { - step = 4; + step = 1; } else { - step = 8; + step = 1; } int sample_region_max_x = std::min(grid_width-1, x+region_length); int sample_region_max_y = std::min(grid_height-1, y+region_length); From 1abfb16cdec9c699879948cd07c55832764f50a3 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 18 Dec 2023 13:54:49 -0500 Subject: [PATCH 088/608] vpr: compressed_router_lookahead: reverse the experiment --- vpr/src/route/router_lookahead_compressed_map.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 0ee88f07e53..41a36fcdb10 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -233,11 +233,11 @@ static std::vector get_sampling_regions(int region_length) { if (x < 2*region_length && y < 2*region_length) { step = 1; } else if (x < 4*region_length && y < 4*region_length) { - step = 1; + step = 2; } else if (x < 8*region_length && y < 8*region_length) { - step = 1; + step = 4; } else { - step = 1; + step = 8; } int sample_region_max_x = std::min(grid_width-1, x+region_length); int sample_region_max_y = std::min(grid_height-1, y+region_length); From 3de0b94a1b3e0a374cb2e90b150e24d47968d3ce Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 18 Dec 2023 15:46:27 -0500 Subject: [PATCH 089/608] vpr: compressed_router_lookhaed: rewrite jumping x/ys - do it directly instead of defining sampling regions --- .../route/router_lookahead_compressed_map.cpp | 137 ++++++------------ 1 file changed, 48 insertions(+), 89 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 41a36fcdb10..707bc9c6a1a 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -52,12 +52,10 @@ struct SamplingRegion { } }; -static void initialize_compressed_loc_structs(std::vector& sampling_regions, int num_sampling_points); +static int initialize_compressed_loc_structs(const int max_track_length); static void compute_router_wire_compressed_lookahead(const std::vector& segment_inf_vec); -static std::vector get_sampling_regions(int region_length); - /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map); @@ -82,55 +80,61 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map& sampling_regions, int num_sampling_points) { - std::sort(sampling_regions.begin(), sampling_regions.end(), [](const SamplingRegion& a, const SamplingRegion& b) { - VTR_ASSERT_DEBUG(a.width() == b.width() && a.height() == b.height()); - VTR_ASSERT_DEBUG(a.height() != 0 && b.height() != 0); - - if(a.y_max <= b.y_min) { - return true; - } else if (b.y_max <= a.y_min) { - return false; - } else{ - VTR_ASSERT_DEBUG(a.y_min == b.y_min); - if (a.x_min < b.x_min) { - return true; - } else { - return false; - } - } - }); - +static int initialize_compressed_loc_structs(const int max_track_length) { const auto& grid = g_vpr_ctx.device().grid; compressed_loc_index_map.resize({grid.width(), grid.height()}, OPEN); + int grid_width = static_cast(grid.width()); + int grid_height = static_cast(grid.height()); + int sample_point_num = 0; - for (const auto& sample_region: sampling_regions) { - int step = sample_region.step; - int x_max = sample_region.x_max; - int y_max = sample_region.y_max; - int x_min = sample_region.x_min; - int y_min = sample_region.y_min; - for (int x = x_min; x <= x_max; x += step) { - int sample_x_max = std::min(x+step-1, x_max); - for (int y = y_min; y <= y_max; y += step) { - if (sample_locations.count(x) == 0) { - sample_locations[x] = std::unordered_set(); - } - sample_locations[x].insert(y); + for (int x = 0; x < grid_width;) { + int x_step = -1; + if (x < 2*max_track_length) { + x_step = 1; + } else if (x < 4*max_track_length) { + x_step = 2; + } else if (x < 8*max_track_length) { + x_step = 4; + } else { + x_step = 8; + } + for (int y = 0; y < grid_height;) { + int y_step = -1; + if (y < 2*max_track_length) { + y_step = 1; + } else if (y < 4*max_track_length) { + y_step = 2; + } else if (y < 8*max_track_length) { + y_step = 4; + } else { + y_step = 8; + } - int sample_y_max = std::min(y+step-1, y_max); - for(int sample_x = x; sample_x <= sample_x_max; sample_x++) { - for(int sample_y = y; sample_y <= sample_y_max; sample_y++) { - compressed_loc_index_map[sample_x][sample_y] = sample_point_num; - } - } + if (sample_locations.count(x) == 0) { + sample_locations[x] = std::unordered_set(); + } + sample_locations[x].insert(y); - sample_point_num++; + int step = std::max(x_step, y_step); + int sample_region_x_max = std::min(x + step, grid_width); + int sample_region_y_max = std::min(y + step, grid_height); + + for (int sample_x = x; sample_x < sample_region_x_max; sample_x++) { + for (int sample_y = y; sample_y < sample_region_y_max; sample_y++) { + compressed_loc_index_map[sample_x][sample_y] = sample_point_num; + + sample_point_num++; + } } + + + y += step; } + x += x_step; } - VTR_ASSERT(sample_point_num == num_sampling_points); + + return sample_point_num; } static void compute_router_wire_compressed_lookahead(const std::vector& segment_inf_vec) { @@ -151,21 +155,7 @@ static void compute_router_wire_compressed_lookahead(const std::vector::min()); VTR_ASSERT(min_seg_length != std::numeric_limits::max()); - auto sampling_regions = get_sampling_regions(max_seg_lenght); - - int num_sampling_points = 0; - for (const auto& sampling_region : sampling_regions) { - int step = sampling_region.step; - int sampling_region_width = sampling_region.x_max - sampling_region.x_min + 1; - int sampling_region_height = sampling_region.y_max - sampling_region.y_min + 1; - - int num_x = std::ceil(static_cast(sampling_region_width) / static_cast(step)); - int num_y = std::ceil(static_cast(sampling_region_height) / static_cast(step)); - - num_sampling_points += (num_x * num_y); - } - - initialize_compressed_loc_structs(sampling_regions, num_sampling_points); + int num_sampling_points = initialize_compressed_loc_structs(max_seg_lenght); f_compressed_wire_cost_map = t_compressed_wire_cost_map({static_cast(grid.get_num_layers()), 2, @@ -217,37 +207,6 @@ static void compute_router_wire_compressed_lookahead(const std::vector get_sampling_regions(int region_length) { - - const auto& grid = g_vpr_ctx.device().grid; - std::vector sampling_regions; - - - int grid_width = static_cast(grid.width()); - int grid_height = static_cast(grid.height()); - - int incr = region_length+1; - for (int x = 0; x < grid_width; x+=incr) { - for (int y = 0; y < grid_height; y+=incr) { - int step; - if (x < 2*region_length && y < 2*region_length) { - step = 1; - } else if (x < 4*region_length && y < 4*region_length) { - step = 2; - } else if (x < 8*region_length && y < 8*region_length) { - step = 4; - } else { - step = 8; - } - int sample_region_max_x = std::min(grid_width-1, x+region_length); - int sample_region_max_y = std::min(grid_height-1, y+region_length); - sampling_regions.emplace_back(sample_region_max_x, sample_region_max_y, x, y, step); - } - } - - return sampling_regions; -} - static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_type chan_type, util::t_routing_cost_map& routing_cost_map) { int chan_index = 0; if (chan_type == CHANY) { From a738f9d5d0a6cabdcea6b375499f35a84aa5bc8f Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 18 Dec 2023 16:00:19 -0500 Subject: [PATCH 090/608] vpr: compressed_router_lookahead: get max seg length in initialize_compressed_loc_structs method --- .../route/router_lookahead_compressed_map.cpp | 39 +++++++++---------- 1 file changed, 18 insertions(+), 21 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 707bc9c6a1a..f15ec8bdf86 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -52,7 +52,7 @@ struct SamplingRegion { } }; -static int initialize_compressed_loc_structs(const int max_track_length); +static int initialize_compressed_loc_structs(const std::vector& segment_inf_vec); static void compute_router_wire_compressed_lookahead(const std::vector& segment_inf_vec); @@ -80,32 +80,41 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map& segment_inf_vec) { const auto& grid = g_vpr_ctx.device().grid; compressed_loc_index_map.resize({grid.width(), grid.height()}, OPEN); + int max_seg_lenght = std::numeric_limits::min(); + + for (const auto& segment : segment_inf_vec) { + if (!segment.longline) { + max_seg_lenght = std::max(max_seg_lenght, segment.length); + } + } + VTR_ASSERT(max_seg_lenght != std::numeric_limits::min()); + int grid_width = static_cast(grid.width()); int grid_height = static_cast(grid.height()); int sample_point_num = 0; for (int x = 0; x < grid_width;) { int x_step = -1; - if (x < 2*max_track_length) { + if (x < 2*max_seg_lenght) { x_step = 1; - } else if (x < 4*max_track_length) { + } else if (x < 4*max_seg_lenght) { x_step = 2; - } else if (x < 8*max_track_length) { + } else if (x < 8*max_seg_lenght) { x_step = 4; } else { x_step = 8; } for (int y = 0; y < grid_height;) { int y_step = -1; - if (y < 2*max_track_length) { + if (y < 2*max_seg_lenght) { y_step = 1; - } else if (y < 4*max_track_length) { + } else if (y < 4*max_seg_lenght) { y_step = 2; - } else if (y < 8*max_track_length) { + } else if (y < 8*max_seg_lenght) { y_step = 4; } else { y_step = 8; @@ -143,19 +152,7 @@ static void compute_router_wire_compressed_lookahead(const std::vector::min(); - int min_seg_length = std::numeric_limits::max(); - - for (const auto& segment : segment_inf_vec) { - if (!segment.longline) { - max_seg_lenght = std::max(max_seg_lenght, segment.length); - min_seg_length = std::min(min_seg_length, segment.length); - } - } - VTR_ASSERT(max_seg_lenght != std::numeric_limits::min()); - VTR_ASSERT(min_seg_length != std::numeric_limits::max()); - - int num_sampling_points = initialize_compressed_loc_structs(max_seg_lenght); + int num_sampling_points = initialize_compressed_loc_structs(segment_inf_vec); f_compressed_wire_cost_map = t_compressed_wire_cost_map({static_cast(grid.get_num_layers()), 2, From cf0f9d8b6a9f169131fe44936116e1fc157c97b0 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 18 Dec 2023 16:17:14 -0500 Subject: [PATCH 091/608] vpr: compressed_router_lookhaead: minor debugging --- vpr/src/route/router_lookahead_compressed_map.cpp | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index f15ec8bdf86..28f6321c6a9 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -132,12 +132,10 @@ static int initialize_compressed_loc_structs(const std::vector& s for (int sample_x = x; sample_x < sample_region_x_max; sample_x++) { for (int sample_y = y; sample_y < sample_region_y_max; sample_y++) { compressed_loc_index_map[sample_x][sample_y] = sample_point_num; - - sample_point_num++; } } - + sample_point_num++; y += step; } x += x_step; From 3667dde859dc1b5ed7f1a32098627d334936577b Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 18 Dec 2023 16:32:49 -0500 Subject: [PATCH 092/608] vpr: compressed_router_lookahead: remove a redundant data structure --- .../route/router_lookahead_compressed_map.cpp | 24 ------------------- 1 file changed, 24 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 28f6321c6a9..8bf9215bd6e 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -28,30 +28,6 @@ std::unordered_map> sample_locations; t_compressed_wire_cost_map f_compressed_wire_cost_map; - -struct SamplingRegion { - SamplingRegion() = default; - SamplingRegion(int x_max_, int y_max_, int x_min_, int y_min_, int step_) - : x_max(x_max_) - , y_max(y_max_) - , x_min(x_min_) - , y_min(y_min_) - , step(step_) {} - int x_max = OPEN; - int y_max = OPEN; - int x_min = OPEN; - int y_min = OPEN; - int step = OPEN; - - int width() const { - return x_max - x_min; - } - - int height() const { - return y_max - y_min; - } -}; - static int initialize_compressed_loc_structs(const std::vector& segment_inf_vec); static void compute_router_wire_compressed_lookahead(const std::vector& segment_inf_vec); From e6065dd35724846ddec21599302e25a5b9174b37 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 18 Dec 2023 18:33:30 -0500 Subject: [PATCH 093/608] vpr: compressed_router_lookahead experiment: set all steps to one for debugging --- vpr/src/route/router_lookahead_compressed_map.cpp | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 8bf9215bd6e..a658ae67f23 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -78,22 +78,22 @@ static int initialize_compressed_loc_structs(const std::vector& s if (x < 2*max_seg_lenght) { x_step = 1; } else if (x < 4*max_seg_lenght) { - x_step = 2; + x_step = 1; } else if (x < 8*max_seg_lenght) { - x_step = 4; + x_step = 1; } else { - x_step = 8; + x_step = 1; } for (int y = 0; y < grid_height;) { int y_step = -1; if (y < 2*max_seg_lenght) { y_step = 1; } else if (y < 4*max_seg_lenght) { - y_step = 2; + y_step = 1; } else if (y < 8*max_seg_lenght) { - y_step = 4; + y_step = 1; } else { - y_step = 8; + y_step = 1; } if (sample_locations.count(x) == 0) { From 7f6b4e1f8de6b98b69270e2c34c231bb9471e566 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 18 Dec 2023 18:44:02 -0500 Subject: [PATCH 094/608] Revert "vpr: compressed_router_lookahead experiment: set all steps to one for debugging" This reverts commit e6065dd35724846ddec21599302e25a5b9174b37. --- vpr/src/route/router_lookahead_compressed_map.cpp | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index a658ae67f23..8bf9215bd6e 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -78,22 +78,22 @@ static int initialize_compressed_loc_structs(const std::vector& s if (x < 2*max_seg_lenght) { x_step = 1; } else if (x < 4*max_seg_lenght) { - x_step = 1; + x_step = 2; } else if (x < 8*max_seg_lenght) { - x_step = 1; + x_step = 4; } else { - x_step = 1; + x_step = 8; } for (int y = 0; y < grid_height;) { int y_step = -1; if (y < 2*max_seg_lenght) { y_step = 1; } else if (y < 4*max_seg_lenght) { - y_step = 1; + y_step = 2; } else if (y < 8*max_seg_lenght) { - y_step = 1; + y_step = 4; } else { - y_step = 1; + y_step = 8; } if (sample_locations.count(x) == 0) { From 1500bed290ae44d724beb3d931085447efe4c4a3 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 19 Dec 2023 09:28:28 -0500 Subject: [PATCH 095/608] vpr: compressed_router_lookahead: fix the bug when running dijkstra. the prunning should be based on dx and dy not the location of ipin --- vpr/src/route/router_lookahead_map_utils.cpp | 28 ++++++++++---------- 1 file changed, 14 insertions(+), 14 deletions(-) diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 0f23b881126..7ce43dbb0bb 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -1351,24 +1351,24 @@ static void run_dijkstra(RRNodeId start_node, int ipin_y = rr_graph.node_ylow(curr_node); int ipin_layer = rr_graph.node_layer(curr_node); - bool store_this_pin = true; - if (!sample_all_locs) { - if (sample_locs.find(ipin_x) == sample_locs.end()) { - store_this_pin = false; - } else { - if (sample_locs.at(ipin_x).find(ipin_y) == sample_locs.at(ipin_x).end()) { + if (ipin_x >= start_x && ipin_y >= start_y) { + int delta_x, delta_y; + util::get_xy_deltas(start_node, curr_node, &delta_x, &delta_y); + delta_x = std::abs(delta_x); + delta_y = std::abs(delta_y); + + bool store_this_pin = true; + if (!sample_all_locs) { + if (sample_locs.find(ipin_x) == sample_locs.end()) { store_this_pin = false; + } else { + if (sample_locs.at(ipin_x).find(ipin_y) == sample_locs.at(ipin_x).end()) { + store_this_pin = false; + } } } - } - - if (store_this_pin) { - if (ipin_x >= start_x && ipin_y >= start_y) { - int delta_x, delta_y; - util::get_xy_deltas(start_node, curr_node, &delta_x, &delta_y); - delta_x = std::abs(delta_x); - delta_y = std::abs(delta_y); + if (store_this_pin) { routing_cost_map[ipin_layer][delta_x][delta_y].add_cost_entry(util::e_representative_entry_method::SMALLEST, current.delay, current.congestion_upstream); From e6ee91df77f6e3c50da4e86be47fd0480b9d0f91 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 19 Dec 2023 09:30:29 -0500 Subject: [PATCH 096/608] vpr: compressed_router_lookahead: minor debugging --- vpr/src/route/router_lookahead_map_utils.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 7ce43dbb0bb..8b04a0ec8a8 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -1359,10 +1359,10 @@ static void run_dijkstra(RRNodeId start_node, bool store_this_pin = true; if (!sample_all_locs) { - if (sample_locs.find(ipin_x) == sample_locs.end()) { + if (sample_locs.find(delta_x) == sample_locs.end()) { store_this_pin = false; } else { - if (sample_locs.at(ipin_x).find(ipin_y) == sample_locs.at(ipin_x).end()) { + if (sample_locs.at(delta_x).find(delta_y) == sample_locs.at(delta_y).end()) { store_this_pin = false; } } From d84ac110d4281e27a04567182ff8acca434dc074 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 19 Dec 2023 09:47:35 -0500 Subject: [PATCH 097/608] vpr: compressed_router_lookahead: minor debugging --- vpr/src/route/router_lookahead_map_utils.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 8b04a0ec8a8..232358ac527 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -1362,7 +1362,7 @@ static void run_dijkstra(RRNodeId start_node, if (sample_locs.find(delta_x) == sample_locs.end()) { store_this_pin = false; } else { - if (sample_locs.at(delta_x).find(delta_y) == sample_locs.at(delta_y).end()) { + if (sample_locs.at(delta_x).find(delta_y) == sample_locs.at(delta_x).end()) { store_this_pin = false; } } From 23164d9dd6ae1be0669d8ee8d61e0d6325fc271d Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 19 Dec 2023 15:58:18 -0500 Subject: [PATCH 098/608] vpr: compressed_router_lookahead: add 0 to the window --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 8bf9215bd6e..8d6a825e626 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -327,7 +327,7 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map window = {-3, -2, -1, 1, 2, 3}; + std::array window = {-3, -2, -1, 0, 1, 2, 3}; for (int dx : window) { auto dist_to_begin = std::distance(sorted_sample_loc.begin(), missing_point_compressed_iter_x); auto dist_to_end = std::distance(missing_point_compressed_iter_x, sorted_sample_loc.end()); From db838524b1add040060981d08fd7757aa2467458 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 19 Dec 2023 16:02:01 -0500 Subject: [PATCH 099/608] vpr: map_router_lookahead: add 0 to the window --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 +- vpr/src/route/router_lookahead_map.cpp | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 8d6a825e626..191d09becf4 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -327,7 +327,7 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map window = {-3, -2, -1, 0, 1, 2, 3}; + std::array window = {-1, 0, 1}; for (int dx : window) { auto dist_to_begin = std::distance(sorted_sample_loc.begin(), missing_point_compressed_iter_x); auto dist_to_end = std::distance(missing_point_compressed_iter_x, sorted_sample_loc.end()); diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 0cd024a4dc3..41daf45e667 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -638,7 +638,7 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(int from_layer_n int neighbour_num = 0; float neighbour_delay_sum = 0; float neighbour_cong_sum = 0; - std::array window = {-3, -2, -1, 1, 2, 3}; + std::array window = {-3, -2, -1, 0, 1, 2, 3}; for (int dx: window) { int neighbour_x = missing_dx + dx; if (neighbour_x < 0 || neighbour_x >= (int)f_wire_cost_map.dim_size(4)) { From cee5fce679399631c2f5e5f124b0fba77016cd20 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 19 Dec 2023 16:17:00 -0500 Subject: [PATCH 100/608] vpr: map_router_lookahead: minor debugging --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 191d09becf4..8d6a825e626 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -327,7 +327,7 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map window = {-1, 0, 1}; + std::array window = {-3, -2, -1, 0, 1, 2, 3}; for (int dx : window) { auto dist_to_begin = std::distance(sorted_sample_loc.begin(), missing_point_compressed_iter_x); auto dist_to_end = std::distance(missing_point_compressed_iter_x, sorted_sample_loc.end()); From 6983a92cf5c1e6006a6da1f1dedf961387cf0ab7 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 20 Dec 2023 09:39:04 -0500 Subject: [PATCH 101/608] vpr: map router_lookahead experiment: make the average window 3x3 --- vpr/src/route/router_lookahead_map.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 41daf45e667..ea49a492caa 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -638,7 +638,7 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(int from_layer_n int neighbour_num = 0; float neighbour_delay_sum = 0; float neighbour_cong_sum = 0; - std::array window = {-3, -2, -1, 0, 1, 2, 3}; + std::array window = {-1, 0, 1}; for (int dx: window) { int neighbour_x = missing_dx + dx; if (neighbour_x < 0 || neighbour_x >= (int)f_wire_cost_map.dim_size(4)) { From f08ab80ae5d00b3573ce0791d54286a15950a4c7 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 20 Dec 2023 13:53:09 -0500 Subject: [PATCH 102/608] vpr: placer experiment: set timer for delay profiler --- vpr/src/place/timing_place_lookup.cpp | 9 +++++++++ vpr/src/route/router_delay_profiling.cpp | 5 +++++ 2 files changed, 14 insertions(+) diff --git a/vpr/src/place/timing_place_lookup.cpp b/vpr/src/place/timing_place_lookup.cpp index 543376201be..6991f36bc1d 100644 --- a/vpr/src/place/timing_place_lookup.cpp +++ b/vpr/src/place/timing_place_lookup.cpp @@ -360,6 +360,15 @@ static float route_connection_delay( int sink_y, const t_router_opts& router_opts, bool measure_directconnect) { + + std::string timer_string = vtr::string_fmt("Placer Calculate Delay: (%d,%d,%d) -> (%d,%d,%d)", + source_x, + source_y, + layer_num, + sink_x, + sink_y, + layer_num); + vtr::ScopedStartFinishTimer timer(timer_string); //Routes between the source and sink locations and calculates the delay float net_delay_value = IMPOSSIBLE_DELTA; /*set to known value for debug purposes */ diff --git a/vpr/src/route/router_delay_profiling.cpp b/vpr/src/route/router_delay_profiling.cpp index d0b95283641..ec6986312d2 100644 --- a/vpr/src/route/router_delay_profiling.cpp +++ b/vpr/src/route/router_delay_profiling.cpp @@ -28,6 +28,11 @@ bool RouterDelayProfiler::calculate_delay(RRNodeId source_node, const t_router_opts& router_opts, float* net_delay, int layer_num) { + std::string timer_string = vtr::string_fmt("Calculate Delay: %d -> %d Layer %d", + size_t(source_node), + size_t(sink_node), + layer_num); + vtr::ScopedStartFinishTimer timer(timer_string); /* Returns true as long as found some way to hook up this net, even if that * * way resulted in overuse of resources (congestion). If there is no way * * to route this net, even ignoring congestion, it returns false. In this * From 03be9b409a13b31edfac45e29084a8a51f7e95e1 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 08:56:16 -0500 Subject: [PATCH 103/608] vpr: compressed_router_lookahead: change to size of window to 3x3 --- vpr/src/route/router_lookahead_compressed_map.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 8d6a825e626..891a02a5faa 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -327,7 +327,7 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(const std::map window = {-3, -2, -1, 0, 1, 2, 3}; + std::array window = {-1, 0, 1}; for (int dx : window) { auto dist_to_begin = std::distance(sorted_sample_loc.begin(), missing_point_compressed_iter_x); auto dist_to_end = std::distance(missing_point_compressed_iter_x, sorted_sample_loc.end()); From 28ee17b01af15a7e6e83ac13041eaf75361885af Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 08:57:53 -0500 Subject: [PATCH 104/608] Revert "vpr: placer experiment: set timer for delay profiler" This reverts commit f08ab80ae5d00b3573ce0791d54286a15950a4c7. --- vpr/src/place/timing_place_lookup.cpp | 9 --------- vpr/src/route/router_delay_profiling.cpp | 5 ----- 2 files changed, 14 deletions(-) diff --git a/vpr/src/place/timing_place_lookup.cpp b/vpr/src/place/timing_place_lookup.cpp index 6991f36bc1d..543376201be 100644 --- a/vpr/src/place/timing_place_lookup.cpp +++ b/vpr/src/place/timing_place_lookup.cpp @@ -360,15 +360,6 @@ static float route_connection_delay( int sink_y, const t_router_opts& router_opts, bool measure_directconnect) { - - std::string timer_string = vtr::string_fmt("Placer Calculate Delay: (%d,%d,%d) -> (%d,%d,%d)", - source_x, - source_y, - layer_num, - sink_x, - sink_y, - layer_num); - vtr::ScopedStartFinishTimer timer(timer_string); //Routes between the source and sink locations and calculates the delay float net_delay_value = IMPOSSIBLE_DELTA; /*set to known value for debug purposes */ diff --git a/vpr/src/route/router_delay_profiling.cpp b/vpr/src/route/router_delay_profiling.cpp index ec6986312d2..d0b95283641 100644 --- a/vpr/src/route/router_delay_profiling.cpp +++ b/vpr/src/route/router_delay_profiling.cpp @@ -28,11 +28,6 @@ bool RouterDelayProfiler::calculate_delay(RRNodeId source_node, const t_router_opts& router_opts, float* net_delay, int layer_num) { - std::string timer_string = vtr::string_fmt("Calculate Delay: %d -> %d Layer %d", - size_t(source_node), - size_t(sink_node), - layer_num); - vtr::ScopedStartFinishTimer timer(timer_string); /* Returns true as long as found some way to hook up this net, even if that * * way resulted in overuse of resources (congestion). If there is no way * * to route this net, even ignoring congestion, it returns false. In this * From d2582aeb0267cca5a58bd799ae944f0571b334ec Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 16:04:24 -0500 Subject: [PATCH 105/608] vpr: map router lookahead: move min_global_cost_map to compute --- vpr/src/route/router_lookahead_map.cpp | 5 ++--- 1 file changed, 2 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index ba0dac962fc..45d19f93cc9 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -539,6 +539,8 @@ void MapLookahead::compute(const std::vector& segment_inf) { //Next, compute which wire types are accessible (and the cost to reach them) //from the different physical tile type's SOURCEs & OPINs this->src_opin_delays = util::compute_router_src_opin_lookahead(is_flat_); + + min_global_cost_map(distance_based_min_cost); } void MapLookahead::compute_intra_tile() { @@ -546,14 +548,11 @@ void MapLookahead::compute_intra_tile() { vtr::ScopedStartFinishTimer timer("Computing tile lookahead"); VTR_ASSERT(inter_tile_pin_primitive_pin_delay.empty()); VTR_ASSERT(tile_min_cost.empty()); - VTR_ASSERT(distance_based_min_cost.empty()); compute_tiles_lookahead(inter_tile_pin_primitive_pin_delay, tile_min_cost, det_routing_arch_, g_vpr_ctx.device()); - - min_global_cost_map(distance_based_min_cost); } void MapLookahead::read(const std::string& file) { From d7fb85adb1dc58af1c1dc0885476a0801e3ae287 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 16:13:40 -0500 Subject: [PATCH 106/608] vpr: map router lookahead: add to_layer_num to min distance array --- vpr/src/route/router_lookahead_map.cpp | 31 +++++++++++++------------- vpr/src/route/router_lookahead_map.h | 2 +- 2 files changed, 17 insertions(+), 16 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 45d19f93cc9..f1d528765b4 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -255,7 +255,7 @@ static void store_min_cost_to_sinks(std::unordered_map cost */ -static void min_global_cost_map(vtr::NdMatrix& internal_opin_global_cost_map); +static void min_global_cost_map(vtr::NdMatrix& distance_min_cost); /** * @brief Iterate over all of the wire segments accessible from the SOURCE/OPIN (stored in src_opin_delay_map) and return the minimum cost (congestion and delay) across them to the sink @@ -383,8 +383,8 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod get_xy_deltas(current_node, target_node, &delta_x, &delta_y); delta_x = abs(delta_x); delta_y = abs(delta_y); - delay_cost = params.criticality * distance_based_min_cost[to_layer_num][delta_x][delta_y].delay; - cong_cost = (1. - params.criticality) * distance_based_min_cost[to_layer_num][delta_x][delta_y].congestion; + delay_cost = params.criticality * distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].delay; + cong_cost = (1. - params.criticality) * distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].congestion; delay_offset_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; cong_offset_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; @@ -415,8 +415,8 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod get_xy_deltas(current_node, target_node, &delta_x, &delta_y); delta_x = abs(delta_x); delta_y = abs(delta_y); - delay_cost = params.criticality * distance_based_min_cost[to_layer_num][delta_x][delta_y].delay; - cong_cost = (1. - params.criticality) * distance_based_min_cost[to_layer_num][delta_x][delta_y].congestion; + delay_cost = params.criticality * distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].delay; + cong_cost = (1. - params.criticality) * distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].congestion; delay_offset_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; cong_offset_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; @@ -539,7 +539,7 @@ void MapLookahead::compute(const std::vector& segment_inf) { //Next, compute which wire types are accessible (and the cost to reach them) //from the different physical tile type's SOURCEs & OPINs this->src_opin_delays = util::compute_router_src_opin_lookahead(is_flat_); - + min_global_cost_map(distance_based_min_cost); } @@ -1459,19 +1459,20 @@ static void store_min_cost_to_sinks(std::unordered_map& internal_opin_global_cost_map) { +static void min_global_cost_map(vtr::NdMatrix& distance_min_cost) { int num_layers = g_vpr_ctx.device().grid.get_num_layers(); int width = (int)g_vpr_ctx.device().grid.width(); int height = (int)g_vpr_ctx.device().grid.height(); - internal_opin_global_cost_map.resize({static_cast(num_layers), - static_cast(width), - static_cast(height)}); + distance_min_cost.resize({static_cast(num_layers), + static_cast(num_layers), + static_cast(width), + static_cast(height)}); for (int from_layer_num = 0; from_layer_num < num_layers; from_layer_num++) { - for (int dx = 0; dx < width; dx++) { - for (int dy = 0; dy < height; dy++) { - util::Cost_Entry min_cost(std::numeric_limits::max(), std::numeric_limits::max()); - for (int to_layer_num = 0; to_layer_num < num_layers; to_layer_num++) { + for (int to_layer_num = 0; to_layer_num < num_layers; to_layer_num++) { + for (int dx = 0; dx < width; dx++) { + for (int dy = 0; dy < height; dy++) { + util::Cost_Entry min_cost(std::numeric_limits::max(), std::numeric_limits::max()); for (int chan_idx = 0; chan_idx < (int)f_wire_cost_map.dim_size(1); chan_idx++) { for (int seg_idx = 0; seg_idx < (int)f_wire_cost_map.dim_size(2); seg_idx++) { auto cost = util::Cost_Entry(f_wire_cost_map[from_layer_num][chan_idx][seg_idx][to_layer_num][dx][dy].delay, @@ -1482,8 +1483,8 @@ static void min_global_cost_map(vtr::NdMatrix& internal_opi } } } + distance_min_cost[from_layer_num][to_layer_num][dx][dy] = min_cost; } - internal_opin_global_cost_map[from_layer_num][dx][dy] = min_cost; } } } diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index 12d6eaa9ad9..825ea222e89 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -18,7 +18,7 @@ class MapLookahead : public RouterLookahead { // Lookup table to store the minimum cost to reach to a primitive pin from the root-level IPINs std::unordered_map> tile_min_cost; // [physical_tile_type][sink_physical_num] -> cost // Lookup table to store the minimum cost for each dx and dy - vtr::NdMatrix distance_based_min_cost; // [layer_num][dx][dy] -> cost + vtr::NdMatrix distance_based_min_cost; // [from_layer_num][to_layer_num][dx][dy] -> cost const t_det_routing_arch& det_routing_arch_; bool is_flat_; From 6739210e1ee794fb4c0c46c00acbd126c5aff798 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 18:04:28 -0500 Subject: [PATCH 107/608] vpr: router lookahead: add get_distance_min_delay method to router lookahead --- vpr/src/route/router_lookahead.h | 12 ++++++++++++ vpr/src/route/router_lookahead_extended_map.h | 5 +++++ vpr/src/route/router_lookahead_map.cpp | 4 ++++ vpr/src/route/router_lookahead_map.h | 1 + 4 files changed, 22 insertions(+) diff --git a/vpr/src/route/router_lookahead.h b/vpr/src/route/router_lookahead.h index d670f10b952..f5ac8910de2 100644 --- a/vpr/src/route/router_lookahead.h +++ b/vpr/src/route/router_lookahead.h @@ -36,6 +36,8 @@ class RouterLookahead { // May be unimplemented, in which case method should throw an exception. virtual void write_intra_cluster(const std::string& file) const = 0; + virtual float get_distance_min_delay(int from_layer, int to_layer, int dx, int dy) const = 0; + virtual ~RouterLookahead() {} }; @@ -92,6 +94,11 @@ class ClassicLookahead : public RouterLookahead { VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::write_intra_cluster unimplemented"); } + float get_distance_min_delay(int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { + VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::get_distance_min_delay unimplemented"); + return -1.; + } + private: float classic_wire_lookahead_cost(RRNodeId node, RRNodeId target_node, float criticality, float R_upstream) const; }; @@ -123,6 +130,11 @@ class NoOpLookahead : public RouterLookahead { void write_intra_cluster(const std::string& /*file*/) const override { VPR_THROW(VPR_ERROR_ROUTE, "write_intra_cluster not supported for NoOpLookahead"); } + + float get_distance_min_delay(int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { + VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::get_distance_min_delay unimplemented"); + return -1.; + } }; #endif diff --git a/vpr/src/route/router_lookahead_extended_map.h b/vpr/src/route/router_lookahead_extended_map.h index 45d877871cf..e61b755a50e 100644 --- a/vpr/src/route/router_lookahead_extended_map.h +++ b/vpr/src/route/router_lookahead_extended_map.h @@ -103,6 +103,11 @@ class ExtendedMapLookahead : public RouterLookahead { void write_intra_cluster(const std::string& /*file*/) const override { VPR_THROW(VPR_ERROR_ROUTE, "ExtendedMapLookahead::write_intra_cluster unimplemented"); } + + float get_distance_min_delay(int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { + VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::get_distance_min_delay unimplemented"); + return -1.; + } }; #endif diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index f1d528765b4..f68b157da08 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -594,6 +594,10 @@ void MapLookahead::write_intra_cluster(const std::string& file) const { inter_tile_pin_primitive_pin_delay); } +float MapLookahead::get_distance_min_delay(int from_layer, int to_layer, int dx, int dy) const { + return distance_based_min_cost[from_layer][to_layer][dx][dy].delay; +} + /******** Function Definitions ********/ Cost_Entry get_wire_cost_entry(e_rr_type rr_type, int seg_index, int from_layer_num, int delta_x, int delta_y, int to_layer_num) { diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index 825ea222e89..6fc694da8b2 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -33,6 +33,7 @@ class MapLookahead : public RouterLookahead { void read_intra_cluster(const std::string& file) override; void write(const std::string& file) const override; void write_intra_cluster(const std::string& file) const override; + float get_distance_min_delay(int from_layer, int to_layer, int dx, int dy) const override; }; /* f_cost_map is an array of these cost entries that specifies delay/congestion estimates From d47e62f22f31411bccdabd8a26faaaf97801b5fa Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 18:06:01 -0500 Subject: [PATCH 108/608] vpr: router_delay_profiling: add get_min_delay to router delay profiler --- vpr/src/route/router_delay_profiling.cpp | 22 +++++++++++++++++++++- vpr/src/route/router_delay_profiling.h | 3 +++ 2 files changed, 24 insertions(+), 1 deletion(-) diff --git a/vpr/src/route/router_delay_profiling.cpp b/vpr/src/route/router_delay_profiling.cpp index d0b95283641..cbdc6092338 100644 --- a/vpr/src/route/router_delay_profiling.cpp +++ b/vpr/src/route/router_delay_profiling.cpp @@ -21,7 +21,23 @@ RouterDelayProfiler::RouterDelayProfiler(const Netlist<>& net_list, g_vpr_ctx.device().rr_graph.rr_switch(), g_vpr_ctx.mutable_routing().rr_node_route_inf, is_flat) - , is_flat_(is_flat) {} + , is_flat_(is_flat) { + const auto& grid = g_vpr_ctx.device().grid; + min_delays_.resize({static_cast(grid.get_num_layers()), + static_cast(grid.get_num_layers()), + grid.width(), + grid.height()}); + for (int from_layer = 0; from_layer < grid.get_num_layers(); ++from_layer) { + for (int to_layer = 0; to_layer < grid.get_num_layers(); ++to_layer) { + for (int dx = 0; dx < grid.width(); ++dx) { + for (int dy = 0; dy < grid.height(); ++dy) { + float min_delay = lookahead->get_distance_min_delay(from_layer, to_layer, dx, dy); + min_delays_[from_layer][to_layer][dx][dy] = min_delay; + } + } + } + } +} bool RouterDelayProfiler::calculate_delay(RRNodeId source_node, RRNodeId sink_node, @@ -113,6 +129,10 @@ bool RouterDelayProfiler::calculate_delay(RRNodeId source_node, return found_path; } +float RouterDelayProfiler::get_min_delay(int from_layer, int to_layer, int dx, int dy) const { + return min_delays_[from_layer][to_layer][dx][dy]; +} + //Returns the shortest path delay from src_node to all RR nodes in the RR graph, or NaN if no path exists vtr::vector calculate_all_path_delays_from_rr_node(RRNodeId src_rr_node, const t_router_opts& router_opts, diff --git a/vpr/src/route/router_delay_profiling.h b/vpr/src/route/router_delay_profiling.h index 13bae0d0301..e78555fbbdb 100644 --- a/vpr/src/route/router_delay_profiling.h +++ b/vpr/src/route/router_delay_profiling.h @@ -31,10 +31,13 @@ class RouterDelayProfiler { float* net_delay, int layer_num); + float get_min_delay(int from_layer, int to_layer, int dx, int dy) const; + private: const Netlist<>& net_list_; RouterStats router_stats_; ConnectionRouter router_; + vtr::NdMatrix min_delays_; bool is_flat_; }; From d4d7ac7a8f90cfde7b3f808ede4ceec74e310ac0 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 18:07:23 -0500 Subject: [PATCH 109/608] vpr: simple_place_delay_model: implement simple place delay model to use information from map router lookahead --- vpr/src/place/place_delay_model.cpp | 7 +++++++ vpr/src/place/place_delay_model.h | 26 +++++++++++++++++++++++ vpr/src/place/timing_place_lookup.cpp | 30 +++++++++++++++++++++++++++ 3 files changed, 63 insertions(+) diff --git a/vpr/src/place/place_delay_model.cpp b/vpr/src/place/place_delay_model.cpp index bfdd15707f4..725ad8dde38 100644 --- a/vpr/src/place/place_delay_model.cpp +++ b/vpr/src/place/place_delay_model.cpp @@ -160,6 +160,13 @@ void OverrideDelayModel::set_base_delay_model(std::unique_ptr b base_delay_model_ = std::move(base_delay_model_obj); } +float SimpleDelayModel::delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const { + int delta_x = std::abs(from_loc.x - to_loc.x); + int delta_y = std::abs(from_loc.y - to_loc.y); + + return delays_[from_loc.layer_num][to_loc.layer_num][delta_x][delta_y]; +} + /** * When writing capnp targetted serialization, always allow compilation when * VTR_ENABLE_CAPNPROTO=OFF. Generally this means throwing an exception instead. diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h index b10eae12204..7af3110c28a 100644 --- a/vpr/src/place/place_delay_model.h +++ b/vpr/src/place/place_delay_model.h @@ -217,3 +217,29 @@ class OverrideDelayModel : public PlaceDelayModel { static_assert(sizeof(t_override::delta_x) == sizeof(short), "Expect all t_override data members to be shorts"); static_assert(sizeof(t_override::delta_y) == sizeof(short), "Expect all t_override data members to be shorts"); }; + +///@brief A simple delay model based on the distance (delta) between block locations. +class SimpleDelayModel : public PlaceDelayModel { + public: + SimpleDelayModel(float min_cross_layer_delay, + bool is_flat) + : cross_layer_delay_(min_cross_layer_delay) + , is_flat_(is_flat) {} + + void compute( + RouterDelayProfiler& router, + const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + int longest_length) override; + float delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const override; + void dump_echo(std::string filepath) const override {} + + void read(const std::string& file) override {} + void write(const std::string& file) const override {} + const vtr::NdMatrix& delays() const {} + + private: + vtr::NdMatrix delays_; // [0..num_layers-1][0..max_dx][0..max_dy] + float cross_layer_delay_; + bool is_flat_; +}; diff --git a/vpr/src/place/timing_place_lookup.cpp b/vpr/src/place/timing_place_lookup.cpp index 543376201be..c909ea19e31 100644 --- a/vpr/src/place/timing_place_lookup.cpp +++ b/vpr/src/place/timing_place_lookup.cpp @@ -143,6 +143,8 @@ static vtr::NdMatrix compute_delta_delay_model( int longest_length, bool is_flat); +static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& route_profiler); + static bool find_direct_connect_sample_locations(const t_direct_inf* direct, t_physical_tile_type_ptr from_type, int from_pin, @@ -250,6 +252,14 @@ void OverrideDelayModel::compute( compute_override_delay_model(route_profiler, router_opts); } +void SimpleDelayModel::compute( + RouterDelayProfiler& router, + const t_placer_opts& /*placer_opts*/, + const t_router_opts& /*router_opts*/, + int /*longest_length*/) { + delays_ = compute_simple_delay_model(router); +} + /******* File Accessible Functions **********/ std::vector get_best_classes(enum e_pin_type pintype, t_physical_tile_type_ptr type) { @@ -1004,6 +1014,26 @@ static vtr::NdMatrix compute_delta_delay_model( return delta_delays; } +static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& route_profiler) { + const auto& grid = g_vpr_ctx.device().grid; + vtr::NdMatrix delta_delays({static_cast(grid.get_num_layers()), + static_cast(grid.get_num_layers()), + grid.width(), + grid.height()}); + for (int from_layer = 0; from_layer < grid.get_num_layers(); ++from_layer) { + for (int to_layer = 0; to_layer < grid.get_num_layers(); ++to_layer) { + for (int dx = 0; dx < grid.width(); ++dx) { + for (int dy = 0; dy < grid.height(); ++dy) { + float min_delay = route_profiler.get_min_delay(from_layer, to_layer, dx, dy); + delta_delays[from_layer][to_layer][dx][dy] = min_delay; + } + } + } + } + + return delta_delays; +} + //Finds a src_rr and sink_rr appropriate for measuring the delay of the current direct specification static bool find_direct_connect_sample_locations(const t_direct_inf* direct, t_physical_tile_type_ptr from_type, From 3ff17fd85c63a6cbe017008be750874ab7718afa Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 18:09:53 -0500 Subject: [PATCH 110/608] vpr: simple_router_lookahead: comment unused parameters --- vpr/src/place/place_delay_model.h | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h index 7af3110c28a..e5974eb5391 100644 --- a/vpr/src/place/place_delay_model.h +++ b/vpr/src/place/place_delay_model.h @@ -232,10 +232,10 @@ class SimpleDelayModel : public PlaceDelayModel { const t_router_opts& router_opts, int longest_length) override; float delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const override; - void dump_echo(std::string filepath) const override {} + void dump_echo(std::string /*filepath*/) const override {} - void read(const std::string& file) override {} - void write(const std::string& file) const override {} + void read(const std::string& /*file*/) override {} + void write(const std::string& /*file*/) const override {} const vtr::NdMatrix& delays() const {} private: From 1f61807b5c56fb97285f0d1d571b784247cb33f3 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 18:15:59 -0500 Subject: [PATCH 111/608] vpr: simple_router_lookahead: remove an unused method - cast lu to int to remove a warning --- vpr/src/place/place_delay_model.h | 1 - vpr/src/place/timing_place_lookup.cpp | 4 ++-- 2 files changed, 2 insertions(+), 3 deletions(-) diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h index e5974eb5391..009e3430922 100644 --- a/vpr/src/place/place_delay_model.h +++ b/vpr/src/place/place_delay_model.h @@ -236,7 +236,6 @@ class SimpleDelayModel : public PlaceDelayModel { void read(const std::string& /*file*/) override {} void write(const std::string& /*file*/) const override {} - const vtr::NdMatrix& delays() const {} private: vtr::NdMatrix delays_; // [0..num_layers-1][0..max_dx][0..max_dy] diff --git a/vpr/src/place/timing_place_lookup.cpp b/vpr/src/place/timing_place_lookup.cpp index c909ea19e31..e1b462c0af0 100644 --- a/vpr/src/place/timing_place_lookup.cpp +++ b/vpr/src/place/timing_place_lookup.cpp @@ -1022,8 +1022,8 @@ static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& r grid.height()}); for (int from_layer = 0; from_layer < grid.get_num_layers(); ++from_layer) { for (int to_layer = 0; to_layer < grid.get_num_layers(); ++to_layer) { - for (int dx = 0; dx < grid.width(); ++dx) { - for (int dy = 0; dy < grid.height(); ++dy) { + for (int dx = 0; dx < static_cast(grid.width()); ++dx) { + for (int dy = 0; dy < static_cast(grid.height()); ++dy) { float min_delay = route_profiler.get_min_delay(from_layer, to_layer, dx, dy); delta_delays[from_layer][to_layer][dx][dy] = min_delay; } From 61df869f188e487564fc13a42ed84fc4182e50a7 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 18:22:39 -0500 Subject: [PATCH 112/608] vpr: command_line_parameter: add simple place delay lookup --- vpr/src/base/read_options.cpp | 9 +++++++-- vpr/src/base/vpr_types.h | 1 + vpr/src/place/timing_place_lookup.cpp | 4 +++- 3 files changed, 11 insertions(+), 3 deletions(-) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index b734b82b850..8317b066288 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -941,7 +941,9 @@ struct ParseRouterLookahead { struct ParsePlaceDelayModel { ConvertedValue from_str(std::string str) { ConvertedValue conv_value; - if (str == "delta") + if (str == "simple") { + conv_value.set_value(PlaceDelayModelType::SIMPLE); + } else if (str == "delta") conv_value.set_value(PlaceDelayModelType::DELTA); else if (str == "delta_override") conv_value.set_value(PlaceDelayModelType::DELTA_OVERRIDE); @@ -956,6 +958,8 @@ struct ParsePlaceDelayModel { ConvertedValue to_str(PlaceDelayModelType val) { ConvertedValue conv_value; if (val == PlaceDelayModelType::DELTA) + conv_value.set_value("simple"); + else if (val == PlaceDelayModelType::DELTA) conv_value.set_value("delta"); else if (val == PlaceDelayModelType::DELTA_OVERRIDE) conv_value.set_value("delta_override"); @@ -968,7 +972,7 @@ struct ParsePlaceDelayModel { } std::vector default_choices() { - return {"delta", "delta_override"}; + return {"simple", "delta", "delta_override"}; } }; @@ -2245,6 +2249,7 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg "This option controls what information is considered and how" " the placement delay model is constructed.\n" "Valid options:\n" + " * 'simple' uses map router lookahead\n" " * 'delta' uses differences in position only\n" " * 'delta_override' uses differences in position with overrides for direct connects\n") .default_value("delta") diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index 438b81086cc..4fe6b80248a 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -1113,6 +1113,7 @@ enum e_place_effort_scaling { }; enum class PlaceDelayModelType { + SIMPLE, DELTA, /// compute_place_delay_model(const t_placer_opts& float min_cross_layer_delay = get_min_cross_layer_delay(arch_switch_inf, segment_inf, det_routing_arch->wire_to_arch_ipin_switch_between_dice); - if (placer_opts.delay_model_type == PlaceDelayModelType::DELTA) { + if (placer_opts.delay_model_type == PlaceDelayModelType::SIMPLE) { + place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); + } else if(placer_opts.delay_model_type == PlaceDelayModelType::DELTA) { place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); } else if (placer_opts.delay_model_type == PlaceDelayModelType::DELTA_OVERRIDE) { place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); From c60b51581beb88df3cc9ce45aa92b8d2eb308e4c Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 18:31:58 -0500 Subject: [PATCH 113/608] vpr: CLI: minor debugging --- vpr/src/base/read_options.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 8317b066288..f471a10ac6d 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -957,7 +957,7 @@ struct ParsePlaceDelayModel { ConvertedValue to_str(PlaceDelayModelType val) { ConvertedValue conv_value; - if (val == PlaceDelayModelType::DELTA) + if (val == PlaceDelayModelType::SIMPLE) conv_value.set_value("simple"); else if (val == PlaceDelayModelType::DELTA) conv_value.set_value("delta"); From a0e66122ab4a99a5ddb9866584cfcf3128e994b6 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 21 Dec 2023 18:32:52 -0500 Subject: [PATCH 114/608] vpr: router_delay_profiling: minor debugging --- vpr/src/route/router_delay_profiling.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/router_delay_profiling.cpp b/vpr/src/route/router_delay_profiling.cpp index cbdc6092338..e716e9669ba 100644 --- a/vpr/src/route/router_delay_profiling.cpp +++ b/vpr/src/route/router_delay_profiling.cpp @@ -29,8 +29,8 @@ RouterDelayProfiler::RouterDelayProfiler(const Netlist<>& net_list, grid.height()}); for (int from_layer = 0; from_layer < grid.get_num_layers(); ++from_layer) { for (int to_layer = 0; to_layer < grid.get_num_layers(); ++to_layer) { - for (int dx = 0; dx < grid.width(); ++dx) { - for (int dy = 0; dy < grid.height(); ++dy) { + for (int dx = 0; dx < static_cast(grid.width()); ++dx) { + for (int dy = 0; dy < static_cast(grid.height()); ++dy) { float min_delay = lookahead->get_distance_min_delay(from_layer, to_layer, dx, dy); min_delays_[from_layer][to_layer][dx][dy] = min_delay; } From a7bab26acf1bebf759d69959ec3f1c276929bbe2 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 22 Dec 2023 11:37:22 -0500 Subject: [PATCH 115/608] vpr: map_router_lookahead: add opin_distance_based_min_cost --- vpr/src/route/router_lookahead_map.cpp | 62 +++++++++++++++++++++----- vpr/src/route/router_lookahead_map.h | 3 +- 2 files changed, 53 insertions(+), 12 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index f68b157da08..cc4fc943086 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -255,7 +255,9 @@ static void store_min_cost_to_sinks(std::unordered_map cost */ -static void min_global_cost_map(vtr::NdMatrix& distance_min_cost); +static void min_chann_global_cost_map(vtr::NdMatrix& distance_min_cost); + +static void min_opin_distance_cost_map(const util::t_src_opin_delays& src_opin_delays, vtr::NdMatrix& distance_min_cost); /** * @brief Iterate over all of the wire segments accessible from the SOURCE/OPIN (stored in src_opin_delay_map) and return the minimum cost (congestion and delay) across them to the sink @@ -377,14 +379,14 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod } } else { // Since we don't know which type of wires are accessible from an OPIN inside the cluster, we use - // distance_based_min_cost to get an estimation of the global cost, and then, add this cost to the tile_min_cost + // chann_distance_based_min_cost to get an estimation of the global cost, and then, add this cost to the tile_min_cost // to have an estimation of the cost of getting into a cluster - We don't have any estimation of the cost to get out of the cluster int delta_x, delta_y; get_xy_deltas(current_node, target_node, &delta_x, &delta_y); delta_x = abs(delta_x); delta_y = abs(delta_y); - delay_cost = params.criticality * distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].delay; - cong_cost = (1. - params.criticality) * distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].congestion; + delay_cost = params.criticality * chann_distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].delay; + cong_cost = (1. - params.criticality) * chann_distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].congestion; delay_offset_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; cong_offset_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; @@ -415,8 +417,8 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod get_xy_deltas(current_node, target_node, &delta_x, &delta_y); delta_x = abs(delta_x); delta_y = abs(delta_y); - delay_cost = params.criticality * distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].delay; - cong_cost = (1. - params.criticality) * distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].congestion; + delay_cost = params.criticality * chann_distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].delay; + cong_cost = (1. - params.criticality) * chann_distance_based_min_cost[rr_graph.node_layer(current_node)][to_layer_num][delta_x][delta_y].congestion; delay_offset_cost = params.criticality * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).delay; cong_offset_cost = (1. - params.criticality) * tile_min_cost.at(to_physical_type->index).at(to_node_ptc_num).congestion; @@ -540,7 +542,8 @@ void MapLookahead::compute(const std::vector& segment_inf) { //from the different physical tile type's SOURCEs & OPINs this->src_opin_delays = util::compute_router_src_opin_lookahead(is_flat_); - min_global_cost_map(distance_based_min_cost); + min_chann_global_cost_map(chann_distance_based_min_cost); + min_opin_distance_cost_map(src_opin_delays, opin_distance_based_min_cost); } void MapLookahead::compute_intra_tile() { @@ -581,8 +584,8 @@ void MapLookahead::read_intra_cluster(const std::string& file) { inter_tile_pin_primitive_pin_delay); } - // The information about distance_based_min_cost is not stored in the file, thus it needs to be computed - min_global_cost_map(distance_based_min_cost); + // The information about chann_distance_based_min_cost is not stored in the file, thus it needs to be computed + min_chann_global_cost_map(chann_distance_based_min_cost); } void MapLookahead::write(const std::string& file) const { @@ -595,7 +598,7 @@ void MapLookahead::write_intra_cluster(const std::string& file) const { } float MapLookahead::get_distance_min_delay(int from_layer, int to_layer, int dx, int dy) const { - return distance_based_min_cost[from_layer][to_layer][dx][dy].delay; + return chann_distance_based_min_cost[from_layer][to_layer][dx][dy].delay; } /******** Function Definitions ********/ @@ -1463,7 +1466,7 @@ static void store_min_cost_to_sinks(std::unordered_map& distance_min_cost) { +static void min_chann_global_cost_map(vtr::NdMatrix& distance_min_cost) { int num_layers = g_vpr_ctx.device().grid.get_num_layers(); int width = (int)g_vpr_ctx.device().grid.width(); int height = (int)g_vpr_ctx.device().grid.height(); @@ -1494,6 +1497,43 @@ static void min_global_cost_map(vtr::NdMatrix& distance_min } } +static void min_opin_distance_cost_map(const util::t_src_opin_delays& src_opin_delays, vtr::NdMatrix& distance_min_cost) { + int num_tile_types = g_vpr_ctx.device().physical_tile_types.size(); + int num_layers = g_vpr_ctx.device().grid.get_num_layers(); + int width = (int)g_vpr_ctx.device().grid.width(); + int height = (int)g_vpr_ctx.device().grid.height(); + distance_min_cost.resize({static_cast(num_tile_types), + static_cast(num_layers), + static_cast(num_layers), + static_cast(width), + static_cast(height)}); + + for (int tile_type_idx = 0; tile_type_idx < num_tile_types; tile_type_idx++) { + for (int from_layer_num = 0; from_layer_num < num_layers; from_layer_num++) { + for (int to_layer_num = 0; to_layer_num < num_layers; to_layer_num++) { + for (int dx = 0; dx < width; dx++) { + for (int dy = 0; dy < height; dy++) { + float expected_delay_cost = std::numeric_limits::infinity(); + float expected_cong_cost = std::numeric_limits::infinity(); + util::Cost_Entry min_cost(std::numeric_limits::infinity(), std::numeric_limits::infinity()); + for (const auto& tile_opin_map : src_opin_delays[from_layer_num][tile_type_idx]) { + std::tie(expected_delay_cost, expected_cong_cost) = get_cost_from_src_opin(tile_opin_map, + dx, + dy, + to_layer_num); + if (expected_delay_cost < min_cost.delay) { + min_cost.delay = expected_delay_cost; + min_cost.congestion = expected_cong_cost; + } + } + distance_min_cost[tile_type_idx][from_layer_num][to_layer_num][dx][dy] = min_cost; + } + } + } + } + } +} + static std::pair get_cost_from_src_opin(const std::vector>& src_opin_delay_map, int delta_x, int delta_y, diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index 6fc694da8b2..13f563f8453 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -18,7 +18,8 @@ class MapLookahead : public RouterLookahead { // Lookup table to store the minimum cost to reach to a primitive pin from the root-level IPINs std::unordered_map> tile_min_cost; // [physical_tile_type][sink_physical_num] -> cost // Lookup table to store the minimum cost for each dx and dy - vtr::NdMatrix distance_based_min_cost; // [from_layer_num][to_layer_num][dx][dy] -> cost + vtr::NdMatrix chann_distance_based_min_cost; // [from_layer_num][to_layer_num][dx][dy] -> cost + vtr::NdMatrix opin_distance_based_min_cost; // [from_layer_num][to_layer_num][dx][dy] -> cost const t_det_routing_arch& det_routing_arch_; bool is_flat_; From e2b33818723ab1c0cb55e10f403eecc6518cc8d2 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 22 Dec 2023 11:44:28 -0500 Subject: [PATCH 116/608] vpr: router_lookahead: add min_opin_distance_cost_map to router lookahead --- vpr/src/route/router_lookahead.h | 6 +++--- vpr/src/route/router_lookahead_extended_map.h | 2 +- vpr/src/route/router_lookahead_map.cpp | 4 ++-- vpr/src/route/router_lookahead_map.h | 2 +- 4 files changed, 7 insertions(+), 7 deletions(-) diff --git a/vpr/src/route/router_lookahead.h b/vpr/src/route/router_lookahead.h index f5ac8910de2..e6d49d33b8d 100644 --- a/vpr/src/route/router_lookahead.h +++ b/vpr/src/route/router_lookahead.h @@ -36,7 +36,7 @@ class RouterLookahead { // May be unimplemented, in which case method should throw an exception. virtual void write_intra_cluster(const std::string& file) const = 0; - virtual float get_distance_min_delay(int from_layer, int to_layer, int dx, int dy) const = 0; + virtual float get_opin_distance_min_delay(int physical_tile_idx, int from_layer, int to_layer, int dx, int dy) const = 0; virtual ~RouterLookahead() {} }; @@ -94,7 +94,7 @@ class ClassicLookahead : public RouterLookahead { VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::write_intra_cluster unimplemented"); } - float get_distance_min_delay(int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { + float get_opin_distance_min_delay(int /*physical_tile_idx*/, int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::get_distance_min_delay unimplemented"); return -1.; } @@ -131,7 +131,7 @@ class NoOpLookahead : public RouterLookahead { VPR_THROW(VPR_ERROR_ROUTE, "write_intra_cluster not supported for NoOpLookahead"); } - float get_distance_min_delay(int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { + float get_opin_distance_min_delay(int /*physical_tile_idx*/, int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::get_distance_min_delay unimplemented"); return -1.; } diff --git a/vpr/src/route/router_lookahead_extended_map.h b/vpr/src/route/router_lookahead_extended_map.h index e61b755a50e..9bf566fca5a 100644 --- a/vpr/src/route/router_lookahead_extended_map.h +++ b/vpr/src/route/router_lookahead_extended_map.h @@ -104,7 +104,7 @@ class ExtendedMapLookahead : public RouterLookahead { VPR_THROW(VPR_ERROR_ROUTE, "ExtendedMapLookahead::write_intra_cluster unimplemented"); } - float get_distance_min_delay(int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { + float get_opin_distance_min_delay(int /*physical_tile_idx*/, int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::get_distance_min_delay unimplemented"); return -1.; } diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index cc4fc943086..66dc742bde2 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -597,8 +597,8 @@ void MapLookahead::write_intra_cluster(const std::string& file) const { inter_tile_pin_primitive_pin_delay); } -float MapLookahead::get_distance_min_delay(int from_layer, int to_layer, int dx, int dy) const { - return chann_distance_based_min_cost[from_layer][to_layer][dx][dy].delay; +float MapLookahead::get_opin_distance_min_delay(int physical_tile_idx, int from_layer, int to_layer, int dx, int dy) const { + return opin_distance_based_min_cost[physical_tile_idx][from_layer][to_layer][dx][dy].delay; } /******** Function Definitions ********/ diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index 13f563f8453..0948f0ffddb 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -34,7 +34,7 @@ class MapLookahead : public RouterLookahead { void read_intra_cluster(const std::string& file) override; void write(const std::string& file) const override; void write_intra_cluster(const std::string& file) const override; - float get_distance_min_delay(int from_layer, int to_layer, int dx, int dy) const override; + float get_opin_distance_min_delay(int physical_tile_idx, int from_layer, int to_layer, int dx, int dy) const override; }; /* f_cost_map is an array of these cost entries that specifies delay/congestion estimates From 24d5ad947729f17f0e30e9d22b1b48ece8abafd1 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 22 Dec 2023 11:56:45 -0500 Subject: [PATCH 117/608] vpr: place_delay_model: use get_opin_min_delay to return a delay between two locations --- vpr/src/place/place_delay_model.cpp | 3 ++- vpr/src/place/place_delay_model.h | 2 +- vpr/src/place/timing_place_lookup.cpp | 27 ++++++++++++++++-------- vpr/src/route/router_delay_profiling.cpp | 21 ++++++++++-------- vpr/src/route/router_delay_profiling.h | 4 ++-- 5 files changed, 35 insertions(+), 22 deletions(-) diff --git a/vpr/src/place/place_delay_model.cpp b/vpr/src/place/place_delay_model.cpp index 725ad8dde38..8c3a1f1aeed 100644 --- a/vpr/src/place/place_delay_model.cpp +++ b/vpr/src/place/place_delay_model.cpp @@ -164,7 +164,8 @@ float SimpleDelayModel::delay(const t_physical_tile_loc& from_loc, int /*from_pi int delta_x = std::abs(from_loc.x - to_loc.x); int delta_y = std::abs(from_loc.y - to_loc.y); - return delays_[from_loc.layer_num][to_loc.layer_num][delta_x][delta_y]; + int from_tile_idx = g_vpr_ctx.device().grid.get_physical_type(from_loc)->index; + return delays_[from_tile_idx][from_loc.layer_num][to_loc.layer_num][delta_x][delta_y]; } /** diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h index 009e3430922..7e745fdc190 100644 --- a/vpr/src/place/place_delay_model.h +++ b/vpr/src/place/place_delay_model.h @@ -238,7 +238,7 @@ class SimpleDelayModel : public PlaceDelayModel { void write(const std::string& /*file*/) const override {} private: - vtr::NdMatrix delays_; // [0..num_layers-1][0..max_dx][0..max_dy] + vtr::NdMatrix delays_; // [0..num_layers-1][0..max_dx][0..max_dy] float cross_layer_delay_; bool is_flat_; }; diff --git a/vpr/src/place/timing_place_lookup.cpp b/vpr/src/place/timing_place_lookup.cpp index 87c472c5ae4..7c325bc0895 100644 --- a/vpr/src/place/timing_place_lookup.cpp +++ b/vpr/src/place/timing_place_lookup.cpp @@ -143,7 +143,7 @@ static vtr::NdMatrix compute_delta_delay_model( int longest_length, bool is_flat); -static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& route_profiler); +static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& route_profiler); static bool find_direct_connect_sample_locations(const t_direct_inf* direct, t_physical_tile_type_ptr from_type, @@ -1016,18 +1016,27 @@ static vtr::NdMatrix compute_delta_delay_model( return delta_delays; } -static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& route_profiler) { +static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& route_profiler) { const auto& grid = g_vpr_ctx.device().grid; - vtr::NdMatrix delta_delays({static_cast(grid.get_num_layers()), + int num_physical_tile_types = static_cast(g_vpr_ctx.device().physical_tile_types.size()); + vtr::NdMatrix delta_delays({static_cast(num_physical_tile_types), + static_cast(grid.get_num_layers()), static_cast(grid.get_num_layers()), grid.width(), grid.height()}); - for (int from_layer = 0; from_layer < grid.get_num_layers(); ++from_layer) { - for (int to_layer = 0; to_layer < grid.get_num_layers(); ++to_layer) { - for (int dx = 0; dx < static_cast(grid.width()); ++dx) { - for (int dy = 0; dy < static_cast(grid.height()); ++dy) { - float min_delay = route_profiler.get_min_delay(from_layer, to_layer, dx, dy); - delta_delays[from_layer][to_layer][dx][dy] = min_delay; + + for (int physical_tile_type_idx = 0; physical_tile_type_idx < num_physical_tile_types; ++physical_tile_type_idx) { + for (int from_layer = 0; from_layer < grid.get_num_layers(); ++from_layer) { + for (int to_layer = 0; to_layer < grid.get_num_layers(); ++to_layer) { + for (int dx = 0; dx < static_cast(grid.width()); ++dx) { + for (int dy = 0; dy < static_cast(grid.height()); ++dy) { + float min_delay = route_profiler.get_min_delay(physical_tile_type_idx, + from_layer, + to_layer, + dx, + dy); + delta_delays[physical_tile_type_idx][from_layer][to_layer][dx][dy] = min_delay; + } } } } diff --git a/vpr/src/route/router_delay_profiling.cpp b/vpr/src/route/router_delay_profiling.cpp index e716e9669ba..f64f3559eb3 100644 --- a/vpr/src/route/router_delay_profiling.cpp +++ b/vpr/src/route/router_delay_profiling.cpp @@ -23,16 +23,19 @@ RouterDelayProfiler::RouterDelayProfiler(const Netlist<>& net_list, is_flat) , is_flat_(is_flat) { const auto& grid = g_vpr_ctx.device().grid; - min_delays_.resize({static_cast(grid.get_num_layers()), + min_delays_.resize({g_vpr_ctx.device().physical_tile_types.size(), + static_cast(grid.get_num_layers()), static_cast(grid.get_num_layers()), grid.width(), grid.height()}); - for (int from_layer = 0; from_layer < grid.get_num_layers(); ++from_layer) { - for (int to_layer = 0; to_layer < grid.get_num_layers(); ++to_layer) { - for (int dx = 0; dx < static_cast(grid.width()); ++dx) { - for (int dy = 0; dy < static_cast(grid.height()); ++dy) { - float min_delay = lookahead->get_distance_min_delay(from_layer, to_layer, dx, dy); - min_delays_[from_layer][to_layer][dx][dy] = min_delay; + for (int physical_tile_type_idx = 0; physical_tile_type_idx < static_cast(g_vpr_ctx.device().physical_tile_types.size()); ++physical_tile_type_idx) { + for (int from_layer = 0; from_layer < grid.get_num_layers(); ++from_layer) { + for (int to_layer = 0; to_layer < grid.get_num_layers(); ++to_layer) { + for (int dx = 0; dx < static_cast(grid.width()); ++dx) { + for (int dy = 0; dy < static_cast(grid.height()); ++dy) { + float min_delay = lookahead->get_opin_distance_min_delay(physical_tile_type_idx, from_layer, to_layer, dx, dy); + min_delays_[physical_tile_type_idx][from_layer][to_layer][dx][dy] = min_delay; + } } } } @@ -129,8 +132,8 @@ bool RouterDelayProfiler::calculate_delay(RRNodeId source_node, return found_path; } -float RouterDelayProfiler::get_min_delay(int from_layer, int to_layer, int dx, int dy) const { - return min_delays_[from_layer][to_layer][dx][dy]; +float RouterDelayProfiler::get_min_delay(int physical_tile_type_idx, int from_layer, int to_layer, int dx, int dy) const { + return min_delays_[physical_tile_type_idx][from_layer][to_layer][dx][dy]; } //Returns the shortest path delay from src_node to all RR nodes in the RR graph, or NaN if no path exists diff --git a/vpr/src/route/router_delay_profiling.h b/vpr/src/route/router_delay_profiling.h index e78555fbbdb..92d28a76f28 100644 --- a/vpr/src/route/router_delay_profiling.h +++ b/vpr/src/route/router_delay_profiling.h @@ -31,13 +31,13 @@ class RouterDelayProfiler { float* net_delay, int layer_num); - float get_min_delay(int from_layer, int to_layer, int dx, int dy) const; + float get_min_delay(int physical_tile_type_idx, int from_layer, int to_layer, int dx, int dy) const; private: const Netlist<>& net_list_; RouterStats router_stats_; ConnectionRouter router_; - vtr::NdMatrix min_delays_; + vtr::NdMatrix min_delays_; bool is_flat_; }; From 84c0c83977df42d12cad262273fbcd160dbbb7e5 Mon Sep 17 00:00:00 2001 From: soheil Date: Sat, 30 Dec 2023 04:25:26 -0500 Subject: [PATCH 118/608] Added per-circuit traffic flow option to task file syntax --- vtr_flow/scripts/python_libs/vtr/task.py | 103 ++++++++++++----------- 1 file changed, 55 insertions(+), 48 deletions(-) diff --git a/vtr_flow/scripts/python_libs/vtr/task.py b/vtr_flow/scripts/python_libs/vtr/task.py index 0cfb6f3ebbe..1e547a6f456 100644 --- a/vtr_flow/scripts/python_libs/vtr/task.py +++ b/vtr_flow/scripts/python_libs/vtr/task.py @@ -46,7 +46,8 @@ def __init__( script_params_list_add=None, pass_requirements_file=None, sdc_dir=None, - noc_traffic_list_add=None, + noc_traffic_list_type="outer_product", + noc_traffic_list_add=[None], noc_traffics_dir=None, place_constr_dir=None, qor_parse_file=None, @@ -71,6 +72,7 @@ def __init__( self.script_params_list_add = script_params_list_add self.pass_requirements_file = pass_requirements_file self.sdc_dir = sdc_dir + self.noc_traffic_list_type = noc_traffic_list_type self.noc_traffics = noc_traffic_list_add self.noc_traffic_dir = noc_traffics_dir self.place_constr_dir = place_constr_dir @@ -203,6 +205,7 @@ def load_task_config(config_file) -> TaskConfig: "script_params_common", "pass_requirements_file", "sdc_dir", + "noc_traffic_list_type", "noc_traffics_dir", "place_constr_dir", "qor_parse_file", @@ -473,56 +476,41 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: """ jobs = [] for config in configs: - for arch, circuit in itertools.product(config.archs, config.circuits): - noc_traffic = [] - if config.noc_traffics: - noc_traffics = config.noc_traffics - else: - noc_traffics = [None] - for noc_traffic in noc_traffics: - golden_results = load_parse_results( - str(PurePath(config.config_dir).joinpath("golden_results.txt")) + if config.noc_traffic_list_type == "outer_product": + combinations = list(itertools.product(config.circuits, config.noc_traffics)) + elif config.noc_traffic_list_type == "per_circuit": + assert len(config.circuits) == len(config.noc_traffics) + combinations = [(circuit, noc_traffic) for circuit, noc_traffic in zip(config.circuits, config.noc_traffics)] + else: + assert False, "Invalid noc_traffic_list_type" + + combinations = [(arch, circuit, noc_traffic) for arch in config.archs for circuit, noc_traffic in combinations] + + for arch, circuit, noc_traffic in combinations: + golden_results = load_parse_results( + str(PurePath(config.config_dir).joinpath("golden_results.txt")) + ) + abs_arch_filepath = resolve_vtr_source_file(config, arch, config.arch_dir) + abs_circuit_filepath = resolve_vtr_source_file(config, circuit, config.circuit_dir) + work_dir = get_work_dir_addr(arch, circuit, noc_traffic) + + run_dir = ( + str( + Path(get_latest_run_dir(find_task_dir(config, args.alt_tasks_dir))) + / work_dir ) - abs_arch_filepath = resolve_vtr_source_file(config, arch, config.arch_dir) - abs_circuit_filepath = resolve_vtr_source_file(config, circuit, config.circuit_dir) - work_dir = get_work_dir_addr(arch, circuit, noc_traffic) - - run_dir = ( - str( - Path(get_latest_run_dir(find_task_dir(config, args.alt_tasks_dir))) - / work_dir - ) - if after_run - else str( - Path(get_next_run_dir(find_task_dir(config, args.alt_tasks_dir))) / work_dir - ) + if after_run + else str( + Path(get_next_run_dir(find_task_dir(config, args.alt_tasks_dir))) / work_dir ) + ) - includes, parse_cmd, second_parse_cmd, qor_parse_command, cmd = create_cmd( - abs_circuit_filepath, abs_arch_filepath, config, args, circuit, noc_traffic - ) + includes, parse_cmd, second_parse_cmd, qor_parse_command, cmd = create_cmd( + abs_circuit_filepath, abs_arch_filepath, config, args, circuit, noc_traffic + ) - if config.script_params_list_add: - for value in config.script_params_list_add: - jobs.append( - create_job( - args, - config, - circuit, - includes, - arch, - noc_traffic, - value, - cmd, - parse_cmd, - second_parse_cmd, - qor_parse_command, - work_dir, - run_dir, - golden_results, - ) - ) - else: + if config.script_params_list_add: + for value in config.script_params_list_add: jobs.append( create_job( args, @@ -531,7 +519,7 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: includes, arch, noc_traffic, - None, + value, cmd, parse_cmd, second_parse_cmd, @@ -541,6 +529,25 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: golden_results, ) ) + else: + jobs.append( + create_job( + args, + config, + circuit, + includes, + arch, + noc_traffic, + None, + cmd, + parse_cmd, + second_parse_cmd, + qor_parse_command, + work_dir, + run_dir, + golden_results, + ) + ) return jobs From 436c56b7c6be1b53a9d9eaae1e2a015aaca57ad4 Mon Sep 17 00:00:00 2001 From: soheil Date: Sat, 30 Dec 2023 04:47:36 -0500 Subject: [PATCH 119/608] Add symlinks for synthetic benchmarks --- .../Synthetic_Designs/blif_files/complex_16_noc_1D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/complex_2_noc_1D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/complex_32_noc_clique.blif | 1 + .../noc/Synthetic_Designs/blif_files/complex_32_noc_star.blif | 1 + .../noc/Synthetic_Designs/blif_files/complex_4_noc_1D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/complex_64_noc_clique.blif | 1 + .../blif_files/complex_64_noc_nearest_neighbor.blif | 1 + .../noc/Synthetic_Designs/blif_files/complex_64_noc_star.blif | 1 + .../noc/Synthetic_Designs/blif_files/complex_8_noc_1D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/simple_16_noc_1D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/simple_2_noc_1D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/simple_32_noc_clique.blif | 1 + .../noc/Synthetic_Designs/blif_files/simple_32_noc_star.blif | 1 + .../noc/Synthetic_Designs/blif_files/simple_4_noc_1D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/simple_64_noc_2D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/simple_64_noc_clique.blif | 1 + .../noc/Synthetic_Designs/blif_files/simple_64_noc_star.blif | 1 + .../noc/Synthetic_Designs/blif_files/simple_8_noc_1D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/spread_2_noc_1D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/spread_32_noc_clique.blif | 1 + .../noc/Synthetic_Designs/blif_files/spread_32_noc_star.blif | 1 + .../noc/Synthetic_Designs/blif_files/spread_64_noc_2D_chain.blif | 1 + .../noc/Synthetic_Designs/blif_files/spread_64_noc_clique.blif | 1 + .../noc/Synthetic_Designs/blif_files/spread_64_noc_star.blif | 1 + .../traffic_flow_files/complex_16_noc_1D_chain.flows | 1 + .../traffic_flow_files/complex_2_noc_1D_chain.flows | 1 + .../traffic_flow_files/complex_32_noc_clique.flows | 1 + .../traffic_flow_files/complex_32_noc_star.flows | 1 + .../traffic_flow_files/complex_32_noc_star_no_constraints.flows | 1 + .../complex_32_star_12_latency_constraints.flows | 1 + .../complex_32_star_24_latency_constraints.flows | 1 + .../traffic_flow_files/complex_32_star_2_bandwdiths.flows | 1 + .../complex_32_star_31_latency_constraints.flows | 1 + .../traffic_flow_files/complex_32_star_3_bandwdiths.flows | 1 + .../traffic_flow_files/complex_32_star_4_bandwdiths.flows | 1 + .../complex_32_star_4_latency_constraints.flows | 1 + .../traffic_flow_files/complex_4_noc_1D_chain.flows | 1 + .../traffic_flow_files/complex_64_noc_clique.flows | 1 + .../traffic_flow_files/complex_64_noc_nearest_neighbor.flows | 1 + .../traffic_flow_files/complex_64_noc_star.flows | 1 + .../complex_64_noc_star_12_latency_constraints.flows | 1 + .../complex_64_noc_star_24_latency_constraints.flows | 1 + .../traffic_flow_files/complex_64_noc_star_2_bandwidths.flows | 1 + .../traffic_flow_files/complex_64_noc_star_3_bandwidths.flows | 1 + .../complex_64_noc_star_40_latency_constraints.flows | 1 + .../traffic_flow_files/complex_64_noc_star_4_bandwidths.flows | 1 + .../complex_64_noc_star_4_latency_constraints.flows | 1 + .../complex_64_noc_star_58_latency_constraints.flows | 1 + .../traffic_flow_files/complex_64_noc_star_5_bandwidths.flows | 1 + .../complex_64_noc_star_63_latency_constraints.flows | 1 + .../traffic_flow_files/complex_64_noc_star_6_bandwidths.flows | 1 + .../traffic_flow_files/complex_64_noc_star_no_constraints.flows | 1 + .../traffic_flow_files/complex_8_noc_1D_chain.flows | 1 + .../traffic_flow_files/simple_16_noc_1D_chain.flows | 1 + .../traffic_flow_files/simple_2_noc_1D_chain.flows | 1 + .../traffic_flow_files/simple_32_noc_clique.flows | 1 + .../traffic_flow_files/simple_32_noc_star.flows | 1 + .../traffic_flow_files/simple_32_noc_star_no_constraints.flows | 1 + .../simple_32_star_12_latency_constraints.flows | 1 + .../simple_32_star_24_latency_constraints.flows | 1 + .../traffic_flow_files/simple_32_star_2_bandwidths.flows | 1 + .../simple_32_star_31_latency_constraints.flows | 1 + .../traffic_flow_files/simple_32_star_3_bandwidths.flows | 1 + .../traffic_flow_files/simple_32_star_4_bandwidths.flows | 1 + .../simple_32_star_4_latency_constraints.flows | 1 + .../traffic_flow_files/simple_4_noc_1D_chain.flows | 1 + .../traffic_flow_files/simple_64_noc_2D_chain.flows | 1 + .../traffic_flow_files/simple_64_noc_clique.flows | 1 + .../traffic_flow_files/simple_64_noc_star.flows | 1 + .../simple_64_noc_star_12_latency_constraints.flows | 1 + .../simple_64_noc_star_24_latency_constraints.flows | 1 + .../traffic_flow_files/simple_64_noc_star_2_bandwidths.flows | 1 + .../traffic_flow_files/simple_64_noc_star_3_bandwidths.flows | 1 + .../simple_64_noc_star_40_latency_constraints.flows | 1 + .../traffic_flow_files/simple_64_noc_star_4_bandwidths.flows | 1 + .../simple_64_noc_star_4_latency_constraints.flows | 1 + .../simple_64_noc_star_58_latency_constraints.flows | 1 + .../traffic_flow_files/simple_64_noc_star_5_bandwidths.flows | 1 + .../simple_64_noc_star_63_latency_constraints.flows | 1 + .../traffic_flow_files/simple_64_noc_star_6_bandwidths.flows | 1 + .../traffic_flow_files/simple_64_noc_star_no_constraints.flows | 1 + .../traffic_flow_files/simple_8_noc_1D_chain.flows | 1 + .../traffic_flow_files/spread_2_noc_1D_chain.flows | 1 + .../traffic_flow_files/spread_32_noc_clique.flows | 1 + .../traffic_flow_files/spread_32_noc_star.flows | 1 + .../traffic_flow_files/spread_64_noc_2D_chain.flows | 1 + .../traffic_flow_files/spread_64_noc_clique.flows | 1 + .../traffic_flow_files/spread_64_noc_star.flows | 1 + 88 files changed, 88 insertions(+) create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_16_noc_1D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_2_noc_1D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_32_noc_clique.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_32_noc_star.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_4_noc_1D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_clique.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_nearest_neighbor.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_star.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_8_noc_1D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_16_noc_1D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_2_noc_1D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_32_noc_clique.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_32_noc_star.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_4_noc_1D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_2D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_clique.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_star.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_8_noc_1D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_2_noc_1D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_32_noc_clique.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_32_noc_star.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_2D_chain.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_clique.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_star.blif create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_16_noc_1D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_2_noc_1D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_clique.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_star.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_star_no_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_12_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_24_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_2_bandwdiths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_31_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_3_bandwdiths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_4_bandwdiths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_4_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_4_noc_1D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_clique.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_nearest_neighbor.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_12_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_24_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_2_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_3_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_40_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_4_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_4_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_58_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_5_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_63_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_6_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_no_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_8_noc_1D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_16_noc_1D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_2_noc_1D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_clique.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_star.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_star_no_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_12_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_24_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_2_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_31_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_3_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_4_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_4_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_4_noc_1D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_2D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_clique.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_12_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_24_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_2_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_3_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_40_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_4_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_4_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_58_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_5_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_63_latency_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_6_bandwidths.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_no_constraints.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_8_noc_1D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_2_noc_1D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_32_noc_clique.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_32_noc_star.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_2D_chain.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_clique.flows create mode 120000 vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_star.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_16_noc_1D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_16_noc_1D_chain.blif new file mode 120000 index 00000000000..bb1fb38847d --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_16_noc_1D_chain.blif @@ -0,0 +1 @@ +.././complex_16_noc_1D_chain/complex_16_noc_1D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_2_noc_1D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_2_noc_1D_chain.blif new file mode 120000 index 00000000000..19c37074a2a --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_2_noc_1D_chain.blif @@ -0,0 +1 @@ +.././complex_2_noc_1D_chain/complex_2_noc_1D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_32_noc_clique.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_32_noc_clique.blif new file mode 120000 index 00000000000..dee250dc2d4 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_32_noc_clique.blif @@ -0,0 +1 @@ +.././complex_32_noc_clique/complex_32_noc_clique.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_32_noc_star.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_32_noc_star.blif new file mode 120000 index 00000000000..a5383129514 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_32_noc_star.blif @@ -0,0 +1 @@ +.././complex_32_noc_star/complex_32_noc_star.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_4_noc_1D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_4_noc_1D_chain.blif new file mode 120000 index 00000000000..fc90e0e18e8 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_4_noc_1D_chain.blif @@ -0,0 +1 @@ +.././complex_4_noc_1D_chain/complex_4_noc_1D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_clique.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_clique.blif new file mode 120000 index 00000000000..512f415a386 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_clique.blif @@ -0,0 +1 @@ +.././complex_64_noc_clique/complex_64_noc_clique.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_nearest_neighbor.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_nearest_neighbor.blif new file mode 120000 index 00000000000..877fad605fc --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_nearest_neighbor.blif @@ -0,0 +1 @@ +.././complex_64_noc_nearest_neighbor/complex_64_noc_nearest_neighbor.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_star.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_star.blif new file mode 120000 index 00000000000..b3aac6ff710 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_64_noc_star.blif @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_8_noc_1D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_8_noc_1D_chain.blif new file mode 120000 index 00000000000..c4f49b01108 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/complex_8_noc_1D_chain.blif @@ -0,0 +1 @@ +.././complex_8_noc_1D_chain/complex_8_noc_1D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_16_noc_1D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_16_noc_1D_chain.blif new file mode 120000 index 00000000000..061abd91a9c --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_16_noc_1D_chain.blif @@ -0,0 +1 @@ +.././simple_16_noc_1D_chain/simple_16_noc_1D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_2_noc_1D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_2_noc_1D_chain.blif new file mode 120000 index 00000000000..0f978978070 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_2_noc_1D_chain.blif @@ -0,0 +1 @@ +.././simple_2_noc_1D_chain/simple_2_noc_1D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_32_noc_clique.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_32_noc_clique.blif new file mode 120000 index 00000000000..30dc14711cf --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_32_noc_clique.blif @@ -0,0 +1 @@ +.././simple_32_noc_clique/simple_32_noc_clique.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_32_noc_star.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_32_noc_star.blif new file mode 120000 index 00000000000..0097bf0dc36 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_32_noc_star.blif @@ -0,0 +1 @@ +.././simple_32_noc_star/simple_32_noc_star.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_4_noc_1D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_4_noc_1D_chain.blif new file mode 120000 index 00000000000..86bece83bed --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_4_noc_1D_chain.blif @@ -0,0 +1 @@ +.././simple_4_noc_1D_chain/simple_4_noc_1D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_2D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_2D_chain.blif new file mode 120000 index 00000000000..112228f88f2 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_2D_chain.blif @@ -0,0 +1 @@ +.././simple_64_noc_2D_chain/simple_64_noc_2D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_clique.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_clique.blif new file mode 120000 index 00000000000..a372660e7a9 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_clique.blif @@ -0,0 +1 @@ +.././simple_64_noc_clique/simple_64_noc_clique.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_star.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_star.blif new file mode 120000 index 00000000000..bfefc59ee62 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_64_noc_star.blif @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_8_noc_1D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_8_noc_1D_chain.blif new file mode 120000 index 00000000000..0a25cb05988 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/simple_8_noc_1D_chain.blif @@ -0,0 +1 @@ +.././simple_8_noc_1D_chain/simple_8_noc_1D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_2_noc_1D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_2_noc_1D_chain.blif new file mode 120000 index 00000000000..a179d14b003 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_2_noc_1D_chain.blif @@ -0,0 +1 @@ +.././spread_2_noc_1D_chain/spread_2_noc_1D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_32_noc_clique.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_32_noc_clique.blif new file mode 120000 index 00000000000..2d955e7db37 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_32_noc_clique.blif @@ -0,0 +1 @@ +.././spread_32_noc_clique/spread_32_noc_clique.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_32_noc_star.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_32_noc_star.blif new file mode 120000 index 00000000000..0ebcafce8cc --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_32_noc_star.blif @@ -0,0 +1 @@ +.././spread_32_noc_star/spread_32_noc_star.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_2D_chain.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_2D_chain.blif new file mode 120000 index 00000000000..d7545a24b53 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_2D_chain.blif @@ -0,0 +1 @@ +.././spread_64_noc_2D_chain/spread_64_noc_2D_chain.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_clique.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_clique.blif new file mode 120000 index 00000000000..ceb49b263b7 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_clique.blif @@ -0,0 +1 @@ +.././spread_64_noc_clique/spread_64_noc_clique.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_star.blif b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_star.blif new file mode 120000 index 00000000000..0c19f7fa33b --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/blif_files/spread_64_noc_star.blif @@ -0,0 +1 @@ +.././spread_64_noc_star/spread_64_noc_star.blif \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_16_noc_1D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_16_noc_1D_chain.flows new file mode 120000 index 00000000000..e35020df1de --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_16_noc_1D_chain.flows @@ -0,0 +1 @@ +.././complex_16_noc_1D_chain/complex_16_noc_1D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_2_noc_1D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_2_noc_1D_chain.flows new file mode 120000 index 00000000000..1c9e32ef01a --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_2_noc_1D_chain.flows @@ -0,0 +1 @@ +.././complex_2_noc_1D_chain/complex_2_noc_1D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_clique.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_clique.flows new file mode 120000 index 00000000000..f8083687e84 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_clique.flows @@ -0,0 +1 @@ +.././complex_32_noc_clique/complex_32_noc_clique.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_star.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_star.flows new file mode 120000 index 00000000000..309d2eec9af --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_star.flows @@ -0,0 +1 @@ +.././complex_32_noc_star/complex_32_noc_star.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_star_no_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_star_no_constraints.flows new file mode 120000 index 00000000000..ad4885530b7 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_noc_star_no_constraints.flows @@ -0,0 +1 @@ +.././complex_32_noc_star/complex_32_noc_star_no_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_12_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_12_latency_constraints.flows new file mode 120000 index 00000000000..98520135a1e --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_12_latency_constraints.flows @@ -0,0 +1 @@ +.././complex_32_noc_star/complex_32_star_12_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_24_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_24_latency_constraints.flows new file mode 120000 index 00000000000..d1e22827a5f --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_24_latency_constraints.flows @@ -0,0 +1 @@ +.././complex_32_noc_star/complex_32_star_24_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_2_bandwdiths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_2_bandwdiths.flows new file mode 120000 index 00000000000..08e3a0ef7cf --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_2_bandwdiths.flows @@ -0,0 +1 @@ +.././complex_32_noc_star/complex_32_star_2_bandwdiths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_31_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_31_latency_constraints.flows new file mode 120000 index 00000000000..daedc69ccec --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_31_latency_constraints.flows @@ -0,0 +1 @@ +.././complex_32_noc_star/complex_32_star_31_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_3_bandwdiths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_3_bandwdiths.flows new file mode 120000 index 00000000000..a711497cbb9 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_3_bandwdiths.flows @@ -0,0 +1 @@ +.././complex_32_noc_star/complex_32_star_3_bandwdiths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_4_bandwdiths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_4_bandwdiths.flows new file mode 120000 index 00000000000..528da24f0ee --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_4_bandwdiths.flows @@ -0,0 +1 @@ +.././complex_32_noc_star/complex_32_star_4_bandwdiths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_4_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_4_latency_constraints.flows new file mode 120000 index 00000000000..1d428c5aac3 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_32_star_4_latency_constraints.flows @@ -0,0 +1 @@ +.././complex_32_noc_star/complex_32_star_4_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_4_noc_1D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_4_noc_1D_chain.flows new file mode 120000 index 00000000000..63c9b0d1d72 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_4_noc_1D_chain.flows @@ -0,0 +1 @@ +.././complex_4_noc_1D_chain/complex_4_noc_1D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_clique.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_clique.flows new file mode 120000 index 00000000000..e09563d42d3 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_clique.flows @@ -0,0 +1 @@ +.././complex_64_noc_clique/complex_64_noc_clique.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_nearest_neighbor.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_nearest_neighbor.flows new file mode 120000 index 00000000000..deccfdc1b4f --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_nearest_neighbor.flows @@ -0,0 +1 @@ +.././complex_64_noc_nearest_neighbor/complex_64_noc_nearest_neighbor.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star.flows new file mode 120000 index 00000000000..be1b729ccda --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_12_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_12_latency_constraints.flows new file mode 120000 index 00000000000..66b37ef9cb2 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_12_latency_constraints.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_12_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_24_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_24_latency_constraints.flows new file mode 120000 index 00000000000..b8fe35edd4f --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_24_latency_constraints.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_24_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_2_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_2_bandwidths.flows new file mode 120000 index 00000000000..9d121901c18 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_2_bandwidths.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_2_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_3_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_3_bandwidths.flows new file mode 120000 index 00000000000..1305de61db7 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_3_bandwidths.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_3_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_40_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_40_latency_constraints.flows new file mode 120000 index 00000000000..7a5a7a8f740 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_40_latency_constraints.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_40_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_4_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_4_bandwidths.flows new file mode 120000 index 00000000000..914323476c5 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_4_bandwidths.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_4_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_4_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_4_latency_constraints.flows new file mode 120000 index 00000000000..b1fd727a6c4 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_4_latency_constraints.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_4_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_58_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_58_latency_constraints.flows new file mode 120000 index 00000000000..f7dbf82756f --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_58_latency_constraints.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_58_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_5_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_5_bandwidths.flows new file mode 120000 index 00000000000..e8e38410e30 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_5_bandwidths.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_5_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_63_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_63_latency_constraints.flows new file mode 120000 index 00000000000..766ede63af0 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_63_latency_constraints.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_63_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_6_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_6_bandwidths.flows new file mode 120000 index 00000000000..5a823b9b27c --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_6_bandwidths.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_6_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_no_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_no_constraints.flows new file mode 120000 index 00000000000..5c6bbca70fd --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_64_noc_star_no_constraints.flows @@ -0,0 +1 @@ +.././complex_64_noc_star/complex_64_noc_star_no_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_8_noc_1D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_8_noc_1D_chain.flows new file mode 120000 index 00000000000..cf2c27d7ba4 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/complex_8_noc_1D_chain.flows @@ -0,0 +1 @@ +.././complex_8_noc_1D_chain/complex_8_noc_1D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_16_noc_1D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_16_noc_1D_chain.flows new file mode 120000 index 00000000000..f57315b6532 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_16_noc_1D_chain.flows @@ -0,0 +1 @@ +.././simple_16_noc_1D_chain/simple_16_noc_1D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_2_noc_1D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_2_noc_1D_chain.flows new file mode 120000 index 00000000000..e76f323e6a2 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_2_noc_1D_chain.flows @@ -0,0 +1 @@ +.././simple_2_noc_1D_chain/simple_2_noc_1D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_clique.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_clique.flows new file mode 120000 index 00000000000..8edb91ebd53 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_clique.flows @@ -0,0 +1 @@ +.././simple_32_noc_clique/simple_32_noc_clique.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_star.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_star.flows new file mode 120000 index 00000000000..03d150c503e --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_star.flows @@ -0,0 +1 @@ +.././simple_32_noc_star/simple_32_noc_star.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_star_no_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_star_no_constraints.flows new file mode 120000 index 00000000000..09f0391c07b --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_noc_star_no_constraints.flows @@ -0,0 +1 @@ +.././simple_32_noc_star/simple_32_noc_star_no_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_12_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_12_latency_constraints.flows new file mode 120000 index 00000000000..bb3be9944fd --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_12_latency_constraints.flows @@ -0,0 +1 @@ +.././simple_32_noc_star/simple_32_star_12_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_24_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_24_latency_constraints.flows new file mode 120000 index 00000000000..ccb941567a8 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_24_latency_constraints.flows @@ -0,0 +1 @@ +.././simple_32_noc_star/simple_32_star_24_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_2_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_2_bandwidths.flows new file mode 120000 index 00000000000..d7fcdbf8047 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_2_bandwidths.flows @@ -0,0 +1 @@ +.././simple_32_noc_star/simple_32_star_2_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_31_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_31_latency_constraints.flows new file mode 120000 index 00000000000..b19f73f260b --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_31_latency_constraints.flows @@ -0,0 +1 @@ +.././simple_32_noc_star/simple_32_star_31_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_3_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_3_bandwidths.flows new file mode 120000 index 00000000000..18abd6c2b12 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_3_bandwidths.flows @@ -0,0 +1 @@ +.././simple_32_noc_star/simple_32_star_3_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_4_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_4_bandwidths.flows new file mode 120000 index 00000000000..bf0a7c49b90 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_4_bandwidths.flows @@ -0,0 +1 @@ +.././simple_32_noc_star/simple_32_star_4_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_4_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_4_latency_constraints.flows new file mode 120000 index 00000000000..897370adeec --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_32_star_4_latency_constraints.flows @@ -0,0 +1 @@ +.././simple_32_noc_star/simple_32_star_4_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_4_noc_1D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_4_noc_1D_chain.flows new file mode 120000 index 00000000000..f6678d8ebb8 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_4_noc_1D_chain.flows @@ -0,0 +1 @@ +.././simple_4_noc_1D_chain/simple_4_noc_1D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_2D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_2D_chain.flows new file mode 120000 index 00000000000..9f27e5ca673 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_2D_chain.flows @@ -0,0 +1 @@ +.././simple_64_noc_2D_chain/simple_64_noc_2D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_clique.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_clique.flows new file mode 120000 index 00000000000..1a772cc5b7b --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_clique.flows @@ -0,0 +1 @@ +.././simple_64_noc_clique/simple_64_noc_clique.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star.flows new file mode 120000 index 00000000000..ea04f064514 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_12_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_12_latency_constraints.flows new file mode 120000 index 00000000000..4273c8e3186 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_12_latency_constraints.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_12_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_24_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_24_latency_constraints.flows new file mode 120000 index 00000000000..674ab9a0147 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_24_latency_constraints.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_24_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_2_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_2_bandwidths.flows new file mode 120000 index 00000000000..8ae3522c77b --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_2_bandwidths.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_2_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_3_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_3_bandwidths.flows new file mode 120000 index 00000000000..225910c1986 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_3_bandwidths.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_3_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_40_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_40_latency_constraints.flows new file mode 120000 index 00000000000..41c290d4c60 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_40_latency_constraints.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_40_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_4_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_4_bandwidths.flows new file mode 120000 index 00000000000..ee2584fe4fa --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_4_bandwidths.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_4_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_4_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_4_latency_constraints.flows new file mode 120000 index 00000000000..323c8d99ec0 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_4_latency_constraints.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_4_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_58_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_58_latency_constraints.flows new file mode 120000 index 00000000000..e5514e27ddb --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_58_latency_constraints.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_58_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_5_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_5_bandwidths.flows new file mode 120000 index 00000000000..d6d1a9f150d --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_5_bandwidths.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_5_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_63_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_63_latency_constraints.flows new file mode 120000 index 00000000000..103c3cd3c7e --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_63_latency_constraints.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_63_latency_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_6_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_6_bandwidths.flows new file mode 120000 index 00000000000..75df9590850 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_6_bandwidths.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_6_bandwidths.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_no_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_no_constraints.flows new file mode 120000 index 00000000000..b40e08839ca --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_64_noc_star_no_constraints.flows @@ -0,0 +1 @@ +.././simple_64_noc_star/simple_64_noc_star_no_constraints.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_8_noc_1D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_8_noc_1D_chain.flows new file mode 120000 index 00000000000..2f1dfa86de3 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/simple_8_noc_1D_chain.flows @@ -0,0 +1 @@ +.././simple_8_noc_1D_chain/simple_8_noc_1D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_2_noc_1D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_2_noc_1D_chain.flows new file mode 120000 index 00000000000..87cd723e9db --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_2_noc_1D_chain.flows @@ -0,0 +1 @@ +.././spread_2_noc_1D_chain/spread_2_noc_1D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_32_noc_clique.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_32_noc_clique.flows new file mode 120000 index 00000000000..ac1f74eb262 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_32_noc_clique.flows @@ -0,0 +1 @@ +.././spread_32_noc_clique/spread_32_noc_clique.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_32_noc_star.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_32_noc_star.flows new file mode 120000 index 00000000000..00e3cc25866 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_32_noc_star.flows @@ -0,0 +1 @@ +.././spread_32_noc_star/spread_32_noc_star.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_2D_chain.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_2D_chain.flows new file mode 120000 index 00000000000..63d81a3ea8b --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_2D_chain.flows @@ -0,0 +1 @@ +.././spread_64_noc_2D_chain/spread_64_noc_2D_chain.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_clique.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_clique.flows new file mode 120000 index 00000000000..67899accace --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_clique.flows @@ -0,0 +1 @@ +.././spread_64_noc_clique/spread_64_noc_clique.flows \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_star.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_star.flows new file mode 120000 index 00000000000..d6a7137c1a6 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/traffic_flow_files/spread_64_noc_star.flows @@ -0,0 +1 @@ +.././spread_64_noc_star/spread_64_noc_star.flows \ No newline at end of file From 9f888cea0a0a72ee95d7b1b55697ef788a7dac85 Mon Sep 17 00:00:00 2001 From: soheil Date: Sat, 30 Dec 2023 05:09:29 -0500 Subject: [PATCH 120/608] Add a task for large simple synthetic NoC benchmarks --- .../large_simple__synthetic/config/config.txt | 75 +++++++++++++++++++ 1 file changed, 75 insertions(+) create mode 100644 vtr_flow/tasks/noc_qor/large_simple__synthetic/config/config.txt diff --git a/vtr_flow/tasks/noc_qor/large_simple__synthetic/config/config.txt b/vtr_flow/tasks/noc_qor/large_simple__synthetic/config/config.txt new file mode 100644 index 00000000000..beee0c0912f --- /dev/null +++ b/vtr_flow/tasks/noc_qor/large_simple__synthetic/config/config.txt @@ -0,0 +1,75 @@ +############################################## +# Configuration file for running experiments +############################################## + +# Path to directory of circuits to use +circuits_dir=benchmarks/noc/Synthetic_Designs/blif_files/ + +# Path to directory of architectures to use +archs_dir=arch/noc/mesh_noc_topology + +# Path to directory of NoC Traffic Patterns to use +noc_traffics_dir=benchmarks/noc/Synthetic_Designs/traffic_flow_files/ + +# Traffic flow files are added per circuit +noc_traffic_list_type=per_circuit + +# Add circuits and traffic flows to list to sweep +circuit_list_add=simple_16_noc_1D_chain.blif +noc_traffic_list_add=simple_16_noc_1D_chain.flows + +circuit_list_add=simple_32_noc_clique.blif +noc_traffic_list_add=simple_32_noc_clique.flows + +circuit_list_add=simple_32_noc_star.blif +noc_traffic_list_add=simple_32_noc_star.flows +circuit_list_add=simple_32_noc_star.blif +noc_traffic_list_add=simple_32_noc_star_no_constraints.flows + +circuit_list_add=simple_64_noc_clique.blif +noc_traffic_list_add=simple_64_noc_clique.flows + +circuit_list_add=simple_64_noc_2D_chain.blif +noc_traffic_list_add=simple_64_noc_2D_chain.flows + +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_12_latency_constraints.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_24_latency_constraints.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_2_bandwidths.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_3_bandwidths.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_40_latency_constraints.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_4_bandwidths.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_4_latency_constraints.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_58_latency_constraints.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_5_bandwidths.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_63_latency_constraints.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_6_bandwidths.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star.flows +circuit_list_add=simple_64_noc_star.blif +noc_traffic_list_add=simple_64_noc_star_no_constraints.flows + +# Add architectures to list to sweep +arch_list_add=stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml + +# Parse info and how to parse +parse_file=vpr_noc.txt + +# How to parse QoR info +qor_parse_file=qor_noc_spec.txt + +# Pass requirements +#pass_requirements_file= + +# Script parameters +script_params_common =-starting_stage vpr --noc on --noc_routing_algorithm xy_routing --device "EP4SE820" From 022d93c3806bd808839bceaab399b2d7efe7faf5 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 4 Jan 2024 17:44:27 -0500 Subject: [PATCH 121/608] vpr: router_lookahead_map: remove redundant calling min_chann_global_cost_map --- vpr/src/route/router_lookahead_map.cpp | 3 --- 1 file changed, 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 66dc742bde2..871d5fbc3ed 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -583,9 +583,6 @@ void MapLookahead::read_intra_cluster(const std::string& file) { &tile, inter_tile_pin_primitive_pin_delay); } - - // The information about chann_distance_based_min_cost is not stored in the file, thus it needs to be computed - min_chann_global_cost_map(chann_distance_based_min_cost); } void MapLookahead::write(const std::string& file) const { From 066ee6347ca5fd98c83ab539fb39af040a07a970 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 5 Jan 2024 15:04:26 -0500 Subject: [PATCH 122/608] vpr: map_router_lookahead: compute min distrance cost when lookahead is read from a file --- vpr/src/route/router_lookahead_map.cpp | 3 +++ 1 file changed, 3 insertions(+) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 871d5fbc3ed..a63aab76980 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -564,6 +564,9 @@ void MapLookahead::read(const std::string& file) { //Next, compute which wire types are accessible (and the cost to reach them) //from the different physical tile type's SOURCEs & OPINs this->src_opin_delays = util::compute_router_src_opin_lookahead(is_flat_); + + min_chann_global_cost_map(chann_distance_based_min_cost); + min_opin_distance_cost_map(src_opin_delays, opin_distance_based_min_cost); } void MapLookahead::read_intra_cluster(const std::string& file) { From 80752db7ce548cc44d02860d01cac396660d1509 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 5 Jan 2024 16:50:27 -0500 Subject: [PATCH 123/608] vpr: map_router_lookahead: when getting the min opin cost, only consider channels not direct connections --- vpr/src/route/router_lookahead_map.cpp | 41 ++++++++++++++++++++++---- 1 file changed, 35 insertions(+), 6 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index a63aab76980..094a210129e 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -1513,14 +1513,43 @@ static void min_opin_distance_cost_map(const util::t_src_opin_delays& src_opin_d for (int to_layer_num = 0; to_layer_num < num_layers; to_layer_num++) { for (int dx = 0; dx < width; dx++) { for (int dy = 0; dy < height; dy++) { - float expected_delay_cost = std::numeric_limits::infinity(); - float expected_cong_cost = std::numeric_limits::infinity(); util::Cost_Entry min_cost(std::numeric_limits::infinity(), std::numeric_limits::infinity()); for (const auto& tile_opin_map : src_opin_delays[from_layer_num][tile_type_idx]) { - std::tie(expected_delay_cost, expected_cong_cost) = get_cost_from_src_opin(tile_opin_map, - dx, - dy, - to_layer_num); + float expected_delay_cost = std::numeric_limits::infinity(); + float expected_cong_cost = std::numeric_limits::infinity(); + + for (const auto& layer_src_opin_delay_map : tile_opin_map) { + float layer_expected_delay_cost = std::numeric_limits::infinity(); + float layer_expected_cong_cost = std::numeric_limits::infinity(); + if (layer_src_opin_delay_map.empty()) { + layer_expected_delay_cost = std::numeric_limits::max() / 1e12; + layer_expected_cong_cost = std::numeric_limits::max() / 1e12; + } else { + for (const auto& kv : layer_src_opin_delay_map) { + const util::t_reachable_wire_inf& reachable_wire_inf = kv.second; + if (reachable_wire_inf.wire_rr_type == SINK) { + continue; + } + Cost_Entry wire_cost_entry; + + wire_cost_entry = get_wire_cost_entry(reachable_wire_inf.wire_rr_type, + reachable_wire_inf.wire_seg_index, + reachable_wire_inf.layer_number, + dx, + dy, + to_layer_num); + + float this_delay_cost = reachable_wire_inf.delay + wire_cost_entry.delay; + float this_cong_cost = reachable_wire_inf.congestion + wire_cost_entry.congestion; + + layer_expected_delay_cost = std::min(layer_expected_delay_cost, this_delay_cost); + layer_expected_cong_cost = std::min(layer_expected_cong_cost, this_cong_cost); + } + } + expected_delay_cost = std::min(expected_delay_cost, layer_expected_delay_cost); + expected_cong_cost = std::min(expected_cong_cost, layer_expected_cong_cost); + } + if (expected_delay_cost < min_cost.delay) { min_cost.delay = expected_delay_cost; min_cost.congestion = expected_cong_cost; From e1772f6a508b9d2dba899cc014750e654d5afc63 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 5 Jan 2024 18:51:44 -0500 Subject: [PATCH 124/608] vpr: compressed_router_lookahead: add get_opin_distance_min_delay to compressed map lookahead --- vpr/src/route/router_lookahead_compressed_map.h | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index 70a375bfbd2..22b03a32af3 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -51,6 +51,11 @@ class CompressedMapLookahead : public RouterLookahead { void write_intra_cluster(const std::string& /*file*/) const override { VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::write_intra_cluster unimplemented"); } + + float get_opin_distance_min_delay(int /*physical_tile_idx*/, int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { + VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::get_distance_min_delay unimplemented"); + return -1.; + } }; // This is a 5D array that stores estimates of the cost to reach a location at a particular distance away from the current location. From 37efae54633c54a44488a3d989e566d244aa6e4e Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 8 Jan 2024 15:08:31 -0500 Subject: [PATCH 125/608] vpr: simple_place_delay_model: comment on simple_place_delay_model --- vpr/src/place/place_delay_model.h | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h index 7e745fdc190..3f878bb1ee5 100644 --- a/vpr/src/place/place_delay_model.h +++ b/vpr/src/place/place_delay_model.h @@ -238,7 +238,8 @@ class SimpleDelayModel : public PlaceDelayModel { void write(const std::string& /*file*/) const override {} private: - vtr::NdMatrix delays_; // [0..num_layers-1][0..max_dx][0..max_dy] + // [physical_type_idx][from_layer_num][to_layer_num][dx][dy + vtr::NdMatrix delays_; // [0..num_physical_type-1][0..num_layers-1][0..num_layers-1][0..max_dx][0..max_dy] float cross_layer_delay_; bool is_flat_; }; From 1df15bb9b93e087d3b9130c87c1cfa18e1e2dd11 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 8 Jan 2024 15:12:32 -0500 Subject: [PATCH 126/608] vpr: simple_place_delay_model: big picture comment on simple_place_delay_model --- vpr/src/place/place_delay_model.h | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h index 3f878bb1ee5..8a5cc949629 100644 --- a/vpr/src/place/place_delay_model.h +++ b/vpr/src/place/place_delay_model.h @@ -218,7 +218,8 @@ class OverrideDelayModel : public PlaceDelayModel { static_assert(sizeof(t_override::delta_y) == sizeof(short), "Expect all t_override data members to be shorts"); }; -///@brief A simple delay model based on the distance (delta) between block locations. +///@brief A simple delay model based on the information stored in router lookahead +/// This is in contrast to other placement delay models that get the cost of getting from one location to another by running the router class SimpleDelayModel : public PlaceDelayModel { public: SimpleDelayModel(float min_cross_layer_delay, From c47bf91272d95ec8411cbf9e9380de42f725a8bf Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 8 Jan 2024 15:19:26 -0500 Subject: [PATCH 127/608] vpr: simple_place_delay_model: comment on compute_simple_delay_model --- vpr/src/place/timing_place_lookup.cpp | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/vpr/src/place/timing_place_lookup.cpp b/vpr/src/place/timing_place_lookup.cpp index 7c325bc0895..a5cb3b5cf08 100644 --- a/vpr/src/place/timing_place_lookup.cpp +++ b/vpr/src/place/timing_place_lookup.cpp @@ -143,6 +143,11 @@ static vtr::NdMatrix compute_delta_delay_model( int longest_length, bool is_flat); +/** + * @brief Use the information in the router lookahead to fill the delay matrix instead of running the router + * @param route_profiler + * @return The delay matrix that contain the minimum cost between two locations + */ static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& route_profiler); static bool find_direct_connect_sample_locations(const t_direct_inf* direct, @@ -1019,6 +1024,8 @@ static vtr::NdMatrix compute_delta_delay_model( static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& route_profiler) { const auto& grid = g_vpr_ctx.device().grid; int num_physical_tile_types = static_cast(g_vpr_ctx.device().physical_tile_types.size()); + // Initializing the delay matrix to [num_physical_types][num_layers][num_layers][width][height] + // The second index related to the layer that the source location is on and the third index is for the sink layer vtr::NdMatrix delta_delays({static_cast(num_physical_tile_types), static_cast(grid.get_num_layers()), static_cast(grid.get_num_layers()), From 35125e7b23e269bce946aa0f1a1eedc0e2bd0470 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 8 Jan 2024 15:34:16 -0500 Subject: [PATCH 128/608] vpr: router_profiler: comment on RouterDelayProfiler constructor --- vpr/src/route/router_delay_profiling.cpp | 14 ++++++++++---- 1 file changed, 10 insertions(+), 4 deletions(-) diff --git a/vpr/src/route/router_delay_profiling.cpp b/vpr/src/route/router_delay_profiling.cpp index f64f3559eb3..3f4dddcf8f0 100644 --- a/vpr/src/route/router_delay_profiling.cpp +++ b/vpr/src/route/router_delay_profiling.cpp @@ -23,16 +23,22 @@ RouterDelayProfiler::RouterDelayProfiler(const Netlist<>& net_list, is_flat) , is_flat_(is_flat) { const auto& grid = g_vpr_ctx.device().grid; + int num_layers = grid.get_num_layers(); + min_delays_.resize({g_vpr_ctx.device().physical_tile_types.size(), - static_cast(grid.get_num_layers()), - static_cast(grid.get_num_layers()), + static_cast(num_layers), + static_cast(num_layers), grid.width(), grid.height()}); + for (int physical_tile_type_idx = 0; physical_tile_type_idx < static_cast(g_vpr_ctx.device().physical_tile_types.size()); ++physical_tile_type_idx) { - for (int from_layer = 0; from_layer < grid.get_num_layers(); ++from_layer) { - for (int to_layer = 0; to_layer < grid.get_num_layers(); ++to_layer) { + for (int from_layer = 0; from_layer < num_layers; ++from_layer) { + for (int to_layer = 0; to_layer < num_layers; ++to_layer) { for (int dx = 0; dx < static_cast(grid.width()); ++dx) { for (int dy = 0; dy < static_cast(grid.height()); ++dy) { + // For the current distance that is under consideration, get the minimum cost from router lookahead. Also, + // since the cost is used for placement, the source would be on OPINs; thus, we need to get the minimum distance on OPINs + // not on channels. float min_delay = lookahead->get_opin_distance_min_delay(physical_tile_type_idx, from_layer, to_layer, dx, dy); min_delays_[physical_tile_type_idx][from_layer][to_layer][dx][dy] = min_delay; } From 7eb1a7f2e3aceddc805bc6eb5911eff2307b7ea6 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 8 Jan 2024 15:41:37 -0500 Subject: [PATCH 129/608] vpr: router_lookahead: comment on get_opin_distance_min_delay --- vpr/src/route/router_lookahead.h | 2 ++ 1 file changed, 2 insertions(+) diff --git a/vpr/src/route/router_lookahead.h b/vpr/src/route/router_lookahead.h index e6d49d33b8d..82dc4e3e5cd 100644 --- a/vpr/src/route/router_lookahead.h +++ b/vpr/src/route/router_lookahead.h @@ -36,6 +36,8 @@ class RouterLookahead { // May be unimplemented, in which case method should throw an exception. virtual void write_intra_cluster(const std::string& file) const = 0; + // Retrieve the minimum distance to a point on the "to_layer," which is dx and dy away, across + // all the OPINs on the physical tile identified by "physical_tile_idx." virtual float get_opin_distance_min_delay(int physical_tile_idx, int from_layer, int to_layer, int dx, int dy) const = 0; virtual ~RouterLookahead() {} From ab0862715b9262154c5403ed073ce783d7c88865 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 9 Jan 2024 15:54:05 -0500 Subject: [PATCH 130/608] vpr: map_router_lookahead: comment on opin_distance_based_min_cost --- vpr/src/route/router_lookahead_map.cpp | 10 ++++++++-- vpr/src/route/router_lookahead_map.h | 2 +- 2 files changed, 9 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 504146b4bb6..65d9cfccb2d 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -113,12 +113,18 @@ static void store_min_cost_to_sinks(std::unordered_map& intra_tile_pin_primitive_pin_delay); -/*** - * @brief Iterate over the first and second dimension of f_wire_cost_map to get the minimum cost for each dx and dy_ +/** + * @brief Iterate over the first (channel type) and second (segment type) dimensions of f_wire_cost_map to get the minimum cost for each dx and dy_ * @param internal_opin_global_cost_map This map is populated in this function. [dx][dy] -> cost */ static void min_chann_global_cost_map(vtr::NdMatrix& distance_min_cost); +/** + * @brief // Given the src/opin map of each physical tile type, iterate over all OPINs/sources of a type and create + * the minimum cost map across all of them for each tile type. + * @param src_opin_delays + * @param distance_min_cost + */ static void min_opin_distance_cost_map(const util::t_src_opin_delays& src_opin_delays, vtr::NdMatrix& distance_min_cost); // Read the file and fill intra_tile_pin_primitive_pin_delay and tile_min_cost diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index 0c254b7fffc..48c960fac69 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -20,7 +20,7 @@ class MapLookahead : public RouterLookahead { std::unordered_map> tile_min_cost; // [physical_tile_type][sink_physical_num] -> cost // Lookup table to store the minimum cost for each dx and dy vtr::NdMatrix chann_distance_based_min_cost; // [from_layer_num][to_layer_num][dx][dy] -> cost - vtr::NdMatrix opin_distance_based_min_cost; // [from_layer_num][to_layer_num][dx][dy] -> cost + vtr::NdMatrix opin_distance_based_min_cost; // [physical_tile_idx][from_layer_num][to_layer_num][dx][dy] -> cost const t_det_routing_arch& det_routing_arch_; bool is_flat_; From 7ebc06d1348fb631bbde489104d92fd84e8eaa94 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 9 Jan 2024 17:40:38 -0500 Subject: [PATCH 131/608] vpr: cli: add simple place delay model --- vpr/src/base/ShowSetup.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/base/ShowSetup.cpp b/vpr/src/base/ShowSetup.cpp index 1a5772d4aa0..904ee63f0d4 100644 --- a/vpr/src/base/ShowSetup.cpp +++ b/vpr/src/base/ShowSetup.cpp @@ -634,8 +634,8 @@ static void ShowPlacerOpts(const t_placer_opts& PlacerOpts, VPR_FATAL_ERROR(VPR_ERROR_UNKNOWN, "Unknown delay_model_reducer\n"); VTR_LOG("PlacerOpts.delay_model_reducer: %s\n", e_reducer_strings[(size_t)PlacerOpts.delay_model_reducer].c_str()); - std::string place_delay_model_strings[2] = {"DELTA", "DELTA_OVERRIDE"}; - if ((size_t)PlacerOpts.delay_model_type > 1) + std::string place_delay_model_strings[3] = {"SIMPLE", "DELTA", "DELTA_OVERRIDE"}; + if ((size_t)PlacerOpts.delay_model_type > 2) VPR_FATAL_ERROR(VPR_ERROR_UNKNOWN, "Unknown delay_model_type\n"); VTR_LOG("PlacerOpts.delay_model_type: %s\n", place_delay_model_strings[(size_t)PlacerOpts.delay_model_type].c_str()); } From d7735b3a8472dadfa7fd652bd7650a2a3ddbdc17 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 9 Jan 2024 18:37:18 -0500 Subject: [PATCH 132/608] vpr: router_lookahead_map: use is_nan to check whether a cost is valid --- vpr/src/route/router_lookahead_map_utils.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_map_utils.h b/vpr/src/route/router_lookahead_map_utils.h index c9e62916ec9..88c677dc445 100644 --- a/vpr/src/route/router_lookahead_map_utils.h +++ b/vpr/src/route/router_lookahead_map_utils.h @@ -103,7 +103,7 @@ class Cost_Entry { , congestion(set_congestion) , fill(set_fill) {} bool valid() const { - return std::isfinite(delay) && std::isfinite(congestion); + return !(std::isnan(delay) || std::isnan(congestion)); } bool operator==(const Cost_Entry& other) const { From b780d4963ff273452eb2e03c8df2621f10f22392 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 10 Jan 2024 14:10:43 -0500 Subject: [PATCH 133/608] vpr: router_lookahead_cost_map: fix the bug when the cost is quiet_NaN --- vpr/src/route/router_lookahead_cost_map.cpp | 17 +++++++++++++---- 1 file changed, 13 insertions(+), 4 deletions(-) diff --git a/vpr/src/route/router_lookahead_cost_map.cpp b/vpr/src/route/router_lookahead_cost_map.cpp index c00257a1ce4..c89748a6532 100644 --- a/vpr/src/route/router_lookahead_cost_map.cpp +++ b/vpr/src/route/router_lookahead_cost_map.cpp @@ -342,11 +342,20 @@ std::vector> CostMap::list_empty() const { } static void assign_min_entry(util::Cost_Entry* dst, const util::Cost_Entry& src) { - if (src.delay < dst->delay) { - dst->delay = src.delay; + if (!std::isnan(src.delay)) { + if (std::isnan(dst->delay)) { + dst->delay = src.delay; + } else { + dst->delay = std::min(dst->delay, src.delay); + } } - if (src.congestion < dst->congestion) { - dst->congestion = src.congestion; + + if (!std::isnan(src.congestion)) { + if (std::isnan(dst->congestion)) { + dst->congestion = src.congestion; + } else { + dst->congestion = std::min(dst->congestion, src.congestion); + } } } From c4c4d0260256b9e6605f3a3195e85fc732fcdf27 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 10 Jan 2024 14:29:52 -0500 Subject: [PATCH 134/608] vpr: router_lookahead: turn of the error on get_opin_distance_min_delay --- vpr/src/route/router_lookahead.h | 2 -- vpr/src/route/router_lookahead_compressed_map.h | 1 - vpr/src/route/router_lookahead_extended_map.h | 1 - 3 files changed, 4 deletions(-) diff --git a/vpr/src/route/router_lookahead.h b/vpr/src/route/router_lookahead.h index 82dc4e3e5cd..c3cf69edaaa 100644 --- a/vpr/src/route/router_lookahead.h +++ b/vpr/src/route/router_lookahead.h @@ -97,7 +97,6 @@ class ClassicLookahead : public RouterLookahead { } float get_opin_distance_min_delay(int /*physical_tile_idx*/, int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { - VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::get_distance_min_delay unimplemented"); return -1.; } @@ -134,7 +133,6 @@ class NoOpLookahead : public RouterLookahead { } float get_opin_distance_min_delay(int /*physical_tile_idx*/, int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { - VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::get_distance_min_delay unimplemented"); return -1.; } }; diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index 22b03a32af3..11b6d52b5ff 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -53,7 +53,6 @@ class CompressedMapLookahead : public RouterLookahead { } float get_opin_distance_min_delay(int /*physical_tile_idx*/, int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { - VPR_THROW(VPR_ERROR_ROUTE, "CompressedMapLookahead::get_distance_min_delay unimplemented"); return -1.; } }; diff --git a/vpr/src/route/router_lookahead_extended_map.h b/vpr/src/route/router_lookahead_extended_map.h index 9bf566fca5a..589ea06e6a4 100644 --- a/vpr/src/route/router_lookahead_extended_map.h +++ b/vpr/src/route/router_lookahead_extended_map.h @@ -105,7 +105,6 @@ class ExtendedMapLookahead : public RouterLookahead { } float get_opin_distance_min_delay(int /*physical_tile_idx*/, int /*from_layer*/, int /*to_layer*/, int /*dx*/, int /*dy*/) const override { - VPR_THROW(VPR_ERROR_ROUTE, "ClassicLookahead::get_distance_min_delay unimplemented"); return -1.; } }; From 9b5591274e209cd617099abe9716fa243e908d8d Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 11 Jan 2024 11:25:10 -0500 Subject: [PATCH 135/608] regression test: nightly test 2: update bidir results --- .../vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt index 62d595b7939..0b79ca95a28 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time k4_n4_v7_bidir.xml alu4.blif common 17.97 vpr 69.13 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 475 14 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70788 14 8 1536 1544 0 1091 497 24 24 576 clb auto 31.6 MiB 0.29 14174 69.1 MiB 1.05 0.01 13.4464 -91.906 -13.4464 nan 1.36 0.00347385 0.00290782 0.247999 0.211234 28 20910 32 1.452e+07 1.425e+07 -1 -1 10.82 1.44391 1.22437 21174 279108 -1 19878 20 7201 27995 2276505 212795 0 0 2276505 212795 16951 11554 0 0 31392 28016 0 0 50562 32519 0 0 53034 24138 0 0 1089394 57817 0 0 1035172 58751 0 0 16951 0 0 12554 113703 115472 357504 11933 2267 16.2487 nan -109.749 -16.2487 0 0 -1 -1 0.57 0.72 0.17 -1 -1 0.57 0.18904 0.168713 k4_n4_v7_bidir.xml apex2.blif common 22.29 vpr 72.88 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 600 38 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74632 38 3 1916 1919 0 1509 641 27 27 729 clb auto 35.0 MiB 0.38 19839 72.9 MiB 1.44 0.02 14.9286 -44.0658 -14.9286 nan 1.71 0.00447423 0.0037738 0.318034 0.271255 31 29152 43 1.875e+07 1.8e+07 -1 -1 13.08 1.89132 1.61731 28210 394495 -1 28088 18 10308 35327 3215747 279851 0 0 3215747 279851 29720 16267 0 0 39742 35335 0 0 61341 40948 0 0 80107 33828 0 0 1543669 76168 0 0 1461168 77305 0 0 29720 0 0 24742 194098 209672 870568 6388 201 17.3073 nan -51.5022 -17.3073 0 0 -1 -1 0.80 0.88 0.22 -1 -1 0.80 0.204316 0.178519 -k4_n4_v7_bidir.xml apex4.blif common 20.47 vpr 67.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 408 9 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68812 9 19 1271 1290 0 990 436 23 23 529 clb auto 29.6 MiB 0.24 13522 67.2 MiB 0.88 0.01 12.9459 -210.249 -12.9459 nan 1.31 0.00304529 0.00263188 0.202833 0.176455 31 21733 44 1.323e+07 1.224e+07 -1 -1 13.75 1.2743 1.09421 20514 283063 -1 19523 24 8011 29398 3111419 256159 0 0 3111419 256159 27108 14933 0 0 33129 29452 0 0 53736 33902 0 0 81514 31763 0 0 1464504 74767 0 0 1451428 71342 0 0 27108 0 0 31372 225582 235236 1191218 2710 504 16.6567 nan -264.732 -16.6567 0 0 -1 -1 0.57 0.82 0.17 -1 -1 0.57 0.173296 0.153258 +k4_n4_v7_bidir.xml apex4.blif common 20.47 vpr 67.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 408 9 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68812 9 19 1271 1290 0 990 436 23 23 529 clb auto 29.6 MiB 0.24 13522 67.2 MiB 0.88 0.01 12.9459 -210.249 -12.9459 nan 1.31 0.00304529 0.00263188 0.202833 0.176455 31 21733 44 1.323e+07 1.224e+07 -1 -1 13.75 1.2743 1.09421 20514 283063 -1 19523 24 8011 29398 3111419 256159 0 0 3111419 256159 27108 14933 0 0 33129 29452 0 0 53736 33902 0 0 81514 31763 0 0 1464504 74767 0 0 1451428 71342 0 0 27108 0 0 31372 225582 235236 1191218 2710 504 24.98505 nan -264.732 -24.98505 0 0 -1 -1 0.57 0.82 0.17 -1 -1 0.57 0.173296 0.153258 k4_n4_v7_bidir.xml bigkey.blif common 26.60 vpr 73.27 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 456 229 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75028 229 197 2152 2349 1 1587 882 29 29 841 io auto 35.1 MiB 0.30 12959 73.3 MiB 2.51 0.02 7.48553 -1803.94 -7.48553 7.48553 2.28 0.00469204 0.00410364 0.51071 0.442793 18 20371 48 2.187e+07 1.368e+07 -1 -1 15.57 1.94898 1.6994 25794 279159 -1 18368 19 8448 24780 1743257 182995 0 0 1743257 182995 13766 10049 0 0 30505 25889 0 0 47823 31434 0 0 40964 21410 0 0 806666 46627 0 0 803533 47586 0 0 13766 0 0 6197 80865 80423 213680 11837 3693 9.06144 9.06144 -2390.66 -9.06144 0 0 -1 -1 0.61 0.68 0.17 -1 -1 0.61 0.253486 0.225627 k4_n4_v7_bidir.xml clma.blif common 142.35 vpr 187.99 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2523 62 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 192504 62 82 8460 8542 1 6360 2667 53 53 2809 clb auto 92.3 MiB 1.80 106462 171.3 MiB 18.59 0.16 27.3694 -1405.65 -27.3694 27.3694 9.76 0.0244187 0.0196415 2.5024 2.05173 39 139434 27 7.803e+07 7.569e+07 -1 -1 76.80 10.1302 8.39795 121914 1953961 -1 144525 31 49683 171853 40636067 3446563 0 0 40636067 3446563 131588 83133 0 0 195439 172145 0 0 321140 204566 0 0 417577 203354 0 0 19358442 1426844 0 0 20211881 1356521 0 0 131588 0 0 119534 1007982 997442 3452968 44789 50391 35.3515 35.3515 -1874.87 -35.3515 0 0 -1 -1 5.12 10.08 1.18 -1 -1 5.12 1.68323 1.4069 k4_n4_v7_bidir.xml des.blif common 23.62 vpr 71.27 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 449 256 -1 -1 success a1966c4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-08-16T20:55:46 gh-actions-runner-vtr-auto-spawned4 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72980 256 245 1847 2092 0 1443 950 34 34 1156 io auto 33.6 MiB 0.35 16116 71.3 MiB 1.98 0.03 12.1555 -2310.02 -12.1555 nan 3.25 0.00544401 0.0047579 0.394496 0.349342 20 23620 43 3.072e+07 1.347e+07 -1 -1 9.87 1.76085 1.56242 36518 419916 -1 22263 23 10124 34066 3025249 296853 0 0 3025249 296853 31691 18908 0 0 39976 35072 0 0 66141 41206 0 0 79559 38882 0 0 1378551 82326 0 0 1429331 80459 0 0 31691 0 0 27667 129057 127151 621415 3326 4 15.4638 nan -2935.27 -15.4638 0 0 -1 -1 0.98 0.93 0.28 -1 -1 0.98 0.281328 0.254279 From a5a78a842606a0f42e22893f15f7618ab14ca3b3 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 11 Jan 2024 11:27:13 -0500 Subject: [PATCH 136/608] regression test: nightly test 2: update titan_quick_qor results --- .../titan_quick_qor/config/golden_results.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt index aeab7fe3797..74e5b8be5b4 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time -stratixiv_arch.timing.xml gsm_switch_stratixiv_arch_timing.blif common 3434.92 136 21492 0 1848 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9760656 100 36 504627 490068 5 200916 23477 255 189 48195 M9K auto 700.76 -1 1504.23 11.74 8.0573 -1.40572e+06 -7.0573 5.6189 177.19 1.11051 0.713981 193.057 125.871 5385844 441201 1322987 933414169 132816309 0 0 8.91222e+08 18492.0 12 8.20679 6.19052 -1.94741e+06 -7.20679 0 0 180.15 240.444 164.718 597.95 +stratixiv_arch.timing.xml gsm_switch_stratixiv_arch_timing.blif common 3434.92 136 21492 0 1848 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9760656 100 36 504627 490068 5 200916 23477 255 189 48195 M9K auto 700.76 -1 1504.23 11.74 8.0573 -1.40572e+06 -8.0 5.6189 177.19 1.11051 0.713981 193.057 125.871 5385844 441201 1322987 933414169 132816309 0 0 8.91222e+08 18492.0 12 9.0 6.19052 -1.94741e+06 -7.20679 0 0 180.15 240.444 164.718 597.95 stratixiv_arch.timing.xml mes_noc_stratixiv_arch_timing.blif common 5178.68 5 23760 0 800 0 8 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9098808 3 2 577696 547568 17 345674 24573 193 143 27599 LAB auto 1364.30 -1 2826.61 26.29 11.27 -2.97906e+06 -10.27 8.03813 91.32 1.80404 1.18002 246.878 163.248 5138525 830618 2460044 1865303663 160918985 0 0 5.12586e+08 18572.6 38 12.0087 8.42243 -3.49809e+06 -11.0087 0 0 349.79 399.113 285.519 300.82 stratixiv_arch.timing.xml dart_stratixiv_arch_timing.blif common 1295.19 69 6862 0 530 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 4184456 23 46 223304 202401 1 131203 7461 138 102 14076 M9K auto 382.91 -1 481.59 3.87 14.1501 -1.40215e+06 -13.1501 11.4821 49.45 0.648403 0.438358 83.6769 57.0805 2176369 347924 886285 611522444 59989634 0 0 2.60164e+08 18482.8 20 15.0213 12.3857 -1.73557e+06 -14.0213 0 0 105.49 121.372 87.8932 164.95 stratixiv_arch.timing.xml denoise_stratixiv_arch_timing.blif common 3182.96 852 14030 24 359 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5971932 264 588 355537 274786 1 218574 15265 150 111 16650 LAB auto 395.29 -1 2053.18 13.33 866.716 -868475 -865.716 866.716 59.74 0.852057 0.609981 129.74 93.1704 3069575 1205817 3821898 2625594594 200491408 0 0 3.08278e+08 18515.2 43 857.427 857.427 -1.06208e+06 -856.427 0 0 316.47 210.711 159.272 198.99 From 1b404b31a7295f708e83d85463c501622d052fcf Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 11 Jan 2024 18:37:25 -0500 Subject: [PATCH 137/608] golden_result: nightly_test_1: update arithmetic_tasks/multless_consts --- .../multless_consts/config/golden_results.txt | 2050 ++++++++--------- 1 file changed, 1025 insertions(+), 1025 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt index b966512a864..f0e171fa889 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt @@ -1,1025 +1,1025 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 6.55 vpr 63.55 MiB -1 -1 0.16 21120 14 0.25 -1 -1 36804 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65072 32 32 277 309 1 204 91 17 17 289 -1 unnamed_device 25.0 MiB 0.42 1378 63.5 MiB 0.04 0.00 6.64956 -137.729 -6.64956 6.64956 0.78 0.00023397 0.000195213 0.0115046 0.0098606 34 3695 34 6.55708e+06 325485 585099. 2024.56 3.26 0.110793 0.0963584 22462 138074 -1 3102 19 1318 4070 239449 54673 0 0 239449 54673 4070 2018 0 0 14049 11633 0 0 22585 16481 0 0 4070 2550 0 0 96411 11135 0 0 98264 10856 0 0 4070 0 0 2752 4450 5180 32160 0 0 7.30964 7.30964 -162.262 -7.30964 0 0 742403. 2568.87 0.24 0.05 0.08 -1 -1 0.24 0.0152609 0.0137943 183 182 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 4.57 vpr 63.63 MiB -1 -1 0.17 21068 14 0.29 -1 -1 36072 -1 -1 31 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65160 30 32 272 304 1 210 93 17 17 289 -1 unnamed_device 25.2 MiB 0.52 1311 63.6 MiB 0.06 0.00 6.85276 -131.872 -6.85276 6.85276 0.75 0.000232163 0.000194378 0.0132119 0.0111154 28 3453 19 6.55708e+06 373705 500653. 1732.36 1.08 0.0601354 0.0524157 21310 115450 -1 3110 17 1475 4185 247016 57006 0 0 247016 57006 4185 2298 0 0 14300 11659 0 0 22131 16665 0 0 4185 2715 0 0 99679 12118 0 0 102536 11551 0 0 4185 0 0 2710 5104 4892 32299 0 0 6.97296 6.97296 -152.035 -6.97296 0 0 612192. 2118.31 0.22 0.06 0.07 -1 -1 0.22 0.0162763 0.0148285 184 181 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 7.17 vpr 63.52 MiB -1 -1 0.15 20744 11 0.22 -1 -1 35948 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65040 32 32 280 312 1 205 90 17 17 289 -1 unnamed_device 24.9 MiB 0.35 1407 63.5 MiB 0.06 0.00 6.11164 -120.209 -6.11164 6.11164 0.76 0.000238955 0.000199992 0.0167906 0.0141724 38 3252 26 6.55708e+06 313430 638502. 2209.35 3.86 0.157982 0.130825 23326 155178 -1 2777 15 1140 3832 181541 42020 0 0 181541 42020 3832 1479 0 0 12154 9933 0 0 17496 13010 0 0 3832 1885 0 0 74042 7516 0 0 70185 8197 0 0 3832 0 0 2692 4838 5741 37643 0 0 6.23184 6.23184 -134.783 -6.23184 0 0 851065. 2944.86 0.30 0.04 0.13 -1 -1 0.30 0.0131099 0.0119915 186 185 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 7.23 vpr 63.94 MiB -1 -1 0.15 21132 12 0.31 -1 -1 35924 -1 -1 30 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65476 29 32 275 307 1 205 91 17 17 289 -1 unnamed_device 25.4 MiB 0.58 1294 63.9 MiB 0.04 0.00 6.46824 -120.665 -6.46824 6.46824 0.76 0.000259183 0.000213781 0.00929745 0.0079613 38 3021 26 6.55708e+06 361650 638502. 2209.35 3.52 0.141728 0.123138 23326 155178 -1 2445 16 1183 3898 175011 41443 0 0 175011 41443 3898 1427 0 0 12473 10316 0 0 17861 13321 0 0 3898 1896 0 0 68147 7336 0 0 68734 7147 0 0 3898 0 0 2715 3959 4424 30344 0 0 6.6791 6.6791 -131.428 -6.6791 0 0 851065. 2944.86 0.27 0.05 0.09 -1 -1 0.27 0.0154496 0.0141538 190 186 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 4.50 vpr 64.05 MiB -1 -1 0.16 21268 13 0.25 -1 -1 36060 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65584 32 32 302 334 1 233 95 17 17 289 -1 unnamed_device 25.4 MiB 0.42 1524 64.0 MiB 0.05 0.00 6.5981 -142.96 -6.5981 6.5981 0.73 0.000300294 0.000256561 0.0125193 0.0105883 30 3880 20 6.55708e+06 373705 526063. 1820.29 1.23 0.0741733 0.066217 21886 126133 -1 3236 19 1398 4206 208147 48723 0 0 208147 48723 4206 2052 0 0 13633 10776 0 0 19316 14651 0 0 4206 2506 0 0 84165 9256 0 0 82621 9482 0 0 4206 0 0 2808 4192 4634 31950 0 0 6.7993 6.7993 -158.161 -6.7993 0 0 666494. 2306.21 0.23 0.05 0.08 -1 -1 0.23 0.0186909 0.0170606 210 207 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 5.47 vpr 63.74 MiB -1 -1 0.17 21344 13 0.26 -1 -1 36084 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65272 32 32 292 324 1 217 96 17 17 289 -1 unnamed_device 25.3 MiB 0.34 1398 63.7 MiB 0.07 0.00 6.4387 -129.863 -6.4387 6.4387 0.74 0.000238037 0.000197479 0.0174991 0.0144898 36 3113 40 6.55708e+06 385760 612192. 2118.31 2.20 0.10839 0.0941806 22750 144809 -1 2836 18 1180 3606 192731 45957 0 0 192731 45957 3606 1596 0 0 12330 9956 0 0 18928 14307 0 0 3606 1980 0 0 76271 9052 0 0 77990 9066 0 0 3606 0 0 2426 4162 4658 30270 0 0 6.70864 6.70864 -147.167 -6.70864 0 0 782063. 2706.10 0.26 0.06 0.09 -1 -1 0.26 0.0270128 0.0237632 198 197 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 6.61 vpr 63.26 MiB -1 -1 0.14 20864 12 0.19 -1 -1 35944 -1 -1 27 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64780 27 32 229 261 1 176 86 17 17 289 -1 unnamed_device 24.7 MiB 0.26 1010 63.3 MiB 0.06 0.00 5.95024 -108.676 -5.95024 5.95024 0.87 0.000192247 0.000152637 0.014133 0.0115023 28 2669 45 6.55708e+06 325485 500653. 1732.36 3.37 0.0917088 0.0787583 21310 115450 -1 2296 18 1170 3102 171861 40357 0 0 171861 40357 3102 1710 0 0 10554 8455 0 0 16652 12260 0 0 3102 1977 0 0 68423 8148 0 0 70028 7807 0 0 3102 0 0 1932 2593 3175 20176 0 0 6.19064 6.19064 -125.711 -6.19064 0 0 612192. 2118.31 0.25 0.04 0.16 -1 -1 0.25 0.0125487 0.011395 152 144 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 4.86 vpr 63.28 MiB -1 -1 0.14 20716 12 0.18 -1 -1 36020 -1 -1 22 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64796 31 32 229 261 1 184 85 17 17 289 -1 unnamed_device 24.8 MiB 0.22 1184 63.3 MiB 0.06 0.00 5.3864 -117.646 -5.3864 5.3864 0.78 0.00017634 0.000144911 0.0133295 0.0109964 34 3309 38 6.55708e+06 265210 585099. 2024.56 1.81 0.068353 0.0591361 22462 138074 -1 2619 17 1190 3678 220500 49832 0 0 220500 49832 3678 1811 0 0 12527 10348 0 0 20797 14997 0 0 3678 2170 0 0 88561 10493 0 0 91259 10013 0 0 3678 0 0 2488 5115 4968 32463 0 0 5.67826 5.67826 -134.483 -5.67826 0 0 742403. 2568.87 0.25 0.05 0.09 -1 -1 0.25 0.0125829 0.0113642 140 136 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 4.80 vpr 63.39 MiB -1 -1 0.15 21068 12 0.16 -1 -1 35860 -1 -1 26 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64912 31 32 235 267 1 192 89 17 17 289 -1 unnamed_device 24.8 MiB 0.25 1185 63.4 MiB 0.05 0.00 5.54278 -115.705 -5.54278 5.54278 0.75 0.000181148 0.000148934 0.01052 0.00882348 36 2812 19 6.55708e+06 313430 612192. 2118.31 1.75 0.0807672 0.0714902 22750 144809 -1 2500 19 989 2479 138734 32102 0 0 138734 32102 2479 1337 0 0 8427 6769 0 0 12543 9501 0 0 2479 1600 0 0 55969 6620 0 0 56837 6275 0 0 2479 0 0 1490 2262 2166 15567 0 0 5.66298 5.66298 -130.577 -5.66298 0 0 782063. 2706.10 0.26 0.04 0.09 -1 -1 0.26 0.0134631 0.0122535 150 142 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 11.31 vpr 63.32 MiB -1 -1 0.15 20744 13 0.19 -1 -1 36012 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64844 32 32 250 282 1 193 89 17 17 289 -1 unnamed_device 24.7 MiB 0.28 1122 63.3 MiB 0.05 0.00 6.10764 -131.404 -6.10764 6.10764 0.75 0.000207809 0.00017318 0.0123806 0.0103196 28 3805 49 6.55708e+06 301375 500653. 1732.36 8.22 0.107082 0.0929183 21310 115450 -1 2905 28 1305 3489 332701 113018 0 0 332701 113018 3489 2124 0 0 12455 10324 0 0 19672 15037 0 0 3489 2393 0 0 143528 42076 0 0 150068 41064 0 0 3489 0 0 2184 4164 3900 25181 0 0 6.37758 6.37758 -156.713 -6.37758 0 0 612192. 2118.31 0.22 0.08 0.07 -1 -1 0.22 0.0187667 0.0167838 157 155 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 5.78 vpr 63.23 MiB -1 -1 0.14 21092 12 0.19 -1 -1 35872 -1 -1 24 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64752 30 32 216 248 1 168 86 17 17 289 -1 unnamed_device 24.9 MiB 0.32 1000 63.2 MiB 0.05 0.00 6.15344 -116.886 -6.15344 6.15344 0.74 0.000179765 0.000150006 0.0113664 0.00952438 34 2373 31 6.55708e+06 289320 585099. 2024.56 2.71 0.0916434 0.0793369 22462 138074 -1 2100 16 890 2426 132391 31263 0 0 132391 31263 2426 1178 0 0 8319 6744 0 0 13317 9661 0 0 2426 1488 0 0 52271 6325 0 0 53632 5867 0 0 2426 0 0 1536 2442 2444 16908 0 0 6.27364 6.27364 -130.709 -6.27364 0 0 742403. 2568.87 0.25 0.04 0.09 -1 -1 0.25 0.0115305 0.0105413 132 125 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 4.70 vpr 63.18 MiB -1 -1 0.15 21068 12 0.14 -1 -1 36192 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64692 32 32 236 268 1 183 86 17 17 289 -1 unnamed_device 24.7 MiB 0.23 1183 63.2 MiB 0.05 0.00 5.71546 -130.205 -5.71546 5.71546 0.94 0.0001904 0.000159016 0.0125007 0.0107766 28 3148 27 6.55708e+06 265210 500653. 1732.36 1.51 0.0558721 0.0489226 21310 115450 -1 2728 15 1057 2891 174259 40386 0 0 174259 40386 2891 1619 0 0 9990 8119 0 0 15173 11462 0 0 2891 1859 0 0 72462 8609 0 0 70852 8718 0 0 2891 0 0 1834 2963 3265 20857 0 0 6.00932 6.00932 -152.843 -6.00932 0 0 612192. 2118.31 0.22 0.04 0.07 -1 -1 0.22 0.0122995 0.0112357 146 141 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 6.50 vpr 63.66 MiB -1 -1 0.18 20928 13 0.25 -1 -1 36024 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65184 32 32 283 315 1 223 94 17 17 289 -1 unnamed_device 25.2 MiB 0.22 1389 63.7 MiB 0.05 0.00 6.72796 -141.789 -6.72796 6.72796 0.77 0.000224998 0.000185112 0.0127975 0.0109101 34 3291 23 6.55708e+06 361650 585099. 2024.56 3.17 0.13924 0.121977 22462 138074 -1 2865 14 1170 3367 184952 43579 0 0 184952 43579 3367 1663 0 0 11879 9496 0 0 18366 13888 0 0 3367 1971 0 0 73101 8579 0 0 74872 7982 0 0 3367 0 0 2197 4139 4384 29223 0 0 6.96836 6.96836 -158.438 -6.96836 0 0 742403. 2568.87 0.26 0.09 0.09 -1 -1 0.26 0.015911 0.014661 191 188 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 4.99 vpr 64.01 MiB -1 -1 0.17 20912 14 0.28 -1 -1 36356 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65548 32 32 303 335 1 241 94 17 17 289 -1 unnamed_device 25.3 MiB 0.39 1619 64.0 MiB 0.06 0.00 7.36616 -155.403 -7.36616 7.36616 0.95 0.000256217 0.000212749 0.0152719 0.012958 30 4017 48 6.55708e+06 361650 526063. 1820.29 1.50 0.0869105 0.075816 21886 126133 -1 3182 17 1414 4012 195420 46350 0 0 195420 46350 4012 1922 0 0 13077 10606 0 0 18187 13979 0 0 4012 2265 0 0 78762 8577 0 0 77370 9001 0 0 4012 0 0 2598 4040 4437 30375 0 0 7.96715 7.96715 -179.396 -7.96715 0 0 666494. 2306.21 0.24 0.06 0.08 -1 -1 0.24 0.0187613 0.0171793 210 208 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 4.38 vpr 63.18 MiB -1 -1 0.14 21016 11 0.17 -1 -1 35776 -1 -1 27 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64700 29 32 225 257 1 174 88 17 17 289 -1 unnamed_device 24.7 MiB 0.23 960 63.2 MiB 0.05 0.00 5.53818 -107.159 -5.53818 5.53818 0.91 0.000189989 0.000149652 0.0125054 0.0103483 28 3059 34 6.55708e+06 325485 500653. 1732.36 1.24 0.0549045 0.0473058 21310 115450 -1 2443 15 1075 2913 191816 44712 0 0 191816 44712 2913 1652 0 0 10053 8116 0 0 15478 11606 0 0 2913 1920 0 0 79904 10919 0 0 80555 10499 0 0 2913 0 0 1838 3155 3118 20250 0 0 5.65838 5.65838 -123.364 -5.65838 0 0 612192. 2118.31 0.22 0.05 0.07 -1 -1 0.22 0.012185 0.0110656 147 136 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 8.31 vpr 63.88 MiB -1 -1 0.16 20944 12 0.28 -1 -1 36120 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65408 32 32 301 333 1 230 97 17 17 289 -1 unnamed_device 25.4 MiB 0.37 1284 63.9 MiB 0.07 0.00 6.15144 -124.661 -6.15144 6.15144 0.74 0.00026993 0.000219403 0.015732 0.0131325 38 3421 31 6.55708e+06 397815 638502. 2209.35 4.86 0.173544 0.141987 23326 155178 -1 2818 15 1388 4502 218383 53481 0 0 218383 53481 4502 1902 0 0 14499 11821 0 0 21178 15691 0 0 4502 2432 0 0 83187 11409 0 0 90515 10226 0 0 4502 0 0 3114 6537 5820 41099 0 0 6.19064 6.19064 -140.04 -6.19064 0 0 851065. 2944.86 0.35 0.05 0.14 -1 -1 0.35 0.0166186 0.0151888 209 206 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 6.94 vpr 63.70 MiB -1 -1 0.17 21080 14 0.24 -1 -1 35960 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65232 32 32 277 309 1 217 93 17 17 289 -1 unnamed_device 25.1 MiB 0.41 1504 63.7 MiB 0.05 0.00 6.10764 -131.576 -6.10764 6.10764 0.77 0.00026662 0.000219881 0.0129686 0.010873 38 3458 18 6.55708e+06 349595 638502. 2209.35 3.45 0.111752 0.0965215 23326 155178 -1 2850 16 1192 3414 168672 39209 0 0 168672 39209 3414 1566 0 0 11147 9146 0 0 15917 12042 0 0 3414 1908 0 0 67074 7404 0 0 67706 7143 0 0 3414 0 0 2222 3782 3806 26944 0 0 6.63024 6.63024 -152.004 -6.63024 0 0 851065. 2944.86 0.28 0.05 0.10 -1 -1 0.28 0.0150087 0.0136425 184 182 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 4.48 vpr 63.34 MiB -1 -1 0.15 20780 12 0.15 -1 -1 35896 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64856 32 32 227 259 1 178 87 17 17 289 -1 unnamed_device 24.9 MiB 0.32 1142 63.3 MiB 0.05 0.00 5.7221 -131.875 -5.7221 5.7221 0.91 0.000181544 0.000149799 0.0108138 0.00918056 28 2996 47 6.55708e+06 277265 500653. 1732.36 1.28 0.0606953 0.052812 21310 115450 -1 2417 14 899 2525 146503 33772 0 0 146503 33772 2525 1342 0 0 8616 6992 0 0 13259 9946 0 0 2525 1581 0 0 60085 6943 0 0 59493 6968 0 0 2525 0 0 1626 2672 3090 19521 0 0 5.8835 5.8835 -148.918 -5.8835 0 0 612192. 2118.31 0.22 0.04 0.07 -1 -1 0.22 0.0125734 0.0115157 140 132 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 5.12 vpr 62.73 MiB -1 -1 0.13 20436 10 0.09 -1 -1 35756 -1 -1 16 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64236 30 32 175 207 1 131 78 17 17 289 -1 unnamed_device 24.3 MiB 0.15 732 62.7 MiB 0.04 0.00 4.61634 -101.866 -4.61634 4.61634 0.76 0.000129888 0.000106516 0.00955374 0.00796775 28 1848 21 6.55708e+06 192880 500653. 1732.36 2.33 0.0564143 0.0487227 21310 115450 -1 1675 13 619 1477 87380 21616 0 0 87380 21616 1477 868 0 0 5235 4212 0 0 7683 5922 0 0 1477 1005 0 0 35823 4668 0 0 35685 4941 0 0 1477 0 0 858 986 1275 8278 0 0 4.88266 4.88266 -120.538 -4.88266 0 0 612192. 2118.31 0.22 0.03 0.07 -1 -1 0.22 0.00742677 0.00680307 91 84 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 6.20 vpr 63.21 MiB -1 -1 0.15 20744 13 0.18 -1 -1 35556 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64732 31 32 231 263 1 184 87 17 17 289 -1 unnamed_device 24.7 MiB 0.37 1138 63.2 MiB 0.05 0.00 6.05818 -122.571 -6.05818 6.05818 0.76 0.000192607 0.000160309 0.0113768 0.0097007 34 2902 21 6.55708e+06 289320 585099. 2024.56 2.83 0.0812394 0.0704123 22462 138074 -1 2476 17 1061 2675 155305 37237 0 0 155305 37237 2675 1505 0 0 9627 7902 0 0 14797 11411 0 0 2675 1751 0 0 61498 7539 0 0 64033 7129 0 0 2675 0 0 1614 1975 2370 16108 0 0 6.05818 6.05818 -141.294 -6.05818 0 0 742403. 2568.87 0.25 0.04 0.13 -1 -1 0.25 0.0125644 0.0114407 144 138 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 4.78 vpr 64.05 MiB -1 -1 0.16 21036 13 0.28 -1 -1 35968 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65592 32 32 304 336 1 224 95 17 17 289 -1 unnamed_device 25.5 MiB 0.40 1479 64.1 MiB 0.04 0.00 6.4825 -130.643 -6.4825 6.4825 0.74 0.000258823 0.00020782 0.00951672 0.0080256 30 3721 32 6.55708e+06 373705 526063. 1820.29 1.15 0.0765902 0.0670606 21886 126133 -1 3095 24 1749 5568 270563 62842 0 0 270563 62842 5568 2546 0 0 17777 14535 0 0 25670 19189 0 0 5568 3119 0 0 109133 11637 0 0 106847 11816 0 0 5568 0 0 3819 7662 6978 48539 0 0 7.3605 7.3605 -157.323 -7.3605 0 0 666494. 2306.21 0.24 0.07 0.08 -1 -1 0.24 0.0206493 0.0185885 211 209 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 5.89 vpr 63.75 MiB -1 -1 0.18 21312 13 0.27 -1 -1 35960 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65276 32 32 288 320 1 221 91 17 17 289 -1 unnamed_device 25.3 MiB 0.40 1482 63.7 MiB 0.04 0.00 6.46824 -139.434 -6.46824 6.46824 0.98 0.000228863 0.000189624 0.00959978 0.00811988 44 3694 18 6.55708e+06 325485 742403. 2568.87 2.05 0.0847787 0.0743296 24478 177802 -1 3042 17 1252 4289 226208 50694 0 0 226208 50694 4289 1644 0 0 13790 11509 0 0 21773 15671 0 0 4289 2166 0 0 90629 9916 0 0 91438 9788 0 0 4289 0 0 3037 5823 6020 39151 0 0 6.6399 6.6399 -151.757 -6.6399 0 0 937218. 3242.97 0.33 0.06 0.12 -1 -1 0.33 0.017593 0.0161428 194 193 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 4.28 vpr 62.65 MiB -1 -1 0.13 20496 9 0.08 -1 -1 35636 -1 -1 24 26 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64156 26 32 152 184 1 120 82 17 17 289 -1 unnamed_device 24.3 MiB 0.19 693 62.7 MiB 0.05 0.00 4.4706 -82.7138 -4.4706 4.4706 0.78 0.000118966 9.7736e-05 0.012085 0.0105324 26 1900 37 6.55708e+06 289320 477104. 1650.88 1.38 0.0407989 0.0355843 21022 109990 -1 1641 14 669 1689 115190 28823 0 0 115190 28823 1689 1000 0 0 5828 4750 0 0 9416 6837 0 0 1689 1137 0 0 48364 7496 0 0 48204 7603 0 0 1689 0 0 1020 1407 1653 10737 0 0 4.4706 4.4706 -94.226 -4.4706 0 0 585099. 2024.56 0.22 0.07 0.07 -1 -1 0.22 0.0130223 0.0123528 87 69 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 5.39 vpr 63.58 MiB -1 -1 0.15 21016 13 0.27 -1 -1 36336 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65104 32 32 287 319 1 210 89 17 17 289 -1 unnamed_device 25.2 MiB 0.30 1415 63.6 MiB 0.06 0.00 6.6419 -132.854 -6.6419 6.6419 0.74 0.000225838 0.000186446 0.0159964 0.0132464 30 3860 40 6.55708e+06 301375 526063. 1820.29 1.99 0.0782975 0.067682 21886 126133 -1 3033 18 1408 4246 209633 49596 0 0 209633 49596 4246 2037 0 0 13650 11393 0 0 19284 14524 0 0 4246 2458 0 0 83433 9731 0 0 84774 9453 0 0 4246 0 0 2838 4767 4862 32623 0 0 6.9633 6.9633 -152.102 -6.9633 0 0 666494. 2306.21 0.30 0.12 0.08 -1 -1 0.30 0.0294893 0.0278782 193 192 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 5.07 vpr 62.43 MiB -1 -1 0.11 20356 8 0.08 -1 -1 35456 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63932 32 32 154 186 1 120 80 17 17 289 -1 unnamed_device 23.9 MiB 0.13 766 62.4 MiB 0.03 0.00 3.37088 -79.3912 -3.37088 3.37088 0.86 0.000121051 9.9959e-05 0.00587401 0.00494641 26 1807 27 6.55708e+06 192880 477104. 1650.88 2.19 0.0547554 0.0473167 21022 109990 -1 1529 16 569 1220 68823 16787 0 0 68823 16787 1220 758 0 0 4145 3224 0 0 6556 4827 0 0 1220 835 0 0 28864 3458 0 0 26818 3685 0 0 1220 0 0 651 573 846 5668 0 0 3.73148 3.73148 -94.3796 -3.73148 0 0 585099. 2024.56 0.22 0.02 0.07 -1 -1 0.22 0.00721403 0.00655178 77 59 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 6.52 vpr 63.45 MiB -1 -1 0.14 20796 15 0.23 -1 -1 35948 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64976 32 32 254 286 1 199 92 17 17 289 -1 unnamed_device 25.0 MiB 0.31 1345 63.5 MiB 0.03 0.00 6.9593 -136.429 -6.9593 6.9593 0.75 0.000217443 0.000182199 0.0077349 0.00667521 36 3189 25 6.55708e+06 337540 612192. 2118.31 3.41 0.117416 0.102441 22750 144809 -1 2734 19 1359 4056 225751 50938 0 0 225751 50938 4056 1882 0 0 13534 10860 0 0 21286 15551 0 0 4056 2275 0 0 90926 10516 0 0 91893 9854 0 0 4056 0 0 2697 4425 4406 29238 0 0 7.2807 7.2807 -153.963 -7.2807 0 0 782063. 2706.10 0.27 0.05 0.09 -1 -1 0.27 0.0155346 0.0140768 165 159 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 4.23 vpr 63.45 MiB -1 -1 0.16 20756 13 0.21 -1 -1 36368 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64976 32 32 260 292 1 207 90 17 17 289 -1 unnamed_device 25.0 MiB 0.42 1203 63.5 MiB 0.07 0.00 6.13718 -133.384 -6.13718 6.13718 0.74 0.000215735 0.000179848 0.0169789 0.0142415 30 2980 27 6.55708e+06 313430 526063. 1820.29 0.94 0.067277 0.0581972 21886 126133 -1 2485 16 1192 3398 162326 38722 0 0 162326 38722 3398 1586 0 0 10914 8785 0 0 15686 11842 0 0 3398 1900 0 0 65320 7222 0 0 63610 7387 0 0 3398 0 0 2206 3733 3782 25959 0 0 6.13718 6.13718 -144.766 -6.13718 0 0 666494. 2306.21 0.24 0.04 0.08 -1 -1 0.24 0.0143526 0.0131209 168 165 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 7.08 vpr 63.61 MiB -1 -1 0.15 20968 13 0.26 -1 -1 36356 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65136 32 32 279 311 1 212 93 17 17 289 -1 unnamed_device 25.0 MiB 0.22 1323 63.6 MiB 0.08 0.00 6.27164 -130.476 -6.27164 6.27164 0.82 0.000240862 0.00019598 0.0233812 0.0196526 36 3223 19 6.55708e+06 349595 612192. 2118.31 3.54 0.154582 0.13375 22750 144809 -1 2769 19 1502 4554 231700 56395 0 0 231700 56395 4554 2105 0 0 15504 12781 0 0 24244 17950 0 0 4554 2686 0 0 90470 10289 0 0 92374 10584 0 0 4554 0 0 3052 4897 6169 36878 0 0 6.5609 6.5609 -149.396 -6.5609 0 0 782063. 2706.10 0.30 0.06 0.17 -1 -1 0.30 0.0168467 0.0152621 187 184 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 7.91 vpr 63.21 MiB -1 -1 0.14 20804 12 0.15 -1 -1 35580 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64724 32 32 238 270 1 189 87 17 17 289 -1 unnamed_device 24.7 MiB 0.29 1185 63.2 MiB 0.04 0.00 5.57998 -122.255 -5.57998 5.57998 0.72 0.000199215 0.000166685 0.00812346 0.00694222 36 2944 31 6.55708e+06 277265 612192. 2118.31 4.54 0.133859 0.115727 22750 144809 -1 2568 15 1077 3049 180119 41368 0 0 180119 41368 3049 1589 0 0 10216 8419 0 0 16039 11803 0 0 3049 1907 0 0 72724 8882 0 0 75042 8768 0 0 3049 0 0 1972 2877 3497 21889 0 0 5.82038 5.82038 -137.12 -5.82038 0 0 782063. 2706.10 0.33 0.10 0.09 -1 -1 0.33 0.0144052 0.0133288 147 143 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 3.82 vpr 63.09 MiB -1 -1 0.14 21084 11 0.14 -1 -1 35836 -1 -1 23 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64604 30 32 213 245 1 165 85 17 17 289 -1 unnamed_device 24.4 MiB 0.18 1000 63.1 MiB 0.06 0.00 5.38078 -112.862 -5.38078 5.38078 0.73 0.000175522 0.000138599 0.0136841 0.0112855 28 2393 25 6.55708e+06 277265 500653. 1732.36 0.95 0.0476955 0.0409003 21310 115450 -1 2360 18 1045 2787 154186 37756 0 0 154186 37756 2787 1520 0 0 9720 7962 0 0 15047 11318 0 0 2787 1753 0 0 61755 7579 0 0 62090 7624 0 0 2787 0 0 1742 2669 3191 19493 0 0 5.93172 5.93172 -134.196 -5.93172 0 0 612192. 2118.31 0.23 0.04 0.08 -1 -1 0.23 0.0119339 0.0108128 131 122 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 8.06 vpr 63.40 MiB -1 -1 0.15 20584 11 0.18 -1 -1 35896 -1 -1 28 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64924 28 32 227 259 1 175 88 17 17 289 -1 unnamed_device 24.9 MiB 0.40 1033 63.4 MiB 0.05 0.00 5.50098 -107.86 -5.50098 5.50098 0.91 0.00017606 0.000144233 0.0130335 0.0108765 26 2936 47 6.55708e+06 337540 477104. 1650.88 4.78 0.129553 0.113996 21022 109990 -1 2552 26 1337 3683 302300 91662 0 0 302300 91662 3683 2059 0 0 13149 10423 0 0 21042 15823 0 0 3683 2367 0 0 130862 31225 0 0 129881 29765 0 0 3683 0 0 2346 3856 4294 26007 0 0 5.59926 5.59926 -127.764 -5.59926 0 0 585099. 2024.56 0.20 0.07 0.07 -1 -1 0.20 0.0156338 0.0139582 150 140 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 5.06 vpr 63.52 MiB -1 -1 0.14 20772 12 0.19 -1 -1 35584 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65044 32 32 274 306 1 206 90 17 17 289 -1 unnamed_device 25.0 MiB 0.23 1294 63.5 MiB 0.03 0.00 5.8417 -130.983 -5.8417 5.8417 0.77 0.000233827 0.000194309 0.00845596 0.0072381 26 3449 34 6.55708e+06 313430 477104. 1650.88 1.91 0.0620065 0.0541617 21022 109990 -1 2989 22 1669 4717 353314 106564 0 0 353314 106564 4717 2802 0 0 16658 13543 0 0 27015 19839 0 0 4717 3320 0 0 149998 33467 0 0 150209 33593 0 0 4717 0 0 3048 4792 4855 30892 0 0 6.5629 6.5629 -157.112 -6.5629 0 0 585099. 2024.56 0.20 0.08 0.07 -1 -1 0.20 0.0169658 0.0152923 181 179 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 10.67 vpr 63.31 MiB -1 -1 0.14 20792 12 0.15 -1 -1 35920 -1 -1 23 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64828 31 32 237 269 1 179 86 17 17 289 -1 unnamed_device 24.9 MiB 0.56 1222 63.3 MiB 0.03 0.00 5.8835 -124.503 -5.8835 5.8835 0.72 0.000196012 0.000155135 0.0073408 0.00614295 26 3513 45 6.55708e+06 277265 477104. 1650.88 7.17 0.11278 0.0979299 21022 109990 -1 2767 22 1105 2977 244510 67239 0 0 244510 67239 2977 1711 0 0 10541 8511 0 0 16877 12437 0 0 2977 1981 0 0 105603 20931 0 0 105535 21668 0 0 2977 0 0 1872 3165 3419 21077 0 0 6.4845 6.4845 -145.368 -6.4845 0 0 585099. 2024.56 0.21 0.07 0.07 -1 -1 0.21 0.0150915 0.0136491 149 144 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 7.83 vpr 63.24 MiB -1 -1 0.15 20716 10 0.14 -1 -1 35860 -1 -1 22 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64760 29 32 220 252 1 163 83 17 17 289 -1 unnamed_device 24.8 MiB 0.17 1054 63.2 MiB 0.05 0.00 4.95846 -103.343 -4.95846 4.95846 0.75 0.000211566 0.000174257 0.0127579 0.0105674 26 2982 31 6.55708e+06 265210 477104. 1650.88 5.02 0.0950257 0.0822455 21022 109990 -1 2398 17 948 2832 171766 39092 0 0 171766 39092 2832 1509 0 0 9817 7906 0 0 15331 11215 0 0 2832 1749 0 0 69890 8488 0 0 71064 8225 0 0 2832 0 0 1884 3628 3664 24558 0 0 5.36286 5.36286 -124.381 -5.36286 0 0 585099. 2024.56 0.22 0.04 0.07 -1 -1 0.22 0.0123126 0.0111699 137 131 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 7.50 vpr 64.04 MiB -1 -1 0.16 21584 13 0.29 -1 -1 36120 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65580 32 32 315 347 1 239 95 17 17 289 -1 unnamed_device 25.6 MiB 0.27 1522 64.0 MiB 0.05 0.00 6.6765 -138.374 -6.6765 6.6765 0.84 0.000266065 0.000217149 0.0123865 0.010454 34 3958 30 6.55708e+06 373705 585099. 2024.56 4.07 0.148897 0.122276 22462 138074 -1 3475 20 1527 4769 286640 65665 0 0 286640 65665 4769 2293 0 0 16969 13805 0 0 27135 20188 0 0 4769 2822 0 0 117442 13047 0 0 115556 13510 0 0 4769 0 0 3242 6668 7420 46597 0 0 6.9587 6.9587 -156.536 -6.9587 0 0 742403. 2568.87 0.37 0.07 0.09 -1 -1 0.37 0.0214797 0.0194166 221 220 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 7.47 vpr 63.70 MiB -1 -1 0.18 21640 14 0.31 -1 -1 36068 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65224 32 32 282 314 1 220 92 17 17 289 -1 unnamed_device 25.3 MiB 0.48 1410 63.7 MiB 0.07 0.00 6.05418 -134.601 -6.05418 6.05418 0.92 0.00022672 0.00018692 0.0172759 0.0144344 38 3320 24 6.55708e+06 337540 638502. 2209.35 3.61 0.15386 0.125123 23326 155178 -1 2814 14 1320 3957 189933 44402 0 0 189933 44402 3957 1733 0 0 12581 10214 0 0 18310 13455 0 0 3957 2204 0 0 74788 8537 0 0 76340 8259 0 0 3957 0 0 2637 3843 4354 29881 0 0 6.25538 6.25538 -150.107 -6.25538 0 0 851065. 2944.86 0.29 0.05 0.10 -1 -1 0.29 0.0154365 0.0141078 191 187 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 4.43 vpr 63.37 MiB -1 -1 0.15 21032 12 0.15 -1 -1 35272 -1 -1 29 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64892 31 32 241 273 1 189 92 17 17 289 -1 unnamed_device 24.9 MiB 0.21 1170 63.4 MiB 0.07 0.00 6.1213 -124.138 -6.1213 6.1213 0.72 0.000182183 0.00015022 0.0156453 0.0130267 30 2908 49 6.55708e+06 349595 526063. 1820.29 1.25 0.067883 0.0587511 21886 126133 -1 2362 17 1008 2814 141212 33040 0 0 141212 33040 2814 1468 0 0 9074 7159 0 0 12965 9761 0 0 2814 1700 0 0 56196 6494 0 0 57349 6458 0 0 2814 0 0 1806 3211 3186 21839 0 0 6.6021 6.6021 -144.962 -6.6021 0 0 666494. 2306.21 0.40 0.08 0.08 -1 -1 0.40 0.0218459 0.0207279 156 148 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 5.04 vpr 63.99 MiB -1 -1 0.18 21276 12 0.26 -1 -1 35848 -1 -1 33 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65528 31 32 307 339 1 235 96 17 17 289 -1 unnamed_device 25.5 MiB 0.49 1506 64.0 MiB 0.06 0.00 6.5197 -135.086 -6.5197 6.5197 0.73 0.000243753 0.000202202 0.0140921 0.0118113 36 3648 17 6.55708e+06 397815 612192. 2118.31 1.57 0.0936013 0.0813804 22750 144809 -1 3241 15 1376 3917 218640 51457 0 0 218640 51457 3917 2009 0 0 13492 11074 0 0 20826 15780 0 0 3917 2405 0 0 85955 10696 0 0 90533 9493 0 0 3917 0 0 2541 4148 4329 27987 0 0 6.8803 6.8803 -155.319 -6.8803 0 0 782063. 2706.10 0.26 0.05 0.09 -1 -1 0.26 0.0159692 0.0145809 218 214 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 12.89 vpr 63.87 MiB -1 -1 0.18 21308 14 0.34 -1 -1 35992 -1 -1 29 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65400 31 32 293 325 1 224 92 17 17 289 -1 unnamed_device 25.4 MiB 0.28 1561 63.9 MiB 0.04 0.00 6.65922 -133.175 -6.65922 6.65922 0.87 0.000246411 0.000206241 0.00971385 0.00829084 28 4049 49 6.55708e+06 349595 500653. 1732.36 9.17 0.138249 0.120318 21310 115450 -1 3635 24 2028 6361 437574 118387 0 0 437574 118387 6361 3489 0 0 21059 17344 0 0 34249 24457 0 0 6361 4105 0 0 180841 35532 0 0 188703 33460 0 0 6361 0 0 4333 8119 8499 52250 0 0 7.61376 7.61376 -164.945 -7.61376 0 0 612192. 2118.31 0.22 0.09 0.07 -1 -1 0.22 0.020444 0.0183268 202 200 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 10.78 vpr 63.57 MiB -1 -1 0.18 21160 13 0.26 -1 -1 36044 -1 -1 28 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65100 31 32 276 308 1 223 91 17 17 289 -1 unnamed_device 25.2 MiB 0.33 1425 63.6 MiB 0.07 0.00 6.4805 -134.563 -6.4805 6.4805 0.73 0.000228948 0.000191421 0.0177044 0.0148255 30 3959 50 6.55708e+06 337540 526063. 1820.29 7.45 0.174372 0.147523 21886 126133 -1 3017 21 1621 4548 226829 52863 0 0 226829 52863 4548 2195 0 0 14578 11958 0 0 21207 15922 0 0 4548 2630 0 0 90930 10038 0 0 91018 10120 0 0 4548 0 0 2927 4107 4491 30407 0 0 6.7601 6.7601 -153.087 -6.7601 0 0 666494. 2306.21 0.25 0.06 0.08 -1 -1 0.25 0.0176777 0.0159849 185 183 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 7.31 vpr 63.40 MiB -1 -1 0.17 21284 13 0.25 -1 -1 36016 -1 -1 26 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64924 31 32 269 301 1 203 89 17 17 289 -1 unnamed_device 24.9 MiB 0.34 1336 63.4 MiB 0.07 0.00 5.83004 -117.199 -5.83004 5.83004 0.85 0.000231127 0.000193234 0.0167933 0.0137765 34 3693 49 6.55708e+06 313430 585099. 2024.56 3.97 0.152731 0.133364 22462 138074 -1 3025 22 1291 4166 333254 109566 0 0 333254 109566 4166 2023 0 0 14340 11872 0 0 23476 17303 0 0 4166 2417 0 0 143856 38191 0 0 143250 37760 0 0 4166 0 0 2875 6223 6338 40300 0 0 6.27164 6.27164 -137.192 -6.27164 0 0 742403. 2568.87 0.25 0.08 0.09 -1 -1 0.25 0.0183206 0.0165598 179 176 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 4.21 vpr 63.53 MiB -1 -1 0.15 20848 12 0.19 -1 -1 36320 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65052 32 32 264 296 1 196 88 17 17 289 -1 unnamed_device 25.1 MiB 0.21 1238 63.5 MiB 0.04 0.00 5.71184 -117.572 -5.71184 5.71184 0.73 0.00021598 0.000180314 0.00974804 0.00821185 28 3540 46 6.55708e+06 289320 500653. 1732.36 1.20 0.0650851 0.0563354 21310 115450 -1 2891 16 1338 3793 229481 53035 0 0 229481 53035 3793 2073 0 0 13161 10664 0 0 20054 15144 0 0 3793 2360 0 0 93554 11509 0 0 95126 11285 0 0 3793 0 0 2455 5039 5138 32719 0 0 5.83204 5.83204 -140.976 -5.83204 0 0 612192. 2118.31 0.21 0.05 0.07 -1 -1 0.21 0.0137563 0.0125117 171 169 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 8.29 vpr 63.91 MiB -1 -1 0.20 21684 14 0.38 -1 -1 36452 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65440 32 32 324 356 1 249 95 17 17 289 -1 unnamed_device 25.5 MiB 0.51 1758 63.9 MiB 0.06 0.00 7.04736 -151.984 -7.04736 7.04736 0.80 0.000288748 0.000233179 0.0160103 0.0134872 36 4502 33 6.55708e+06 373705 612192. 2118.31 4.47 0.127304 0.110358 22750 144809 -1 3796 16 1540 5112 311067 68755 0 0 311067 68755 5112 2371 0 0 17397 14449 0 0 27423 20169 0 0 5112 2858 0 0 128097 14347 0 0 127926 14561 0 0 5112 0 0 3572 8146 8248 51712 0 0 7.40796 7.40796 -170.318 -7.40796 0 0 782063. 2706.10 0.30 0.07 0.09 -1 -1 0.30 0.0189494 0.0172831 230 229 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 12.35 vpr 63.49 MiB -1 -1 0.13 20692 11 0.17 -1 -1 36252 -1 -1 26 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65016 31 32 249 281 1 192 89 17 17 289 -1 unnamed_device 25.1 MiB 0.54 1201 63.5 MiB 0.06 0.00 5.50098 -114.671 -5.50098 5.50098 0.81 0.000205377 0.000170604 0.0154858 0.0129717 32 4263 50 6.55708e+06 313430 554710. 1919.41 8.93 0.150666 0.131125 22174 131602 -1 3055 19 1414 4075 296120 67447 0 0 296120 67447 4075 2403 0 0 14771 12199 0 0 24961 18429 0 0 4075 2802 0 0 121368 16176 0 0 126870 15438 0 0 4075 0 0 2661 5162 5159 31213 0 0 6.01132 6.01132 -140.842 -6.01132 0 0 701300. 2426.64 0.25 0.07 0.09 -1 -1 0.25 0.0172785 0.0158102 163 156 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 11.91 vpr 63.53 MiB -1 -1 0.17 21104 13 0.25 -1 -1 35696 -1 -1 28 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65052 31 32 284 316 1 206 91 17 17 289 -1 unnamed_device 25.1 MiB 0.32 1370 63.5 MiB 0.05 0.00 6.72996 -130.875 -6.72996 6.72996 0.77 0.000240851 0.00019703 0.0122102 0.0103259 28 3680 35 6.55708e+06 337540 500653. 1732.36 8.52 0.184822 0.158169 21310 115450 -1 3184 18 1317 4347 312461 73621 0 0 312461 73621 4347 2082 0 0 14801 12149 0 0 23332 17121 0 0 4347 2461 0 0 133450 20027 0 0 132184 19781 0 0 4347 0 0 3030 7224 7374 45381 0 0 7.21076 7.21076 -154.603 -7.21076 0 0 612192. 2118.31 0.22 0.07 0.07 -1 -1 0.22 0.0165025 0.0149435 193 191 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 5.44 vpr 64.01 MiB -1 -1 0.16 20860 12 0.24 -1 -1 35984 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65548 32 32 303 335 1 222 93 17 17 289 -1 unnamed_device 25.4 MiB 0.42 1525 64.0 MiB 0.06 0.00 5.91304 -126.537 -5.91304 5.91304 0.93 0.000247952 0.000202069 0.0148327 0.0125499 30 3757 38 6.55708e+06 349595 526063. 1820.29 1.84 0.0856854 0.0753945 21886 126133 -1 3267 16 1359 4698 237122 54309 0 0 237122 54309 4698 2050 0 0 15053 12594 0 0 21267 15974 0 0 4698 2503 0 0 96593 10581 0 0 94813 10607 0 0 4698 0 0 3339 6963 7236 47232 0 0 6.39384 6.39384 -146.745 -6.39384 0 0 666494. 2306.21 0.24 0.06 0.08 -1 -1 0.24 0.0167517 0.0153158 210 208 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 5.02 vpr 63.80 MiB -1 -1 0.15 20812 13 0.25 -1 -1 36296 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65336 32 32 272 304 1 203 93 17 17 289 -1 unnamed_device 25.4 MiB 0.24 1366 63.8 MiB 0.04 0.00 6.22784 -133.731 -6.22784 6.22784 0.82 0.000235135 0.000197119 0.0103582 0.00878472 28 3653 38 6.55708e+06 349595 500653. 1732.36 1.73 0.0728742 0.0638075 21310 115450 -1 3089 16 1362 4038 247105 57179 0 0 247105 57179 4038 2158 0 0 14017 11412 0 0 21471 16249 0 0 4038 2543 0 0 100704 12559 0 0 102837 12258 0 0 4038 0 0 2676 4457 4700 30481 0 0 6.79164 6.79164 -155.506 -6.79164 0 0 612192. 2118.31 0.21 0.06 0.13 -1 -1 0.21 0.0155444 0.0141636 183 177 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 6.90 vpr 63.82 MiB -1 -1 0.17 21268 13 0.21 -1 -1 35944 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65356 32 32 271 303 1 212 90 17 17 289 -1 unnamed_device 25.3 MiB 0.28 1383 63.8 MiB 0.07 0.01 6.01698 -132.591 -6.01698 6.01698 0.79 0.000291145 0.00025043 0.0166288 0.0154808 36 3225 19 6.55708e+06 313430 612192. 2118.31 3.62 0.125736 0.112461 22750 144809 -1 2798 15 1144 3365 182862 42387 0 0 182862 42387 3365 1566 0 0 11452 9381 0 0 17550 13022 0 0 3365 1835 0 0 73902 8255 0 0 73228 8328 0 0 3365 0 0 2221 3684 4456 29802 0 0 6.25938 6.25938 -148.408 -6.25938 0 0 782063. 2706.10 0.27 0.05 0.09 -1 -1 0.27 0.0149024 0.0136664 178 176 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 7.34 vpr 63.61 MiB -1 -1 0.18 21012 12 0.24 -1 -1 36088 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65140 32 32 288 320 1 223 94 17 17 289 -1 unnamed_device 25.2 MiB 0.42 1478 63.6 MiB 0.06 0.00 6.02864 -133.293 -6.02864 6.02864 0.72 0.000261721 0.000211504 0.0160662 0.0134241 36 3579 27 6.55708e+06 361650 612192. 2118.31 3.67 0.118413 0.102074 22750 144809 -1 3094 31 1258 4405 447488 185476 0 0 447488 185476 4405 2111 0 0 14786 12048 0 0 25894 18118 0 0 4405 2487 0 0 204048 78464 0 0 193950 72248 0 0 4405 0 0 3147 6944 7235 48281 0 0 6.67144 6.67144 -151.312 -6.67144 0 0 782063. 2706.10 0.27 0.12 0.09 -1 -1 0.27 0.0251434 0.0226228 197 193 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 6.40 vpr 63.86 MiB -1 -1 0.17 21156 13 0.30 -1 -1 35940 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65396 32 32 306 338 1 233 95 17 17 289 -1 unnamed_device 25.2 MiB 0.38 1547 63.9 MiB 0.05 0.00 6.46824 -137.216 -6.46824 6.46824 0.88 0.000248968 0.000206816 0.0128138 0.0108157 36 3972 49 6.55708e+06 373705 612192. 2118.31 2.85 0.124416 0.10954 22750 144809 -1 3297 19 1500 4520 255754 58329 0 0 255754 58329 4520 2053 0 0 15344 12578 0 0 23865 17796 0 0 4520 2654 0 0 101888 11962 0 0 105617 11286 0 0 4520 0 0 3020 5545 5310 36553 0 0 6.70864 6.70864 -154.461 -6.70864 0 0 782063. 2706.10 0.28 0.07 0.09 -1 -1 0.28 0.0214325 0.019573 212 211 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 4.03 vpr 63.73 MiB -1 -1 0.15 20652 14 0.27 -1 -1 36092 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65256 32 32 262 294 1 191 88 17 17 289 -1 unnamed_device 25.2 MiB 0.23 1289 63.7 MiB 0.05 0.00 6.84876 -137.901 -6.84876 6.84876 0.78 0.000223152 0.000179928 0.011676 0.0097324 30 2978 30 6.55708e+06 289320 526063. 1820.29 0.85 0.0577209 0.0499767 21886 126133 -1 2549 19 1180 3684 173859 41555 0 0 173859 41555 3684 1616 0 0 11825 9704 0 0 17097 12823 0 0 3684 1996 0 0 68410 8006 0 0 69159 7410 0 0 3684 0 0 2504 4575 3952 29673 0 0 7.1187 7.1187 -155.252 -7.1187 0 0 666494. 2306.21 0.27 0.05 0.08 -1 -1 0.27 0.016372 0.0148628 168 167 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 8.15 vpr 64.00 MiB -1 -1 0.16 21216 13 0.26 -1 -1 36092 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65532 32 32 291 323 1 224 94 17 17 289 -1 unnamed_device 25.6 MiB 0.40 1499 64.0 MiB 0.04 0.00 6.65216 -135.411 -6.65216 6.65216 0.77 0.000264944 0.000221893 0.0103844 0.00891737 36 3715 18 6.55708e+06 361650 612192. 2118.31 4.38 0.123846 0.107895 22750 144809 -1 3072 16 1370 4064 235755 54307 0 0 235755 54307 4064 2165 0 0 14023 11508 0 0 22005 16320 0 0 4064 2623 0 0 94847 11126 0 0 96752 10565 0 0 4064 0 0 2694 4865 4784 32059 0 0 7.01276 7.01276 -152.652 -7.01276 0 0 782063. 2706.10 0.27 0.07 0.17 -1 -1 0.27 0.0169397 0.0154608 198 196 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 5.33 vpr 63.98 MiB -1 -1 0.19 20816 13 0.27 -1 -1 35988 -1 -1 31 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65516 31 32 302 334 1 235 94 17 17 289 -1 unnamed_device 25.5 MiB 0.25 1434 64.0 MiB 0.05 0.00 6.4799 -135.748 -6.4799 6.4799 0.90 0.0002405 0.000199948 0.0121913 0.0102222 30 3789 40 6.55708e+06 373705 526063. 1820.29 1.87 0.0914736 0.0816888 21886 126133 -1 2995 16 1339 4053 192260 45289 0 0 192260 45289 4053 1814 0 0 13040 10262 0 0 18344 13986 0 0 4053 2191 0 0 74374 8888 0 0 78396 8148 0 0 4053 0 0 2714 4668 4425 33112 0 0 6.8405 6.8405 -154.834 -6.8405 0 0 666494. 2306.21 0.25 0.06 0.08 -1 -1 0.25 0.0178094 0.0162781 213 209 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 5.46 vpr 63.96 MiB -1 -1 0.18 21156 12 0.30 -1 -1 36372 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65492 32 32 308 340 1 238 97 17 17 289 -1 unnamed_device 25.4 MiB 0.26 1589 64.0 MiB 0.07 0.00 6.10964 -134.46 -6.10964 6.10964 0.74 0.000248414 0.000206086 0.0164394 0.0137729 28 4616 49 6.55708e+06 397815 500653. 1732.36 2.26 0.0991639 0.0774112 21310 115450 -1 3785 19 1952 5647 367982 83248 0 0 367982 83248 5647 3198 0 0 19317 15785 0 0 29962 22555 0 0 5647 3800 0 0 152732 19165 0 0 154677 18745 0 0 5647 0 0 3695 6523 6636 39825 0 0 6.71064 6.71064 -160.964 -6.71064 0 0 612192. 2118.31 0.21 0.08 0.07 -1 -1 0.21 0.0191704 0.0173373 216 213 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 7.19 vpr 63.00 MiB -1 -1 0.13 20508 11 0.12 -1 -1 35920 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64516 32 32 216 248 1 160 82 17 17 289 -1 unnamed_device 24.5 MiB 0.23 1043 63.0 MiB 0.04 0.00 5.08892 -106.669 -5.08892 5.08892 0.81 0.000173477 0.000144209 0.00949871 0.00800276 28 2694 21 6.55708e+06 216990 500653. 1732.36 4.28 0.0784055 0.0679007 21310 115450 -1 2476 22 1010 2692 207454 52185 0 0 207454 52185 2692 1487 0 0 9773 8059 0 0 15483 11830 0 0 2692 1733 0 0 89412 14821 0 0 87402 14255 0 0 2692 0 0 1682 2603 3007 18056 0 0 5.16992 5.16992 -128.954 -5.16992 0 0 612192. 2118.31 0.22 0.05 0.08 -1 -1 0.22 0.0135144 0.0122149 125 121 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 6.70 vpr 63.49 MiB -1 -1 0.16 20880 13 0.20 -1 -1 36184 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65012 32 32 254 286 1 194 88 17 17 289 -1 unnamed_device 25.1 MiB 0.32 1283 63.5 MiB 0.06 0.00 6.14684 -133.05 -6.14684 6.14684 0.73 0.000220299 0.000176405 0.0135617 0.0113333 34 3320 43 6.55708e+06 289320 585099. 2024.56 3.34 0.153821 0.136874 22462 138074 -1 2768 17 1142 3312 214170 49388 0 0 214170 49388 3312 1701 0 0 11423 9400 0 0 18515 13539 0 0 3312 2026 0 0 88708 11454 0 0 88900 11268 0 0 3312 0 0 2170 3582 4110 25242 0 0 6.51204 6.51204 -154.079 -6.51204 0 0 742403. 2568.87 0.26 0.05 0.09 -1 -1 0.26 0.0141679 0.0129111 161 159 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 10.74 vpr 64.31 MiB -1 -1 0.17 21880 14 0.43 -1 -1 36368 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65852 32 32 338 370 1 252 97 17 17 289 -1 unnamed_device 25.9 MiB 0.30 1645 64.3 MiB 0.05 0.00 7.13802 -146.534 -7.13802 7.13802 0.92 0.00029633 0.000235363 0.0124958 0.0105459 30 4456 22 6.55708e+06 397815 526063. 1820.29 6.86 0.163083 0.142742 21886 126133 -1 3543 17 1746 5589 265895 62369 0 0 265895 62369 5589 2462 0 0 17891 14546 0 0 25147 19004 0 0 5589 3025 0 0 105512 11879 0 0 106167 11453 0 0 5589 0 0 3843 6602 7070 47314 0 0 7.25822 7.25822 -163.848 -7.25822 0 0 666494. 2306.21 0.23 0.13 0.08 -1 -1 0.23 0.0213305 0.0195304 245 243 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 5.11 vpr 63.72 MiB -1 -1 0.16 21268 13 0.27 -1 -1 36032 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65252 32 32 271 303 1 212 91 17 17 289 -1 unnamed_device 25.1 MiB 0.38 1483 63.7 MiB 0.06 0.00 6.4779 -143.013 -6.4779 6.4779 0.81 0.000221848 0.000184059 0.0130791 0.0111021 34 3594 33 6.55708e+06 325485 585099. 2024.56 1.83 0.099066 0.0864877 22462 138074 -1 3073 19 1271 3623 219127 49872 0 0 219127 49872 3623 1746 0 0 12719 10336 0 0 19791 14784 0 0 3623 2230 0 0 88942 10455 0 0 90429 10321 0 0 3623 0 0 2352 4046 4435 27812 0 0 6.9587 6.9587 -164.728 -6.9587 0 0 742403. 2568.87 0.25 0.05 0.09 -1 -1 0.25 0.016859 0.0152849 178 176 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 3.95 vpr 63.28 MiB -1 -1 0.14 20684 11 0.16 -1 -1 35908 -1 -1 23 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64796 30 32 224 256 1 165 85 17 17 289 -1 unnamed_device 24.8 MiB 0.16 1056 63.3 MiB 0.06 0.00 5.73878 -119.706 -5.73878 5.73878 0.75 0.000177552 0.000146196 0.0158512 0.0134092 28 2775 25 6.55708e+06 277265 500653. 1732.36 1.15 0.066184 0.0589958 21310 115450 -1 2468 32 1073 3198 355253 152529 0 0 355253 152529 3198 1590 0 0 11098 9134 0 0 19042 13558 0 0 3198 1953 0 0 155192 62869 0 0 163525 63425 0 0 3198 0 0 2125 3785 3893 25875 0 0 5.85898 5.85898 -138.609 -5.85898 0 0 612192. 2118.31 0.21 0.09 0.07 -1 -1 0.21 0.0177571 0.0158217 139 133 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 6.83 vpr 64.19 MiB -1 -1 0.20 21832 15 0.52 -1 -1 36360 -1 -1 34 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65732 32 32 351 383 1 268 98 17 17 289 -1 unnamed_device 25.6 MiB 0.28 1738 64.2 MiB 0.05 0.00 7.74667 -152.974 -7.74667 7.74667 0.74 0.000297477 0.000248945 0.0117779 0.0100756 30 5063 36 6.55708e+06 409870 526063. 1820.29 3.08 0.116264 0.101127 21886 126133 -1 3834 21 2167 6871 349929 81654 0 0 349929 81654 6871 3314 0 0 21636 17695 0 0 31590 23182 0 0 6871 4027 0 0 140728 17245 0 0 142233 16191 0 0 6871 0 0 4704 10603 9883 66309 0 0 8.33801 8.33801 -180.174 -8.33801 0 0 666494. 2306.21 0.24 0.09 0.08 -1 -1 0.24 0.0258611 0.0233145 257 256 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 5.25 vpr 63.79 MiB -1 -1 0.16 21292 13 0.32 -1 -1 36512 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65324 32 32 297 329 1 215 92 17 17 289 -1 unnamed_device 25.3 MiB 0.36 1341 63.8 MiB 0.09 0.00 6.89196 -139.203 -6.89196 6.89196 0.75 0.0002566 0.000203925 0.0232741 0.0192601 28 4254 36 6.55708e+06 337540 500653. 1732.36 1.75 0.0933527 0.0818296 21310 115450 -1 3343 18 1520 4419 286833 65708 0 0 286833 65708 4419 2495 0 0 15575 12642 0 0 24094 18147 0 0 4419 2937 0 0 117453 15152 0 0 120873 14335 0 0 4419 0 0 2899 6279 5999 37216 0 0 7.25256 7.25256 -164.774 -7.25256 0 0 612192. 2118.31 0.22 0.06 0.07 -1 -1 0.22 0.0174336 0.0158886 203 202 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 6.51 vpr 63.12 MiB -1 -1 0.13 20344 11 0.12 -1 -1 35776 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64636 32 32 231 263 1 176 86 17 17 289 -1 unnamed_device 24.7 MiB 0.31 1079 63.1 MiB 0.05 0.00 5.16732 -114.648 -5.16732 5.16732 0.73 0.000181439 0.000150339 0.0126694 0.0103961 44 2347 16 6.55708e+06 265210 742403. 2568.87 2.88 0.0932366 0.0809539 24478 177802 -1 2067 13 800 2411 120049 28314 0 0 120049 28314 2411 999 0 0 8107 6627 0 0 11918 9027 0 0 2411 1266 0 0 48486 5084 0 0 46716 5311 0 0 2411 0 0 1611 2341 2729 18920 0 0 5.28752 5.28752 -127.038 -5.28752 0 0 937218. 3242.97 0.31 0.03 0.11 -1 -1 0.31 0.00999787 0.00919023 141 136 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 5.11 vpr 64.10 MiB -1 -1 0.16 20808 12 0.30 -1 -1 36124 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65640 32 32 305 337 1 231 94 17 17 289 -1 unnamed_device 25.4 MiB 0.41 1510 64.1 MiB 0.06 0.00 6.1611 -129.511 -6.1611 6.1611 0.75 0.000271653 0.000229043 0.0158967 0.0135026 30 3914 37 6.55708e+06 361650 526063. 1820.29 1.50 0.090692 0.0777788 21886 126133 -1 3206 21 1475 4612 238152 54354 0 0 238152 54354 4612 2169 0 0 14976 12245 0 0 21383 16113 0 0 4612 2524 0 0 93109 11305 0 0 99460 9998 0 0 4612 0 0 3137 7668 6745 46272 0 0 6.7621 6.7621 -151.217 -6.7621 0 0 666494. 2306.21 0.38 0.13 0.08 -1 -1 0.38 0.0422902 0.040381 213 210 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 6.26 vpr 63.52 MiB -1 -1 0.14 20676 12 0.19 -1 -1 35844 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65048 32 32 243 275 1 186 90 17 17 289 -1 unnamed_device 24.9 MiB 0.38 1168 63.5 MiB 0.05 0.00 6.06844 -127.107 -6.06844 6.06844 0.75 0.000196799 0.000163619 0.0122352 0.0102854 30 2966 18 6.55708e+06 313430 526063. 1820.29 3.00 0.114001 0.0997836 21886 126133 -1 2344 17 1073 3081 139599 34392 0 0 139599 34392 3081 1442 0 0 10062 8175 0 0 13761 10572 0 0 3081 1769 0 0 54547 6246 0 0 55067 6188 0 0 3081 0 0 2008 2646 2835 20272 0 0 6.26964 6.26964 -143.68 -6.26964 0 0 666494. 2306.21 0.24 0.04 0.08 -1 -1 0.24 0.0132598 0.0120735 153 148 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 5.48 vpr 63.09 MiB -1 -1 0.14 20736 12 0.16 -1 -1 36280 -1 -1 21 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64600 30 32 228 260 1 161 83 17 17 289 -1 unnamed_device 24.7 MiB 0.18 980 63.1 MiB 0.05 0.00 5.82944 -117.747 -5.82944 5.82944 0.79 0.000177138 0.000145501 0.0134036 0.0112014 28 2546 17 6.55708e+06 253155 500653. 1732.36 2.44 0.0817925 0.0707109 21310 115450 -1 2298 17 1003 2998 179486 41993 0 0 179486 41993 2998 1615 0 0 10535 8722 0 0 16308 12277 0 0 2998 1962 0 0 73388 8897 0 0 73259 8520 0 0 2998 0 0 1995 3593 3652 24082 0 0 6.31284 6.31284 -135.97 -6.31284 0 0 612192. 2118.31 0.32 0.04 0.07 -1 -1 0.32 0.0121531 0.0110037 140 137 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 7.19 vpr 63.62 MiB -1 -1 0.17 21112 12 0.27 -1 -1 35968 -1 -1 31 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65152 29 32 275 307 1 206 92 17 17 289 -1 unnamed_device 25.2 MiB 0.22 1347 63.6 MiB 0.04 0.00 5.58198 -108.434 -5.58198 5.58198 1.09 0.000275234 0.000225718 0.00994539 0.00842896 34 3720 40 6.55708e+06 373705 585099. 2024.56 3.50 0.131841 0.114603 22462 138074 -1 3102 17 1349 4380 268326 60818 0 0 268326 60818 4380 1963 0 0 15231 12792 0 0 24539 18164 0 0 4380 2394 0 0 109732 13022 0 0 110064 12483 0 0 4380 0 0 3031 7161 6512 43640 0 0 6.06278 6.06278 -127.467 -6.06278 0 0 742403. 2568.87 0.27 0.07 0.11 -1 -1 0.27 0.0188533 0.017319 191 186 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 5.96 vpr 64.16 MiB -1 -1 0.16 21044 13 0.31 -1 -1 35952 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65704 32 32 330 362 1 256 97 17 17 289 -1 unnamed_device 25.6 MiB 0.53 1596 64.2 MiB 0.04 0.00 7.25056 -149.828 -7.25056 7.25056 0.72 0.000267013 0.000222029 0.0101514 0.00860811 36 3911 26 6.55708e+06 397815 612192. 2118.31 2.40 0.100696 0.0879353 22750 144809 -1 3482 16 1693 4708 261342 61550 0 0 261342 61550 4708 2404 0 0 16416 13329 0 0 24917 19042 0 0 4708 2948 0 0 106012 11707 0 0 104581 12120 0 0 4708 0 0 3015 5334 5557 34076 0 0 7.28976 7.28976 -165.294 -7.28976 0 0 782063. 2706.10 0.27 0.06 0.10 -1 -1 0.27 0.0184293 0.0163062 238 235 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 9.03 vpr 63.90 MiB -1 -1 0.16 21296 12 0.23 -1 -1 36088 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65432 32 32 290 322 1 220 96 17 17 289 -1 unnamed_device 25.4 MiB 0.42 1327 63.9 MiB 0.07 0.00 6.2023 -126.011 -6.2023 6.2023 0.71 0.000240114 0.000199308 0.0164006 0.0137479 30 3545 50 6.55708e+06 385760 526063. 1820.29 5.62 0.174173 0.141262 21886 126133 -1 3026 22 1654 4977 261027 60807 0 0 261027 60807 4977 2317 0 0 15946 13133 0 0 23738 17491 0 0 4977 2863 0 0 104472 12739 0 0 106917 12264 0 0 4977 0 0 3323 6222 5889 41707 0 0 6.6831 6.6831 -150.205 -6.6831 0 0 666494. 2306.21 0.24 0.07 0.08 -1 -1 0.24 0.0198966 0.0178928 200 195 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 6.22 vpr 63.29 MiB -1 -1 0.13 20768 12 0.15 -1 -1 36236 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64808 32 32 214 246 1 164 84 17 17 289 -1 unnamed_device 24.7 MiB 0.50 1135 63.3 MiB 0.06 0.00 5.60752 -119.408 -5.60752 5.60752 0.96 0.000175937 0.00014009 0.0132069 0.0111485 38 2360 35 6.55708e+06 241100 638502. 2209.35 2.65 0.0984056 0.0849676 23326 155178 -1 2108 15 773 2249 112107 25925 0 0 112107 25925 2249 1013 0 0 7290 5935 0 0 10509 7935 0 0 2249 1233 0 0 45387 4919 0 0 44423 4890 0 0 2249 0 0 1476 2012 2356 16189 0 0 5.70018 5.70018 -129.905 -5.70018 0 0 851065. 2944.86 0.27 0.03 0.10 -1 -1 0.27 0.0103482 0.00944388 126 119 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 10.96 vpr 63.49 MiB -1 -1 0.15 21152 12 0.20 -1 -1 35724 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65016 31 32 244 276 1 182 87 17 17 289 -1 unnamed_device 24.9 MiB 0.26 1177 63.5 MiB 0.12 0.00 5.89878 -117.754 -5.89878 5.89878 0.82 0.000201606 0.000167996 0.0315715 0.0249236 28 3413 20 6.55708e+06 289320 500653. 1732.36 7.70 0.126317 0.109461 21310 115450 -1 2965 17 1261 3870 248044 55702 0 0 248044 55702 3870 2095 0 0 13111 10970 0 0 20704 15173 0 0 3870 2472 0 0 102234 12750 0 0 104255 12242 0 0 3870 0 0 2609 5141 5130 31448 0 0 6.38218 6.38218 -142.189 -6.38218 0 0 612192. 2118.31 0.23 0.06 0.08 -1 -1 0.23 0.0134314 0.0122168 154 151 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 6.59 vpr 63.58 MiB -1 -1 0.16 21068 11 0.19 -1 -1 36160 -1 -1 30 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65104 30 32 276 308 1 210 92 17 17 289 -1 unnamed_device 25.0 MiB 0.13 1415 63.6 MiB 0.06 0.00 5.55244 -111.164 -5.55244 5.55244 0.90 0.000221711 0.000182919 0.0148733 0.0122552 36 3551 38 6.55708e+06 361650 612192. 2118.31 3.37 0.1046 0.0903357 22750 144809 -1 3033 15 1185 3909 240327 52116 0 0 240327 52116 3909 1816 0 0 13142 10586 0 0 20295 14994 0 0 3909 2316 0 0 98369 11302 0 0 100703 11102 0 0 3909 0 0 2724 6035 6673 40205 0 0 6.03324 6.03324 -130.897 -6.03324 0 0 782063. 2706.10 0.27 0.05 0.09 -1 -1 0.27 0.0141838 0.0129649 190 185 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 4.22 vpr 63.45 MiB -1 -1 0.15 21000 11 0.19 -1 -1 35948 -1 -1 27 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64976 28 32 253 285 1 181 87 17 17 289 -1 unnamed_device 25.1 MiB 0.14 1110 63.5 MiB 0.05 0.00 5.44692 -100.796 -5.44692 5.44692 0.92 0.000206351 0.0001665 0.0139467 0.0117626 30 2623 18 6.55708e+06 325485 526063. 1820.29 0.95 0.0566031 0.0493521 21886 126133 -1 2280 18 1020 3554 175410 40919 0 0 175410 40919 3554 1442 0 0 11539 9406 0 0 16768 12694 0 0 3554 1767 0 0 69997 7908 0 0 69998 7702 0 0 3554 0 0 2534 5205 5130 36941 0 0 5.56712 5.56712 -114.298 -5.56712 0 0 666494. 2306.21 0.24 0.05 0.08 -1 -1 0.24 0.0148287 0.0135345 172 166 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 6.37 vpr 63.23 MiB -1 -1 0.14 21008 13 0.21 -1 -1 36012 -1 -1 25 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64744 30 32 235 267 1 174 87 17 17 289 -1 unnamed_device 24.8 MiB 0.27 1100 63.2 MiB 0.03 0.00 6.0017 -114.095 -6.0017 6.0017 0.78 0.00019914 0.000166256 0.00699365 0.00597973 32 2963 42 6.55708e+06 301375 554710. 1919.41 3.02 0.112321 0.0871596 22174 131602 -1 2628 20 1244 3851 239055 54942 0 0 239055 54942 3851 2014 0 0 13464 11065 0 0 23121 16747 0 0 3851 2419 0 0 96349 11474 0 0 98419 11223 0 0 3851 0 0 2607 4832 5082 31130 0 0 6.8431 6.8431 -140.608 -6.8431 0 0 701300. 2426.64 0.23 0.05 0.08 -1 -1 0.23 0.0136268 0.01228 148 144 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 4.46 vpr 63.61 MiB -1 -1 0.16 21068 12 0.18 -1 -1 35916 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65140 32 32 264 296 1 207 92 17 17 289 -1 unnamed_device 25.1 MiB 0.28 1297 63.6 MiB 0.06 0.00 5.8417 -128.186 -5.8417 5.8417 0.76 0.000234917 0.000195048 0.015354 0.0129243 30 3404 29 6.55708e+06 337540 526063. 1820.29 1.25 0.0674293 0.0584664 21886 126133 -1 2578 19 1204 3367 170750 40875 0 0 170750 40875 3367 1668 0 0 11061 8824 0 0 15542 11911 0 0 3367 1925 0 0 68996 8040 0 0 68417 8507 0 0 3367 0 0 2163 3377 3653 24370 0 0 6.3225 6.3225 -152.119 -6.3225 0 0 666494. 2306.21 0.23 0.05 0.08 -1 -1 0.23 0.0151606 0.0137409 174 169 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 7.06 vpr 63.69 MiB -1 -1 0.14 20608 13 0.28 -1 -1 36192 -1 -1 27 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65216 31 32 278 310 1 202 90 17 17 289 -1 unnamed_device 25.1 MiB 0.38 1311 63.7 MiB 0.04 0.00 6.88936 -133.054 -6.88936 6.88936 0.79 0.000247111 0.000208716 0.00884665 0.00759515 26 3509 28 6.55708e+06 325485 477104. 1650.88 3.71 0.153572 0.13228 21022 109990 -1 2986 17 1494 4465 302671 66808 0 0 302671 66808 4465 2354 0 0 15456 12505 0 0 24974 18035 0 0 4465 2708 0 0 125532 15609 0 0 127779 15597 0 0 4465 0 0 2971 6741 6351 38266 0 0 7.1619 7.1619 -153.476 -7.1619 0 0 585099. 2024.56 0.21 0.07 0.07 -1 -1 0.21 0.0162495 0.0147901 187 185 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 4.72 vpr 63.80 MiB -1 -1 0.16 21604 14 0.24 -1 -1 36524 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65332 32 32 290 322 1 214 92 17 17 289 -1 unnamed_device 25.4 MiB 0.34 1361 63.8 MiB 0.07 0.00 6.8411 -135.771 -6.8411 6.8411 0.82 0.000241337 0.000200767 0.018812 0.0159086 30 3219 23 6.55708e+06 337540 526063. 1820.29 1.17 0.0761345 0.0661699 21886 126133 -1 2571 18 1258 3591 167579 40606 0 0 167579 40606 3591 1662 0 0 11802 9680 0 0 16130 12524 0 0 3591 1991 0 0 66121 7482 0 0 66344 7267 0 0 3591 0 0 2333 4503 4109 30288 0 0 7.22102 7.22102 -153.928 -7.22102 0 0 666494. 2306.21 0.24 0.05 0.08 -1 -1 0.24 0.0176873 0.0161823 196 195 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 6.73 vpr 63.70 MiB -1 -1 0.17 21292 14 0.23 -1 -1 36392 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65224 32 32 269 301 1 199 89 17 17 289 -1 unnamed_device 25.1 MiB 0.34 1146 63.7 MiB 0.05 0.00 6.30884 -127.798 -6.30884 6.30884 0.78 0.000294977 0.000257142 0.0117871 0.010026 44 2628 16 6.55708e+06 301375 742403. 2568.87 3.33 0.121781 0.104141 24478 177802 -1 2237 17 966 3059 147476 34989 0 0 147476 34989 3059 1264 0 0 10115 8033 0 0 15299 11546 0 0 3059 1585 0 0 56985 6512 0 0 58959 6049 0 0 3059 0 0 2093 4069 3924 28101 0 0 6.62824 6.62824 -140.844 -6.62824 0 0 937218. 3242.97 0.32 0.05 0.11 -1 -1 0.32 0.0162273 0.0148204 175 174 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 5.29 vpr 63.69 MiB -1 -1 0.17 21340 13 0.32 -1 -1 35956 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65216 32 32 296 328 1 221 93 17 17 289 -1 unnamed_device 25.2 MiB 0.27 1422 63.7 MiB 0.04 0.00 6.76916 -133.677 -6.76916 6.76916 0.73 0.000239851 0.000199199 0.00975546 0.00829298 34 3957 41 6.55708e+06 349595 585099. 2024.56 1.86 0.0843218 0.0733488 22462 138074 -1 3164 22 1449 4555 255784 59489 0 0 255784 59489 4555 2059 0 0 15750 12817 0 0 24951 18281 0 0 4555 2584 0 0 102962 11767 0 0 103011 11981 0 0 4555 0 0 3106 5369 5911 38393 0 0 7.21076 7.21076 -153.757 -7.21076 0 0 742403. 2568.87 0.32 0.07 0.09 -1 -1 0.32 0.0198045 0.0178974 205 201 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 4.34 vpr 63.32 MiB -1 -1 0.15 20752 13 0.19 -1 -1 36260 -1 -1 24 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64840 30 32 234 266 1 186 86 17 17 289 -1 unnamed_device 24.8 MiB 0.45 1247 63.3 MiB 0.04 0.00 6.22784 -128.097 -6.22784 6.22784 0.79 0.000183092 0.000151224 0.0101336 0.00855248 28 3166 19 6.55708e+06 289320 500653. 1732.36 1.15 0.0515198 0.04499 21310 115450 -1 2734 18 1119 2939 175351 41044 0 0 175351 41044 2939 1643 0 0 10372 8433 0 0 16028 12208 0 0 2939 1914 0 0 72599 8292 0 0 70474 8554 0 0 2939 0 0 1820 2678 3251 19954 0 0 6.57618 6.57618 -147.311 -6.57618 0 0 612192. 2118.31 0.22 0.04 0.07 -1 -1 0.22 0.0128828 0.0116659 147 143 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 7.28 vpr 63.96 MiB -1 -1 0.17 21656 13 0.42 -1 -1 35996 -1 -1 32 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65492 30 32 291 323 1 232 94 17 17 289 -1 unnamed_device 25.4 MiB 0.31 1415 64.0 MiB 0.04 0.00 6.73256 -132.364 -6.73256 6.73256 1.11 0.00025282 0.000212573 0.00984499 0.00837697 38 3313 26 6.55708e+06 385760 638502. 2209.35 3.42 0.121742 0.105825 23326 155178 -1 2841 17 1375 3895 181233 43375 0 0 181233 43375 3895 1728 0 0 12485 10275 0 0 17850 13418 0 0 3895 2164 0 0 72415 7785 0 0 70693 8005 0 0 3895 0 0 2520 3587 4145 27333 0 0 6.85276 6.85276 -150.315 -6.85276 0 0 851065. 2944.86 0.28 0.05 0.13 -1 -1 0.28 0.0167447 0.0152968 203 200 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 11.58 vpr 63.57 MiB -1 -1 0.18 21048 14 0.30 -1 -1 36256 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65092 32 32 274 306 1 210 91 17 17 289 -1 unnamed_device 25.2 MiB 0.44 1358 63.6 MiB 0.05 0.00 6.61036 -137.215 -6.61036 6.61036 0.81 0.000228209 0.000188612 0.0131314 0.0111559 28 3743 41 6.55708e+06 325485 500653. 1732.36 8.02 0.1472 0.131925 21310 115450 -1 3246 17 1356 4555 280833 62610 0 0 280833 62610 4555 2329 0 0 15335 12842 0 0 24753 17790 0 0 4555 2780 0 0 113296 13759 0 0 118339 13110 0 0 4555 0 0 3199 7651 7782 47447 0 0 7.0815 7.0815 -164.229 -7.0815 0 0 612192. 2118.31 0.21 0.06 0.12 -1 -1 0.21 0.0167332 0.0152482 181 179 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 5.22 vpr 63.52 MiB -1 -1 0.18 21064 13 0.22 -1 -1 36104 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65048 31 32 266 298 1 204 88 17 17 289 -1 unnamed_device 25.1 MiB 0.28 1349 63.5 MiB 0.05 0.00 6.42704 -130.579 -6.42704 6.42704 0.79 0.000221909 0.000183969 0.0117179 0.00986219 36 3232 45 6.55708e+06 301375 612192. 2118.31 1.86 0.0812571 0.0709642 22750 144809 -1 2860 18 1253 3728 244643 62255 0 0 244643 62255 3728 1839 0 0 12696 10463 0 0 20191 14850 0 0 3728 2221 0 0 101721 16513 0 0 102579 16369 0 0 3728 0 0 2475 5007 5153 32791 0 0 6.75044 6.75044 -149.025 -6.75044 0 0 782063. 2706.10 0.26 0.06 0.09 -1 -1 0.26 0.0161921 0.0148005 175 173 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 7.25 vpr 63.42 MiB -1 -1 0.18 21576 13 0.21 -1 -1 36392 -1 -1 27 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64944 30 32 266 298 1 204 89 17 17 289 -1 unnamed_device 24.9 MiB 0.36 1263 63.4 MiB 0.07 0.00 6.1611 -115.224 -6.1611 6.1611 0.71 0.000214136 0.000177152 0.0180111 0.0151782 28 4458 44 6.55708e+06 325485 500653. 1732.36 3.62 0.0833739 0.0719831 21310 115450 -1 3087 18 1364 3946 280851 64700 0 0 280851 64700 3946 2177 0 0 13765 11242 0 0 21116 15852 0 0 3946 2495 0 0 119887 16842 0 0 118191 16092 0 0 3946 0 0 2582 5726 5564 34890 0 0 6.7621 6.7621 -142.592 -6.7621 0 0 612192. 2118.31 0.28 0.07 0.08 -1 -1 0.28 0.0160962 0.0146257 178 175 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 7.96 vpr 63.92 MiB -1 -1 0.16 21248 14 0.35 -1 -1 35948 -1 -1 37 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65456 32 32 310 342 1 238 101 17 17 289 -1 unnamed_device 25.3 MiB 0.34 1520 63.9 MiB 0.05 0.00 6.7601 -141.653 -6.7601 6.7601 0.78 0.000313564 0.000259059 0.0121042 0.0103377 34 3986 47 6.55708e+06 446035 585099. 2024.56 4.48 0.180666 0.157349 22462 138074 -1 3266 18 1553 4360 237894 55812 0 0 237894 55812 4360 2185 0 0 15135 12135 0 0 23640 17720 0 0 4360 2701 0 0 96171 10281 0 0 94228 10790 0 0 4360 0 0 2807 4387 4716 30378 0 0 7.0005 7.0005 -159.347 -7.0005 0 0 742403. 2568.87 0.26 0.06 0.10 -1 -1 0.26 0.0185423 0.0168295 218 215 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 4.32 vpr 63.77 MiB -1 -1 0.18 21288 11 0.26 -1 -1 35972 -1 -1 29 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65304 29 32 262 294 1 203 90 17 17 289 -1 unnamed_device 25.2 MiB 0.51 1295 63.8 MiB 0.04 0.00 5.61152 -113.195 -5.61152 5.61152 0.72 0.000218588 0.000181088 0.00954352 0.0081167 30 3090 27 6.55708e+06 349595 526063. 1820.29 0.97 0.0601764 0.0526665 21886 126133 -1 2719 17 1217 3570 180828 42150 0 0 180828 42150 3570 1697 0 0 11449 9444 0 0 16296 12203 0 0 3570 2079 0 0 71401 8618 0 0 74542 8109 0 0 3570 0 0 2353 4184 4018 29427 0 0 6.07244 6.07244 -131.907 -6.07244 0 0 666494. 2306.21 0.23 0.05 0.08 -1 -1 0.23 0.014979 0.0136277 177 173 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 8.23 vpr 63.31 MiB -1 -1 0.12 21004 13 0.15 -1 -1 36092 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64828 32 32 222 254 1 180 88 17 17 289 -1 unnamed_device 24.9 MiB 0.29 1208 63.3 MiB 0.05 0.00 5.77918 -133.225 -5.77918 5.77918 0.80 0.000177806 0.000146954 0.011426 0.00953205 28 3240 40 6.55708e+06 289320 500653. 1732.36 5.22 0.102003 0.0885969 21310 115450 -1 2643 19 1112 2924 189467 43344 0 0 189467 43344 2924 1683 0 0 10402 8611 0 0 16063 12209 0 0 2924 1998 0 0 78124 9744 0 0 79030 9099 0 0 2924 0 0 1812 2680 2963 18715 0 0 6.45858 6.45858 -161.378 -6.45858 0 0 612192. 2118.31 0.22 0.06 0.07 -1 -1 0.22 0.0204318 0.0191479 138 127 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 8.78 vpr 63.58 MiB -1 -1 0.16 21104 14 0.23 -1 -1 36116 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65108 32 32 267 299 1 205 92 17 17 289 -1 unnamed_device 25.0 MiB 0.59 1338 63.6 MiB 0.06 0.00 6.6771 -139.917 -6.6771 6.6771 0.75 0.000224775 0.000177446 0.0120468 0.0101831 36 3625 41 6.55708e+06 337540 612192. 2118.31 5.30 0.155435 0.130918 22750 144809 -1 2867 18 1384 4271 234622 54973 0 0 234622 54973 4271 2032 0 0 14233 11779 0 0 22848 16651 0 0 4271 2497 0 0 94891 11023 0 0 94108 10991 0 0 4271 0 0 2887 4738 5699 34975 0 0 6.9593 6.9593 -155.917 -6.9593 0 0 782063. 2706.10 0.27 0.06 0.09 -1 -1 0.27 0.0160575 0.0146482 179 172 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 6.11 vpr 64.34 MiB -1 -1 0.16 21732 15 0.41 -1 -1 36000 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65888 32 32 334 366 1 263 97 17 17 289 -1 unnamed_device 25.8 MiB 0.26 1709 64.3 MiB 0.04 0.00 7.46001 -156.735 -7.46001 7.46001 0.85 0.000323365 0.000267116 0.0115729 0.00998745 30 4803 25 6.55708e+06 397815 526063. 1820.29 2.59 0.0742298 0.0652236 21886 126133 -1 3726 16 1727 5171 270315 61770 0 0 270315 61770 5171 2559 0 0 16539 13637 0 0 23394 17555 0 0 5171 3036 0 0 107830 12830 0 0 112210 12153 0 0 5171 0 0 3444 6249 6246 40440 0 0 7.70041 7.70041 -177.392 -7.70041 0 0 666494. 2306.21 0.23 0.06 0.08 -1 -1 0.23 0.018827 0.0172291 241 239 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 5.53 vpr 63.10 MiB -1 -1 0.14 21128 11 0.15 -1 -1 35812 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64616 32 32 220 252 1 157 86 17 17 289 -1 unnamed_device 24.7 MiB 0.37 1028 63.1 MiB 0.03 0.00 5.42258 -113.307 -5.42258 5.42258 0.74 0.000175412 0.000145213 0.00742743 0.00626775 26 3132 43 6.55708e+06 265210 477104. 1650.88 2.31 0.0536132 0.0465364 21022 109990 -1 2437 21 1090 3408 222195 49819 0 0 222195 49819 3408 1807 0 0 11704 9659 0 0 19133 13743 0 0 3408 2147 0 0 92717 11159 0 0 91825 11304 0 0 3408 0 0 2318 4861 5017 30514 0 0 5.94258 5.94258 -138.46 -5.94258 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.0128724 0.0116055 129 125 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 5.20 vpr 63.18 MiB -1 -1 0.14 20444 12 0.17 -1 -1 35904 -1 -1 26 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64692 31 32 244 276 1 193 89 17 17 289 -1 unnamed_device 24.8 MiB 0.28 1205 63.2 MiB 0.04 0.00 6.08312 -125.639 -6.08312 6.08312 0.74 0.0001962 0.000162562 0.00872672 0.00739603 36 3049 50 6.55708e+06 313430 612192. 2118.31 2.06 0.0872514 0.0758278 22750 144809 -1 2474 13 1139 3168 177094 42481 0 0 177094 42481 3168 1647 0 0 10796 8886 0 0 16907 12535 0 0 3168 2006 0 0 71207 8915 0 0 71848 8492 0 0 3168 0 0 2029 3122 3484 22335 0 0 6.45798 6.45798 -142.396 -6.45798 0 0 782063. 2706.10 0.26 0.04 0.09 -1 -1 0.26 0.0117648 0.0108236 156 151 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 6.21 vpr 63.84 MiB -1 -1 0.17 21024 12 0.30 -1 -1 35972 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65368 32 32 300 332 1 237 96 17 17 289 -1 unnamed_device 25.2 MiB 0.30 1469 63.8 MiB 0.06 0.00 5.9201 -131.593 -5.9201 5.9201 0.74 0.000252231 0.000210015 0.014511 0.0122786 36 3566 19 6.55708e+06 385760 612192. 2118.31 2.98 0.134754 0.117122 22750 144809 -1 3173 18 1479 4453 239924 55960 0 0 239924 55960 4453 2025 0 0 15069 12380 0 0 23250 17277 0 0 4453 2570 0 0 95202 10905 0 0 97497 10803 0 0 4453 0 0 2974 5231 5804 36696 0 0 6.19264 6.19264 -146.836 -6.19264 0 0 782063. 2706.10 0.27 0.06 0.09 -1 -1 0.27 0.0189436 0.0172534 213 205 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 12.11 vpr 63.65 MiB -1 -1 0.17 20916 12 0.22 -1 -1 36216 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65180 32 32 271 303 1 211 90 17 17 289 -1 unnamed_device 25.0 MiB 0.50 1389 63.7 MiB 0.05 0.00 6.2813 -131.805 -6.2813 6.2813 0.73 0.000209982 0.000173118 0.0114537 0.00961558 34 3895 50 6.55708e+06 313430 585099. 2024.56 8.70 0.181324 0.160261 22462 138074 -1 3214 17 1277 3927 245571 55243 0 0 245571 55243 3927 1967 0 0 13876 11246 0 0 21866 16278 0 0 3927 2381 0 0 98372 12188 0 0 103603 11183 0 0 3927 0 0 2650 5350 5121 32992 0 0 6.6027 6.6027 -152.739 -6.6027 0 0 742403. 2568.87 0.26 0.06 0.09 -1 -1 0.26 0.0155901 0.0141789 181 176 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 6.45 vpr 64.25 MiB -1 -1 0.16 21596 14 0.44 -1 -1 36256 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65788 32 32 327 359 1 242 95 17 17 289 -1 unnamed_device 25.6 MiB 0.48 1671 64.2 MiB 0.07 0.00 7.09622 -146.756 -7.09622 7.09622 0.80 0.000267549 0.000220796 0.0180785 0.0150903 38 4060 26 6.55708e+06 373705 638502. 2209.35 2.42 0.113826 0.0988633 23326 155178 -1 3585 18 1644 5404 291277 65478 0 0 291277 65478 5404 2274 0 0 17240 14424 0 0 25601 18737 0 0 5404 3015 0 0 119133 13364 0 0 118495 13664 0 0 5404 0 0 3760 5819 6553 43908 0 0 7.57702 7.57702 -168.842 -7.57702 0 0 851065. 2944.86 0.30 0.16 0.10 -1 -1 0.30 0.0264033 0.0243354 234 232 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 6.08 vpr 63.41 MiB -1 -1 0.15 21156 12 0.22 -1 -1 36012 -1 -1 25 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64928 30 32 246 278 1 191 87 17 17 289 -1 unnamed_device 24.9 MiB 0.40 1284 63.4 MiB 0.07 0.00 6.01898 -117.232 -6.01898 6.01898 0.74 0.000205803 0.00017058 0.0178813 0.0149815 30 3219 44 6.55708e+06 301375 526063. 1820.29 2.92 0.119187 0.103384 21886 126133 -1 2613 19 1118 3517 170629 39829 0 0 170629 39829 3517 1584 0 0 11207 9146 0 0 16068 11986 0 0 3517 1971 0 0 69099 7437 0 0 67221 7705 0 0 3517 0 0 2399 3779 3838 29125 0 0 6.15344 6.15344 -130.759 -6.15344 0 0 666494. 2306.21 0.22 0.04 0.08 -1 -1 0.22 0.0144646 0.0130804 160 155 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 9.12 vpr 63.11 MiB -1 -1 0.14 20600 11 0.18 -1 -1 35528 -1 -1 26 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64628 27 32 219 251 1 163 85 17 17 289 -1 unnamed_device 24.7 MiB 0.27 900 63.1 MiB 0.03 0.00 5.51064 -100.013 -5.51064 5.51064 0.75 0.000182391 0.000150255 0.00775877 0.00657195 26 3055 32 6.55708e+06 313430 477104. 1650.88 5.97 0.101395 0.0888747 21022 109990 -1 2444 18 1145 3511 221980 53075 0 0 221980 53075 3511 2013 0 0 12244 10143 0 0 19553 14123 0 0 3511 2386 0 0 90715 12508 0 0 92446 11902 0 0 3511 0 0 2366 4919 4806 28664 0 0 6.23384 6.23384 -126.881 -6.23384 0 0 585099. 2024.56 0.21 0.05 0.07 -1 -1 0.21 0.0129754 0.0117218 140 134 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 12.58 vpr 64.32 MiB -1 -1 0.20 21488 13 0.43 -1 -1 36072 -1 -1 40 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65864 32 32 380 412 1 282 104 17 17 289 -1 unnamed_device 26.2 MiB 0.41 1950 64.3 MiB 0.16 0.00 6.8013 -139.508 -6.8013 6.8013 0.74 0.000315913 0.000254533 0.0282422 0.0235846 34 5513 40 6.55708e+06 482200 585099. 2024.56 8.69 0.20551 0.177312 22462 138074 -1 4309 21 2029 6332 380678 86989 0 0 380678 86989 6332 3046 0 0 21810 17723 0 0 33762 25215 0 0 6332 3653 0 0 154610 18968 0 0 157832 18384 0 0 6332 0 0 4303 9189 9010 58038 0 0 7.18944 7.18944 -163.758 -7.18944 0 0 742403. 2568.87 0.26 0.09 0.09 -1 -1 0.26 0.0261152 0.0237112 286 285 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 8.63 vpr 63.54 MiB -1 -1 0.17 20804 14 0.24 -1 -1 35988 -1 -1 28 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65060 31 32 277 309 1 210 91 17 17 289 -1 unnamed_device 24.9 MiB 0.19 1332 63.5 MiB 0.05 0.00 6.88996 -136.389 -6.88996 6.88996 0.76 0.000255081 0.00021515 0.0118117 0.0100796 28 3813 40 6.55708e+06 337540 500653. 1732.36 5.28 0.11062 0.0960745 21310 115450 -1 3268 19 1406 3805 229560 53204 0 0 229560 53204 3805 2045 0 0 13218 10693 0 0 20071 15091 0 0 3805 2464 0 0 95748 11259 0 0 92913 11652 0 0 3805 0 0 2399 4226 4368 27300 0 0 7.2409 7.2409 -160.42 -7.2409 0 0 612192. 2118.31 0.25 0.06 0.07 -1 -1 0.25 0.0228965 0.0213826 188 184 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 4.19 vpr 63.35 MiB -1 -1 0.14 20976 12 0.15 -1 -1 35932 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64868 32 32 229 261 1 178 91 17 17 289 -1 unnamed_device 24.8 MiB 0.30 1244 63.3 MiB 0.04 0.00 5.95024 -133.449 -5.95024 5.95024 0.77 0.000184759 0.000152237 0.00943684 0.00799662 28 3061 37 6.55708e+06 325485 500653. 1732.36 1.17 0.0600713 0.0524664 21310 115450 -1 2665 16 1017 2860 203513 48266 0 0 203513 48266 2860 1521 0 0 9824 7842 0 0 14939 11262 0 0 2860 1796 0 0 86748 13182 0 0 86282 12663 0 0 2860 0 0 1843 3329 3090 20928 0 0 6.07044 6.07044 -146.716 -6.07044 0 0 612192. 2118.31 0.22 0.05 0.07 -1 -1 0.22 0.0124664 0.0113842 145 134 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 5.59 vpr 63.68 MiB -1 -1 0.16 21268 13 0.29 -1 -1 36036 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65208 32 32 263 295 1 201 90 17 17 289 -1 unnamed_device 25.2 MiB 0.58 1287 63.7 MiB 0.04 0.00 6.3623 -131.885 -6.3623 6.3623 0.74 0.000220528 0.000183516 0.0104958 0.00889471 28 3871 45 6.55708e+06 313430 500653. 1732.36 1.85 0.0736988 0.06374 21310 115450 -1 2953 20 1420 4197 247051 56664 0 0 247051 56664 4197 2231 0 0 14378 11815 0 0 22451 16623 0 0 4197 2592 0 0 103023 11428 0 0 98805 11975 0 0 4197 0 0 2777 5016 5488 34050 0 0 6.4825 6.4825 -150.535 -6.4825 0 0 612192. 2118.31 0.37 0.08 0.07 -1 -1 0.37 0.0171489 0.0155248 169 168 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 5.55 vpr 64.05 MiB -1 -1 0.17 21656 13 0.34 -1 -1 36596 -1 -1 35 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65588 31 32 321 353 1 256 98 17 17 289 -1 unnamed_device 25.5 MiB 0.30 1670 64.1 MiB 0.06 0.00 6.6399 -137.993 -6.6399 6.6399 0.75 0.00026047 0.000214443 0.0161341 0.0135248 34 4432 45 6.55708e+06 421925 585099. 2024.56 1.89 0.107516 0.0939701 22462 138074 -1 3501 16 1601 4831 281884 64487 0 0 281884 64487 4831 2401 0 0 16699 13549 0 0 26807 19630 0 0 4831 2892 0 0 113422 13151 0 0 115294 12864 0 0 4831 0 0 3230 5884 6540 41353 0 0 7.0815 7.0815 -159.3 -7.0815 0 0 742403. 2568.87 0.33 0.18 0.09 -1 -1 0.33 0.0485959 0.0469603 233 228 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 7.23 vpr 63.67 MiB -1 -1 0.16 21052 11 0.23 -1 -1 35960 -1 -1 31 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65200 30 32 287 319 1 212 93 17 17 289 -1 unnamed_device 25.3 MiB 0.23 1371 63.7 MiB 0.06 0.00 5.55444 -107.668 -5.55444 5.55444 0.94 0.000304772 0.000258641 0.0151031 0.0126847 36 3512 29 6.55708e+06 373705 612192. 2118.31 3.68 0.111692 0.0972936 22750 144809 -1 3064 21 1325 4719 274033 61299 0 0 274033 61299 4719 2138 0 0 15874 13276 0 0 25390 18519 0 0 4719 2612 0 0 107843 13118 0 0 115488 11636 0 0 4719 0 0 3394 7303 7068 48232 0 0 5.86358 5.86358 -126.982 -5.86358 0 0 782063. 2706.10 0.27 0.11 0.09 -1 -1 0.27 0.0183463 0.0166105 199 196 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 5.21 vpr 64.03 MiB -1 -1 0.17 21332 15 0.32 -1 -1 35700 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65568 32 32 296 328 1 223 93 17 17 289 -1 unnamed_device 25.3 MiB 0.47 1573 64.0 MiB 0.05 0.00 7.25622 -151.279 -7.25622 7.25622 0.82 0.000251364 0.000202219 0.0120329 0.0101589 30 3820 25 6.55708e+06 349595 526063. 1820.29 1.39 0.0825737 0.0734867 21886 126133 -1 3141 18 1374 4261 210021 48715 0 0 210021 48715 4261 1868 0 0 13733 11230 0 0 19382 14699 0 0 4261 2236 0 0 85400 9212 0 0 82984 9470 0 0 4261 0 0 2887 5200 5523 36621 0 0 7.40596 7.40596 -169.898 -7.40596 0 0 666494. 2306.21 0.23 0.05 0.14 -1 -1 0.23 0.0179458 0.0163814 202 201 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 6.18 vpr 63.76 MiB -1 -1 0.18 21520 13 0.32 -1 -1 36152 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65292 32 32 285 317 1 224 94 17 17 289 -1 unnamed_device 25.3 MiB 0.42 1476 63.8 MiB 0.05 0.00 6.6765 -142.241 -6.6765 6.6765 0.72 0.000231989 0.000191993 0.0134737 0.0112553 28 4515 46 6.55708e+06 361650 500653. 1732.36 2.51 0.079209 0.0689689 21310 115450 -1 3523 68 1680 5212 1126482 602435 0 0 1126482 602435 5212 2706 0 0 18110 14931 0 0 34479 23747 0 0 5212 3133 0 0 541142 297634 0 0 522327 260284 0 0 5212 0 0 3532 8213 8481 49156 0 0 7.3193 7.3193 -167.349 -7.3193 0 0 612192. 2118.31 0.24 0.33 0.07 -1 -1 0.24 0.0510493 0.0450852 194 190 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 8.09 vpr 63.25 MiB -1 -1 0.15 20948 12 0.19 -1 -1 35780 -1 -1 29 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64768 29 32 239 271 1 189 90 17 17 289 -1 unnamed_device 24.7 MiB 0.44 1204 63.2 MiB 0.05 0.00 6.0801 -125.534 -6.0801 6.0801 0.85 0.00020199 0.000169429 0.0110521 0.0093602 28 3315 32 6.55708e+06 349595 500653. 1732.36 4.75 0.0918791 0.0792959 21310 115450 -1 2742 21 1411 3824 219340 52670 0 0 219340 52670 3824 2138 0 0 13405 11244 0 0 20853 15805 0 0 3824 2543 0 0 88428 10535 0 0 89006 10405 0 0 3824 0 0 2413 3284 3649 23710 0 0 6.3623 6.3623 -145.817 -6.3623 0 0 612192. 2118.31 0.22 0.05 0.08 -1 -1 0.22 0.0154317 0.0139175 157 150 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 6.27 vpr 63.17 MiB -1 -1 0.15 21044 11 0.14 -1 -1 35864 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64684 32 32 235 267 1 176 85 17 17 289 -1 unnamed_device 24.8 MiB 0.20 952 63.2 MiB 0.07 0.00 5.54018 -111.263 -5.54018 5.54018 0.85 0.000195254 0.000156722 0.0158612 0.0131513 36 2759 36 6.55708e+06 253155 612192. 2118.31 3.15 0.101109 0.0872259 22750 144809 -1 2151 21 1100 2854 172028 44552 0 0 172028 44552 2854 1521 0 0 9921 7995 0 0 15001 11356 0 0 2854 1847 0 0 66891 11480 0 0 74507 10353 0 0 2854 0 0 1754 2776 2575 18109 0 0 6.10198 6.10198 -131.403 -6.10198 0 0 782063. 2706.10 0.27 0.05 0.09 -1 -1 0.27 0.0131285 0.0118065 145 140 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 5.92 vpr 63.81 MiB -1 -1 0.15 20824 13 0.31 -1 -1 35964 -1 -1 29 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65340 31 32 294 326 1 226 92 17 17 289 -1 unnamed_device 25.3 MiB 0.46 1439 63.8 MiB 0.07 0.00 6.4387 -131.153 -6.4387 6.4387 0.86 0.000240842 0.000200154 0.018264 0.0153441 38 3664 21 6.55708e+06 349595 638502. 2209.35 2.37 0.118989 0.104961 23326 155178 -1 3080 16 1423 4594 229534 52406 0 0 229534 52406 4594 1972 0 0 14824 12234 0 0 21160 15924 0 0 4594 2579 0 0 89989 10281 0 0 94373 9416 0 0 4594 0 0 3171 6393 6037 42411 0 0 7.0005 7.0005 -150.542 -7.0005 0 0 851065. 2944.86 0.28 0.06 0.10 -1 -1 0.28 0.0170828 0.0156654 203 201 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 5.93 vpr 63.16 MiB -1 -1 0.15 20656 10 0.16 -1 -1 35976 -1 -1 24 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64672 29 32 219 251 1 164 85 17 17 289 -1 unnamed_device 24.7 MiB 0.17 913 63.2 MiB 0.06 0.00 5.09292 -99.2405 -5.09292 5.09292 0.81 0.000174348 0.000143518 0.0151806 0.0126816 32 2693 49 6.55708e+06 289320 554710. 1919.41 2.87 0.101351 0.081126 22174 131602 -1 2163 16 1002 2913 186223 45805 0 0 186223 45805 2913 1455 0 0 10290 8532 0 0 17441 12701 0 0 2913 1671 0 0 74293 10729 0 0 78373 10717 0 0 2913 0 0 1911 3556 3937 25031 0 0 5.21312 5.21312 -116.495 -5.21312 0 0 701300. 2426.64 0.25 0.05 0.08 -1 -1 0.25 0.0132591 0.0121589 137 130 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 8.37 vpr 63.33 MiB -1 -1 0.14 20924 14 0.19 -1 -1 35860 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64848 32 32 239 271 1 186 88 17 17 289 -1 unnamed_device 24.7 MiB 0.47 1158 63.3 MiB 0.06 0.00 6.58503 -138.344 -6.58503 6.58503 0.75 0.0002004 0.000166585 0.0150807 0.0126757 28 3375 29 6.55708e+06 289320 500653. 1732.36 4.92 0.108001 0.093781 21310 115450 -1 2854 17 1150 3265 231676 57353 0 0 231676 57353 3265 1846 0 0 11251 9283 0 0 17702 13125 0 0 3265 2132 0 0 97862 15754 0 0 98331 15213 0 0 3265 0 0 2115 3847 4295 25336 0 0 7.18603 7.18603 -164.362 -7.18603 0 0 612192. 2118.31 0.22 0.05 0.07 -1 -1 0.22 0.0139388 0.0127335 146 144 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 4.72 vpr 63.60 MiB -1 -1 0.17 20832 13 0.25 -1 -1 36360 -1 -1 30 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65124 31 32 266 298 1 208 93 17 17 289 -1 unnamed_device 25.0 MiB 0.32 1220 63.6 MiB 0.07 0.00 5.98744 -129.966 -5.98744 5.98744 0.79 0.000236246 0.000194202 0.0165387 0.0137536 30 3354 36 6.55708e+06 361650 526063. 1820.29 1.00 0.072248 0.0627516 21886 126133 -1 2646 27 1325 3620 252953 85054 0 0 252953 85054 3620 1842 0 0 11915 9677 0 0 17213 13063 0 0 3620 2127 0 0 108976 28719 0 0 107609 29626 0 0 3620 0 0 2295 3582 3202 24767 0 0 6.34804 6.34804 -148.761 -6.34804 0 0 666494. 2306.21 0.24 0.07 0.08 -1 -1 0.24 0.0193216 0.0173868 180 173 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 7.47 vpr 63.32 MiB -1 -1 0.14 20952 12 0.13 -1 -1 36260 -1 -1 26 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64840 31 32 225 257 1 178 89 17 17 289 -1 unnamed_device 24.9 MiB 0.36 1163 63.3 MiB 0.03 0.00 5.32992 -116.93 -5.32992 5.32992 0.73 0.000190308 0.000159311 0.00791281 0.00677837 28 2860 20 6.55708e+06 313430 500653. 1732.36 4.10 0.0999433 0.088254 21310 115450 -1 2478 14 918 2316 137811 31875 0 0 137811 31875 2316 1296 0 0 8024 6415 0 0 12014 9159 0 0 2316 1494 0 0 57132 6765 0 0 56009 6746 0 0 2316 0 0 1398 2170 2463 15351 0 0 5.60952 5.60952 -135.349 -5.60952 0 0 612192. 2118.31 0.22 0.04 0.07 -1 -1 0.22 0.0110927 0.0101736 138 132 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 6.15 vpr 63.52 MiB -1 -1 0.16 21032 12 0.19 -1 -1 35940 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65044 32 32 288 320 1 216 90 17 17 289 -1 unnamed_device 24.9 MiB 0.28 1459 63.5 MiB 0.06 0.00 5.87384 -126.375 -5.87384 5.87384 0.72 0.000229656 0.000191197 0.0148504 0.0124767 34 3625 50 6.55708e+06 313430 585099. 2024.56 2.58 0.122405 0.108294 22462 138074 -1 3145 25 1532 5098 618008 252593 0 0 618008 252593 5098 2418 0 0 17952 14870 0 0 31473 22048 0 0 5098 2904 0 0 281998 109313 0 0 276389 101040 0 0 5098 0 0 3566 8613 9326 55949 0 0 6.30518 6.30518 -148.763 -6.30518 0 0 742403. 2568.87 0.41 0.29 0.12 -1 -1 0.41 0.0213635 0.0193 195 193 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 6.08 vpr 64.00 MiB -1 -1 0.18 21380 13 0.27 -1 -1 36352 -1 -1 29 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65540 31 32 282 314 1 222 92 17 17 289 -1 unnamed_device 25.5 MiB 0.77 1296 64.0 MiB 0.05 0.00 6.3205 -129.242 -6.3205 6.3205 0.75 0.000247167 0.000206412 0.0134871 0.0113935 36 3341 21 6.55708e+06 349595 612192. 2118.31 2.17 0.0905766 0.0785147 22750 144809 -1 2828 16 1340 4167 214246 51277 0 0 214246 51277 4167 1947 0 0 14181 11650 0 0 21355 16103 0 0 4167 2390 0 0 82435 9957 0 0 87941 9230 0 0 4167 0 0 2827 5452 5832 37708 0 0 6.94904 6.94904 -146.048 -6.94904 0 0 782063. 2706.10 0.26 0.05 0.09 -1 -1 0.26 0.0160684 0.0146233 193 189 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 8.00 vpr 63.13 MiB -1 -1 0.16 20700 11 0.18 -1 -1 35820 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64648 32 32 233 265 1 183 89 17 17 289 -1 unnamed_device 24.7 MiB 0.35 1116 63.1 MiB 0.05 0.00 5.45012 -119.477 -5.45012 5.45012 0.81 0.000184807 0.000151862 0.012631 0.0104505 28 3127 42 6.55708e+06 301375 500653. 1732.36 4.01 0.0966174 0.0832887 21310 115450 -1 2656 53 1514 4775 1107028 630022 0 0 1107028 630022 4775 2687 0 0 15773 13105 0 0 32119 21653 0 0 4775 3174 0 0 535241 312176 0 0 514345 277227 0 0 4775 0 0 3261 6801 6875 39272 0 0 5.96246 5.96246 -141.317 -5.96246 0 0 612192. 2118.31 0.23 0.43 0.07 -1 -1 0.23 0.0338907 0.03062 148 138 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 9.29 vpr 63.40 MiB -1 -1 0.14 20612 13 0.20 -1 -1 35948 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64920 32 32 254 286 1 196 88 17 17 289 -1 unnamed_device 24.8 MiB 0.28 1165 63.4 MiB 0.04 0.00 6.30884 -128.988 -6.30884 6.30884 0.93 0.000206766 0.000171675 0.0110589 0.00859547 30 3189 32 6.55708e+06 289320 526063. 1820.29 5.65 0.120551 0.104093 21886 126133 -1 2497 15 1182 3472 165304 41856 0 0 165304 41856 3472 1772 0 0 11366 9446 0 0 16244 12392 0 0 3472 2064 0 0 66747 8211 0 0 64003 7971 0 0 3472 0 0 2290 3957 3752 26665 0 0 6.7229 6.7229 -153.272 -6.7229 0 0 666494. 2306.21 0.24 0.04 0.08 -1 -1 0.24 0.0126367 0.011551 164 159 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 5.80 vpr 63.68 MiB -1 -1 0.15 21020 13 0.24 -1 -1 36584 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65204 32 32 285 317 1 216 92 17 17 289 -1 unnamed_device 25.3 MiB 0.65 1369 63.7 MiB 0.07 0.00 6.3969 -138.761 -6.3969 6.3969 0.73 0.000225285 0.000186606 0.0172773 0.0145818 30 3609 22 6.55708e+06 337540 526063. 1820.29 1.84 0.0924526 0.0689391 21886 126133 -1 3049 20 1431 4073 227355 52692 0 0 227355 52692 4073 2027 0 0 13181 10714 0 0 19069 14290 0 0 4073 2495 0 0 93632 11659 0 0 93327 11507 0 0 4073 0 0 2642 4502 4574 29535 0 0 7.1181 7.1181 -162.212 -7.1181 0 0 666494. 2306.21 0.37 0.08 0.12 -1 -1 0.37 0.0362344 0.0343712 193 190 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 6.61 vpr 63.39 MiB -1 -1 0.17 21280 11 0.19 -1 -1 36044 -1 -1 27 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64908 29 32 243 275 1 185 88 17 17 289 -1 unnamed_device 24.8 MiB 0.18 1096 63.4 MiB 0.07 0.00 5.22078 -100.831 -5.22078 5.22078 0.92 0.000194605 0.000161021 0.0161007 0.0135542 38 2508 16 6.55708e+06 325485 638502. 2209.35 3.33 0.0939003 0.0812608 23326 155178 -1 2197 16 1027 3112 154094 35956 0 0 154094 35956 3112 1314 0 0 10132 8235 0 0 14952 11145 0 0 3112 1638 0 0 62101 6707 0 0 60685 6917 0 0 3112 0 0 2085 3792 3773 27466 0 0 5.62318 5.62318 -115.353 -5.62318 0 0 851065. 2944.86 0.29 0.04 0.10 -1 -1 0.29 0.0130633 0.0118927 160 154 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 6.41 vpr 64.10 MiB -1 -1 0.17 21808 14 0.33 -1 -1 36700 -1 -1 35 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65636 32 32 318 350 1 251 99 17 17 289 -1 unnamed_device 25.6 MiB 0.36 1594 64.1 MiB 0.05 0.00 7.1971 -154.531 -7.1971 7.1971 0.93 0.000291798 0.000240596 0.0114288 0.00960809 30 4574 45 6.55708e+06 421925 526063. 1820.29 2.59 0.0911756 0.0801462 21886 126133 -1 3534 22 1723 5450 404851 118452 0 0 404851 118452 5450 2539 0 0 17217 14239 0 0 26296 18987 0 0 5450 3117 0 0 177426 40891 0 0 173012 38679 0 0 5450 0 0 3727 7597 7023 49279 0 0 7.32956 7.32956 -175.632 -7.32956 0 0 666494. 2306.21 0.24 0.10 0.08 -1 -1 0.24 0.0244758 0.0221313 224 223 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 5.32 vpr 63.34 MiB -1 -1 0.14 20436 12 0.13 -1 -1 36236 -1 -1 28 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64864 31 32 222 254 1 184 91 17 17 289 -1 unnamed_device 24.9 MiB 0.29 1173 63.3 MiB 0.05 0.00 5.61918 -122.422 -5.61918 5.61918 0.79 0.000183761 0.000153147 0.0105454 0.00900137 34 2849 38 6.55708e+06 337540 585099. 2024.56 1.84 0.0631404 0.0546619 22462 138074 -1 2501 30 1037 2768 372339 176359 0 0 372339 176359 2768 1566 0 0 9762 7991 0 0 17397 12697 0 0 2768 1897 0 0 166408 76523 0 0 173236 75685 0 0 2768 0 0 1731 2878 2887 18618 0 0 5.85958 5.85958 -139.292 -5.85958 0 0 742403. 2568.87 0.26 0.10 0.09 -1 -1 0.26 0.0172853 0.0154627 138 129 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 5.68 vpr 63.68 MiB -1 -1 0.16 21712 13 0.29 -1 -1 36552 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65208 32 32 282 314 1 218 89 17 17 289 -1 unnamed_device 25.3 MiB 0.42 1324 63.7 MiB 0.08 0.00 6.6373 -131.168 -6.6373 6.6373 0.99 0.000230069 0.000190605 0.0221043 0.0188554 30 3872 41 6.55708e+06 301375 526063. 1820.29 2.00 0.103751 0.0912041 21886 126133 -1 2840 18 1353 4144 194474 46892 0 0 194474 46892 4144 1831 0 0 13368 11011 0 0 19007 14332 0 0 4144 2199 0 0 77679 8666 0 0 76132 8853 0 0 4144 0 0 2791 5628 5222 37333 0 0 6.7575 6.7575 -149.194 -6.7575 0 0 666494. 2306.21 0.26 0.06 0.08 -1 -1 0.26 0.0183729 0.0168197 189 187 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 4.98 vpr 63.47 MiB -1 -1 0.16 21116 13 0.18 -1 -1 36128 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64992 32 32 238 270 1 186 90 17 17 289 -1 unnamed_device 24.9 MiB 0.31 1225 63.5 MiB 0.05 0.00 6.2003 -133.674 -6.2003 6.2003 0.95 0.000187185 0.000155247 0.0125624 0.0105499 28 3068 31 6.55708e+06 313430 500653. 1732.36 1.51 0.0843612 0.0763859 21310 115450 -1 2607 16 1084 2919 162448 38234 0 0 162448 38234 2919 1535 0 0 10065 7948 0 0 15267 11448 0 0 2919 1822 0 0 66042 7746 0 0 65236 7735 0 0 2919 0 0 1835 2561 2754 18941 0 0 6.5217 6.5217 -156.658 -6.5217 0 0 612192. 2118.31 0.26 0.05 0.08 -1 -1 0.26 0.0129529 0.0118681 151 143 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 5.43 vpr 63.72 MiB -1 -1 0.17 21012 12 0.19 -1 -1 36488 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65252 32 32 269 301 1 199 90 17 17 289 -1 unnamed_device 25.2 MiB 0.24 1321 63.7 MiB 0.05 0.00 5.99144 -129.522 -5.99144 5.99144 0.77 0.000230049 0.000191623 0.0121932 0.0100162 28 3775 48 6.55708e+06 313430 500653. 1732.36 2.16 0.0859892 0.0761267 21310 115450 -1 3091 36 1666 5893 664966 262512 0 0 664966 262512 5893 2956 0 0 19028 15504 0 0 33768 22646 0 0 5893 3660 0 0 300211 114102 0 0 300173 103644 0 0 5893 0 0 4227 11262 9860 62376 0 0 6.11164 6.11164 -144.847 -6.11164 0 0 612192. 2118.31 0.22 0.16 0.07 -1 -1 0.22 0.0266684 0.0238519 176 174 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 6.96 vpr 64.35 MiB -1 -1 0.19 21532 15 0.45 -1 -1 36188 -1 -1 36 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65896 32 32 350 382 1 272 100 17 17 289 -1 unnamed_device 25.8 MiB 0.26 1872 64.4 MiB 0.05 0.00 7.1187 -144.806 -7.1187 7.1187 0.82 0.000368028 0.000305824 0.01417 0.0121686 36 4807 50 6.55708e+06 433980 612192. 2118.31 2.91 0.152159 0.135802 22750 144809 -1 4048 25 2068 6838 434126 116881 0 0 434126 116881 6838 2934 0 0 22709 19225 0 0 36038 26253 0 0 6838 3724 0 0 183003 32751 0 0 178700 31994 0 0 6838 0 0 4770 10975 11528 69487 0 0 7.44976 7.44976 -167.034 -7.44976 0 0 782063. 2706.10 0.44 0.11 0.09 -1 -1 0.44 0.0283441 0.0256445 256 255 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 4.65 vpr 62.73 MiB -1 -1 0.13 20472 10 0.07 -1 -1 35660 -1 -1 18 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64240 30 32 172 204 1 134 80 17 17 289 -1 unnamed_device 24.2 MiB 0.10 707 62.7 MiB 0.07 0.00 4.60046 -100.678 -4.60046 4.60046 0.74 0.000139944 0.000109711 0.0100372 0.00830525 26 2682 44 6.55708e+06 216990 477104. 1650.88 1.57 0.0454125 0.0390886 21022 109990 -1 1826 32 1018 2511 238206 83633 0 0 238206 83633 2511 1593 0 0 8403 6996 0 0 14837 10369 0 0 2511 1811 0 0 106183 31687 0 0 103761 31177 0 0 2511 0 0 1493 2250 2311 14723 0 0 4.88206 4.88206 -118.932 -4.88206 0 0 585099. 2024.56 0.21 0.06 0.07 -1 -1 0.21 0.0125655 0.0111141 90 81 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 5.07 vpr 63.34 MiB -1 -1 0.14 20884 13 0.19 -1 -1 35576 -1 -1 25 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64856 30 32 228 260 1 171 87 17 17 289 -1 unnamed_device 24.8 MiB 0.16 1113 63.3 MiB 0.04 0.00 5.93658 -124.205 -5.93658 5.93658 0.74 0.000183386 0.000151952 0.0104553 0.00883017 28 3078 43 6.55708e+06 301375 500653. 1732.36 2.04 0.0742627 0.0667873 21310 115450 -1 2615 24 1219 3600 265809 85449 0 0 265809 85449 3600 1983 0 0 12318 10073 0 0 19639 14513 0 0 3600 2466 0 0 113394 28230 0 0 113258 28184 0 0 3600 0 0 2381 3559 3665 23785 0 0 6.48812 6.48812 -145.217 -6.48812 0 0 612192. 2118.31 0.22 0.07 0.07 -1 -1 0.22 0.0155354 0.0139063 143 137 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 4.59 vpr 63.43 MiB -1 -1 0.15 20996 12 0.18 -1 -1 35856 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64952 32 32 264 296 1 204 88 17 17 289 -1 unnamed_device 25.0 MiB 0.26 1277 63.4 MiB 0.06 0.00 5.98744 -129.532 -5.98744 5.98744 0.78 0.000220102 0.000181592 0.0144551 0.0115263 28 3303 44 6.55708e+06 289320 500653. 1732.36 1.46 0.0723853 0.0622213 21310 115450 -1 2974 18 1351 3611 205907 48375 0 0 205907 48375 3611 1948 0 0 12310 10098 0 0 18648 14060 0 0 3611 2280 0 0 85129 9770 0 0 82598 10219 0 0 3611 0 0 2260 3545 3839 24443 0 0 6.32612 6.32612 -151.211 -6.32612 0 0 612192. 2118.31 0.22 0.05 0.07 -1 -1 0.22 0.0145342 0.0131732 171 169 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 5.35 vpr 62.88 MiB -1 -1 0.14 20528 9 0.12 -1 -1 35912 -1 -1 22 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64392 25 32 183 215 1 140 79 17 17 289 -1 unnamed_device 24.5 MiB 0.16 872 62.9 MiB 0.04 0.00 4.52146 -85.4086 -4.52146 4.52146 0.85 0.000150454 0.000124768 0.00863314 0.00715583 28 2278 24 6.55708e+06 265210 500653. 1732.36 2.40 0.0673454 0.057772 21310 115450 -1 1992 14 801 2286 129893 30962 0 0 129893 30962 2286 1198 0 0 7890 6440 0 0 12142 9143 0 0 2286 1393 0 0 53230 6148 0 0 52059 6640 0 0 2286 0 0 1485 2286 2771 17126 0 0 4.76186 4.76186 -98.4897 -4.76186 0 0 612192. 2118.31 0.22 0.03 0.07 -1 -1 0.22 0.00891635 0.00815052 111 102 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 7.76 vpr 63.80 MiB -1 -1 0.18 21124 12 0.26 -1 -1 35984 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65332 32 32 300 332 1 233 97 17 17 289 -1 unnamed_device 25.3 MiB 0.37 1505 63.8 MiB 0.13 0.00 6.23184 -132.341 -6.23184 6.23184 0.80 0.000332577 0.000276309 0.0134052 0.0116491 36 3877 29 6.55708e+06 397815 612192. 2118.31 4.11 0.148021 0.130039 22750 144809 -1 3257 20 1461 4297 285453 70560 0 0 285453 70560 4297 2088 0 0 15042 12430 0 0 23156 17760 0 0 4297 2633 0 0 117926 18080 0 0 120735 17569 0 0 4297 0 0 2836 4810 5207 32472 0 0 6.6439 6.6439 -154.349 -6.6439 0 0 782063. 2706.10 0.31 0.12 0.09 -1 -1 0.31 0.023023 0.021359 212 205 -1 -1 -1 -1 - fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 5.05 vpr 63.71 MiB -1 -1 0.18 21376 13 0.31 -1 -1 36368 -1 -1 30 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65244 31 32 290 322 1 226 93 17 17 289 -1 unnamed_device 25.2 MiB 0.31 1471 63.7 MiB 0.04 0.00 6.6791 -139.471 -6.6791 6.6791 0.79 0.000262568 0.000211692 0.00857009 0.00741597 36 3822 30 6.55708e+06 361650 612192. 2118.31 1.58 0.0777982 0.067899 22750 144809 -1 3296 17 1370 4369 241767 55556 0 0 241767 55556 4369 2155 0 0 14596 11860 0 0 22682 16769 0 0 4369 2578 0 0 96270 11201 0 0 99481 10993 0 0 4369 0 0 2999 5579 6233 39726 0 0 7.3193 7.3193 -160.988 -7.3193 0 0 782063. 2706.10 0.26 0.06 0.09 -1 -1 0.26 0.0180247 0.0165009 200 197 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 5.39 vpr 63.84 MiB -1 -1 0.12 20836 1 0.02 -1 -1 33180 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65368 32 32 354 285 1 202 96 17 17 289 -1 unnamed_device 25.4 MiB 0.21 1200 63.8 MiB 0.12 0.00 4.42712 -134.27 -4.42712 4.42712 0.87 0.000170194 0.000139481 0.0219427 0.0192088 30 2396 22 6.64007e+06 401856 526063. 1820.29 2.40 0.0997693 0.0861582 22546 126617 -1 2102 19 1157 1856 103576 23894 0 0 103576 23894 1856 1281 0 0 6186 4824 0 0 7998 6395 0 0 1856 1371 0 0 44200 4829 0 0 41480 5194 0 0 1856 0 0 699 765 863 6381 0 0 3.94328 3.94328 -142.514 -3.94328 0 0 666494. 2306.21 0.25 0.03 0.08 -1 -1 0.25 0.0118 0.0106535 154 47 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.01 vpr 63.97 MiB -1 -1 0.13 20876 1 0.02 -1 -1 33192 -1 -1 24 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65504 30 32 363 293 1 194 86 17 17 289 -1 unnamed_device 25.4 MiB 0.29 990 64.0 MiB 0.11 0.00 3.79642 -119.207 -3.79642 3.79642 0.95 0.000218872 0.000184044 0.0230217 0.0191556 32 2334 19 6.64007e+06 301392 554710. 1919.41 0.90 0.059751 0.0517906 22834 132086 -1 2109 21 1707 2564 155191 37557 0 0 155191 37557 2564 2025 0 0 8991 7567 0 0 14556 10622 0 0 2564 2100 0 0 62033 7974 0 0 64483 7269 0 0 2564 0 0 857 851 726 6712 0 0 4.07249 4.07249 -140.018 -4.07249 0 0 701300. 2426.64 0.25 0.04 0.08 -1 -1 0.25 0.012184 0.0109199 139 58 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 3.65 vpr 63.79 MiB -1 -1 0.11 21128 1 0.01 -1 -1 33152 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65320 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 25.3 MiB 0.19 1042 63.8 MiB 0.12 0.00 3.51556 -105.741 -3.51556 3.51556 0.77 0.000181245 0.000152675 0.0446618 0.0430956 26 2655 25 6.64007e+06 288834 477104. 1650.88 0.86 0.0760571 0.0702722 21682 110474 -1 2326 22 1356 1888 142307 32988 0 0 142307 32988 1888 1612 0 0 6936 5783 0 0 10722 8309 0 0 1888 1733 0 0 59617 7981 0 0 61256 7570 0 0 1888 0 0 532 489 605 4768 0 0 3.78583 3.78583 -124.701 -3.78583 0 0 585099. 2024.56 0.22 0.04 0.07 -1 -1 0.22 0.0115542 0.0103495 126 26 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 5.56 vpr 63.47 MiB -1 -1 0.12 20616 1 0.01 -1 -1 33324 -1 -1 27 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64996 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 25.1 MiB 0.04 857 63.5 MiB 0.05 0.00 3.62076 -98.2027 -3.62076 3.62076 0.75 0.000144128 0.000117735 0.00840783 0.00701563 32 2042 23 6.64007e+06 339066 554710. 1919.41 2.69 0.0759701 0.0648951 22834 132086 -1 1668 20 1313 2430 143353 33997 0 0 143353 33997 2430 1640 0 0 8416 6904 0 0 13407 9776 0 0 2430 1772 0 0 55643 7367 0 0 61027 6538 0 0 2430 0 0 1117 1238 1348 9523 0 0 3.73183 3.73183 -116.38 -3.73183 0 0 701300. 2426.64 0.29 0.04 0.08 -1 -1 0.29 0.0102307 0.00911821 126 25 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.95 vpr 63.72 MiB -1 -1 0.10 20752 1 0.01 -1 -1 33332 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65248 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 25.2 MiB 0.05 1030 63.7 MiB 0.07 0.00 3.68447 -108.975 -3.68447 3.68447 0.76 0.000274683 0.000239199 0.0124498 0.0105465 28 2628 23 6.64007e+06 288834 500653. 1732.36 2.20 0.0855968 0.0739425 21970 115934 -1 2318 20 1489 2858 185016 42323 0 0 185016 42323 2858 2153 0 0 9773 7976 0 0 14871 11095 0 0 2858 2371 0 0 78445 9139 0 0 76211 9589 0 0 2858 0 0 1369 1750 1707 11502 0 0 3.73483 3.73483 -128.404 -3.73483 0 0 612192. 2118.31 0.35 0.11 0.07 -1 -1 0.35 0.0446217 0.0432775 130 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 5.61 vpr 63.93 MiB -1 -1 0.12 20744 1 0.01 -1 -1 33164 -1 -1 34 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65464 32 32 366 295 1 189 98 17 17 289 -1 unnamed_device 25.3 MiB 0.09 1007 63.9 MiB 0.08 0.00 2.80439 -99.9308 -2.80439 2.80439 0.75 0.000169535 0.000139244 0.0127264 0.0106422 32 2272 20 6.64007e+06 426972 554710. 1919.41 2.75 0.0741595 0.0629809 22834 132086 -1 2006 19 1175 1868 120584 27842 0 0 120584 27842 1868 1310 0 0 6772 5352 0 0 10283 7829 0 0 1868 1455 0 0 49831 6114 0 0 49962 5782 0 0 1868 0 0 693 775 915 6433 0 0 3.09937 3.09937 -114.673 -3.09937 0 0 701300. 2426.64 0.25 0.04 0.09 -1 -1 0.25 0.0124156 0.0111163 142 55 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.60 vpr 63.16 MiB -1 -1 0.11 20376 1 0.01 -1 -1 33432 -1 -1 19 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64676 27 32 259 221 1 130 78 17 17 289 -1 unnamed_device 24.8 MiB 0.08 662 63.2 MiB 0.05 0.00 3.15021 -83.3249 -3.15021 3.15021 0.90 0.000125911 0.00010353 0.00920751 0.00768633 28 1533 22 6.64007e+06 238602 500653. 1732.36 1.89 0.0611481 0.0520616 21970 115934 -1 1439 16 749 1304 83320 20620 0 0 83320 20620 1304 965 0 0 4825 4029 0 0 6897 5668 0 0 1304 1042 0 0 35376 4326 0 0 33614 4590 0 0 1304 0 0 555 606 528 4355 0 0 2.93397 2.93397 -97.0919 -2.93397 0 0 612192. 2118.31 0.22 0.03 0.07 -1 -1 0.22 0.00816092 0.00736188 93 26 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 5.54 vpr 63.54 MiB -1 -1 0.11 20448 1 0.01 -1 -1 33276 -1 -1 31 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65064 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 25.0 MiB 0.07 926 63.5 MiB 0.05 0.00 2.7039 -83.4236 -2.7039 2.7039 0.94 0.000136888 0.000113678 0.00837499 0.00705307 30 1977 19 6.64007e+06 389298 526063. 1820.29 2.23 0.0650219 0.055532 22546 126617 -1 1788 18 837 1547 91510 20518 0 0 91510 20518 1547 1049 0 0 5120 3960 0 0 6839 5418 0 0 1547 1127 0 0 37009 4772 0 0 39448 4192 0 0 1547 0 0 710 922 1006 7081 0 0 2.67777 2.67777 -94.9341 -2.67777 0 0 666494. 2306.21 0.41 0.03 0.13 -1 -1 0.41 0.00869509 0.00776919 115 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 3.88 vpr 63.54 MiB -1 -1 0.12 20936 1 0.01 -1 -1 33280 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65068 31 32 317 271 1 167 83 17 17 289 -1 unnamed_device 25.1 MiB 0.17 829 63.5 MiB 0.07 0.00 2.88585 -95.7089 -2.88585 2.88585 0.82 0.000146601 0.000120881 0.0104897 0.0088817 32 1997 18 6.64007e+06 251160 554710. 1919.41 1.00 0.0358941 0.0308308 22834 132086 -1 1763 19 1129 1643 111455 26792 0 0 111455 26792 1643 1374 0 0 5991 4942 0 0 9150 6912 0 0 1643 1435 0 0 47543 6004 0 0 45485 6125 0 0 1643 0 0 514 446 349 3980 0 0 3.29303 3.29303 -122.439 -3.29303 0 0 701300. 2426.64 0.25 0.03 0.09 -1 -1 0.25 0.0097304 0.00868103 111 60 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 5.51 vpr 63.43 MiB -1 -1 0.11 20760 1 0.01 -1 -1 33200 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64948 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 24.8 MiB 0.14 843 63.4 MiB 0.06 0.00 3.13721 -105.548 -3.13721 3.13721 0.76 0.000151518 0.00012531 0.0118816 0.00991405 32 2031 22 6.64007e+06 213486 554710. 1919.41 2.64 0.0773186 0.0658806 22834 132086 -1 1745 21 1221 2004 136660 31011 0 0 136660 31011 2004 1447 0 0 7218 6003 0 0 11282 8314 0 0 2004 1576 0 0 57749 6764 0 0 56403 6907 0 0 2004 0 0 783 758 934 6683 0 0 2.88177 2.88177 -115.347 -2.88177 0 0 701300. 2426.64 0.25 0.04 0.08 -1 -1 0.25 0.0104885 0.00934613 112 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 5.41 vpr 63.55 MiB -1 -1 0.11 20748 1 0.01 -1 -1 33140 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65072 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 25.1 MiB 0.10 799 63.5 MiB 0.06 0.00 3.46461 -96.3089 -3.46461 3.46461 0.74 0.00013538 0.000109278 0.0112602 0.00925193 32 1667 19 6.64007e+06 213486 554710. 1919.41 2.42 0.0569982 0.0481524 22834 132086 -1 1507 17 825 1304 86602 20001 0 0 86602 20001 1304 922 0 0 4711 3889 0 0 7220 5456 0 0 1304 1089 0 0 36641 4300 0 0 35422 4345 0 0 1304 0 0 479 309 526 3904 0 0 2.77276 2.77276 -100.351 -2.77276 0 0 701300. 2426.64 0.42 0.04 0.08 -1 -1 0.42 0.00890812 0.0079827 98 58 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 5.49 vpr 63.33 MiB -1 -1 0.10 20684 1 0.01 -1 -1 33160 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64848 32 32 276 237 1 166 82 17 17 289 -1 unnamed_device 24.8 MiB 0.22 822 63.3 MiB 0.06 0.00 3.02301 -96.2485 -3.02301 3.02301 0.74 0.000131243 0.000106977 0.011645 0.00964256 28 2274 30 6.64007e+06 226044 500653. 1732.36 2.60 0.0707342 0.0600155 21970 115934 -1 1834 19 1124 1520 104465 26455 0 0 104465 26455 1520 1255 0 0 5533 4578 0 0 8237 6545 0 0 1520 1355 0 0 43869 6333 0 0 43786 6389 0 0 1520 0 0 396 454 474 3680 0 0 3.75457 3.75457 -117.09 -3.75457 0 0 612192. 2118.31 0.23 0.03 0.07 -1 -1 0.23 0.00929706 0.00832994 109 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 5.45 vpr 63.84 MiB -1 -1 0.11 20768 1 0.01 -1 -1 33160 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65372 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 25.5 MiB 0.19 976 63.8 MiB 0.08 0.00 3.57727 -114.954 -3.57727 3.57727 0.82 0.000164138 0.000135686 0.0106483 0.00890803 28 2689 28 6.64007e+06 301392 500653. 1732.36 2.46 0.0775268 0.0664362 21970 115934 -1 2300 19 1530 2247 153149 37069 0 0 153149 37069 2247 1793 0 0 8004 6574 0 0 11828 9236 0 0 2247 1886 0 0 63015 8744 0 0 65808 8836 0 0 2247 0 0 717 801 645 5864 0 0 3.65043 3.65043 -135.226 -3.65043 0 0 612192. 2118.31 0.43 0.04 0.07 -1 -1 0.43 0.0112693 0.0100827 139 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 5.28 vpr 63.71 MiB -1 -1 0.12 20612 1 0.01 -1 -1 33096 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65236 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 25.3 MiB 0.11 967 63.7 MiB 0.11 0.01 4.12607 -118.057 -4.12607 4.12607 0.76 0.000236588 0.000200303 0.0203018 0.0182084 32 2121 19 6.64007e+06 389298 554710. 1919.41 2.34 0.0927967 0.080973 22834 132086 -1 1907 19 1222 2003 130341 29250 0 0 130341 29250 2003 1392 0 0 6971 5628 0 0 10825 8006 0 0 2003 1546 0 0 56626 5986 0 0 51913 6692 0 0 2003 0 0 781 922 875 6677 0 0 3.86262 3.86262 -130.052 -3.86262 0 0 701300. 2426.64 0.25 0.04 0.08 -1 -1 0.25 0.0109064 0.00973753 134 58 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.54 vpr 63.25 MiB -1 -1 0.10 20896 1 0.01 -1 -1 33236 -1 -1 21 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64768 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 24.9 MiB 0.08 702 63.2 MiB 0.04 0.00 2.68419 -77.7395 -2.68419 2.68419 0.75 0.000134477 0.000112947 0.00727984 0.00608666 28 1696 18 6.64007e+06 263718 500653. 1732.36 1.86 0.0525806 0.0445803 21970 115934 -1 1546 18 871 1447 99877 23184 0 0 99877 23184 1447 1090 0 0 5090 4045 0 0 7364 5823 0 0 1447 1188 0 0 42820 5470 0 0 41709 5568 0 0 1447 0 0 576 418 504 4287 0 0 2.67757 2.67757 -91.4334 -2.67757 0 0 612192. 2118.31 0.23 0.03 0.07 -1 -1 0.23 0.00786526 0.00704227 98 21 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 5.54 vpr 63.87 MiB -1 -1 0.11 21052 1 0.01 -1 -1 33264 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65404 32 32 370 297 1 183 86 17 17 289 -1 unnamed_device 25.4 MiB 0.12 1051 63.9 MiB 0.05 0.00 3.1755 -102.949 -3.1755 3.1755 0.75 0.000167955 0.000137773 0.00892163 0.0075367 26 2849 23 6.64007e+06 276276 477104. 1650.88 2.55 0.0734025 0.0631209 21682 110474 -1 2361 21 1334 2406 164212 38127 0 0 164212 38127 2406 1898 0 0 8531 6978 0 0 13048 9951 0 0 2406 2033 0 0 69810 8426 0 0 68011 8841 0 0 2406 0 0 1072 1325 1419 9308 0 0 3.41577 3.41577 -125.953 -3.41577 0 0 585099. 2024.56 0.44 0.04 0.07 -1 -1 0.44 0.0132786 0.0118388 133 55 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 5.70 vpr 63.75 MiB -1 -1 0.10 21224 1 0.01 -1 -1 33148 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65280 32 32 338 269 1 196 87 17 17 289 -1 unnamed_device 25.3 MiB 0.19 1191 63.8 MiB 0.08 0.00 3.51127 -116.95 -3.51127 3.51127 0.75 0.000169205 0.00014049 0.0135441 0.0113339 36 2360 22 6.64007e+06 288834 612192. 2118.31 2.82 0.0981254 0.084146 23410 145293 -1 2070 18 1177 1680 108056 24347 0 0 108056 24347 1680 1362 0 0 5913 4631 0 0 8200 6588 0 0 1680 1512 0 0 46612 5142 0 0 43971 5112 0 0 1680 0 0 503 417 544 4202 0 0 3.41523 3.41523 -128.89 -3.41523 0 0 782063. 2706.10 0.27 0.03 0.09 -1 -1 0.27 0.0106675 0.00956984 138 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 3.65 vpr 63.57 MiB -1 -1 0.11 20504 1 0.02 -1 -1 33268 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65100 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 25.2 MiB 0.20 862 63.6 MiB 0.07 0.00 2.30864 -87.9994 -2.30864 2.30864 0.77 0.000156673 0.000128215 0.0116682 0.00962795 28 1950 21 6.64007e+06 364182 500653. 1732.36 0.64 0.0378669 0.0322119 21970 115934 -1 1758 15 891 1389 87384 20373 0 0 87384 20373 1389 943 0 0 4829 3755 0 0 6937 5397 0 0 1389 1065 0 0 36822 4612 0 0 36018 4601 0 0 1389 0 0 498 646 619 4866 0 0 2.15051 2.15051 -100.385 -2.15051 0 0 612192. 2118.31 0.47 0.03 0.07 -1 -1 0.47 0.00818274 0.00731908 110 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 3.50 vpr 62.93 MiB -1 -1 0.09 20524 1 0.00 -1 -1 33060 -1 -1 15 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64444 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 24.5 MiB 0.04 696 62.9 MiB 0.05 0.00 1.89953 -66.1252 -1.89953 1.89953 0.78 0.000108978 8.9036e-05 0.00897651 0.00739005 32 1407 19 6.64007e+06 188370 554710. 1919.41 0.65 0.0267897 0.0226977 22834 132086 -1 1330 19 728 1051 82194 19349 0 0 82194 19349 1051 837 0 0 4083 3446 0 0 7104 5487 0 0 1051 906 0 0 34618 4447 0 0 34287 4226 0 0 1051 0 0 323 343 391 2890 0 0 2.19451 2.19451 -87.9297 -2.19451 0 0 701300. 2426.64 0.25 0.02 0.09 -1 -1 0.25 0.00695031 0.00618584 81 29 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 7.09 vpr 63.69 MiB -1 -1 0.12 20796 1 0.01 -1 -1 33284 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65220 31 32 291 243 1 171 83 17 17 289 -1 unnamed_device 25.3 MiB 0.21 732 63.7 MiB 0.06 0.00 3.93687 -115.005 -3.93687 3.93687 0.78 0.000135915 0.000111083 0.0125358 0.0104179 28 2207 33 6.64007e+06 251160 500653. 1732.36 3.98 0.108026 0.093974 21970 115934 -1 1642 20 1209 1760 144738 45055 0 0 144738 45055 1760 1514 0 0 6393 5280 0 0 9388 7452 0 0 1760 1644 0 0 65671 14930 0 0 59766 14235 0 0 1760 0 0 551 614 516 4679 0 0 3.65663 3.65663 -130.26 -3.65663 0 0 612192. 2118.31 0.25 0.05 0.07 -1 -1 0.25 0.0110894 0.00926468 128 30 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 3.68 vpr 63.56 MiB -1 -1 0.11 20580 1 0.01 -1 -1 33552 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65084 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 25.0 MiB 0.06 1026 63.6 MiB 0.06 0.00 3.49156 -112.285 -3.49156 3.49156 0.80 0.000174074 0.000143084 0.00966819 0.00813849 32 2289 21 6.64007e+06 389298 554710. 1919.41 0.74 0.0477456 0.0421492 22834 132086 -1 2086 22 1452 2293 177443 39048 0 0 177443 39048 2293 1792 0 0 8721 7032 0 0 13728 10390 0 0 2293 1958 0 0 74205 9218 0 0 76203 8658 0 0 2293 0 0 841 1190 1097 8137 0 0 3.57743 3.57743 -129.162 -3.57743 0 0 701300. 2426.64 0.29 0.05 0.09 -1 -1 0.29 0.0125982 0.0112274 135 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 3.95 vpr 63.91 MiB -1 -1 0.12 20920 1 0.01 -1 -1 33136 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65444 32 32 372 300 1 204 89 17 17 289 -1 unnamed_device 25.2 MiB 0.22 1003 63.9 MiB 0.08 0.00 3.77042 -113.976 -3.77042 3.77042 0.75 0.000169542 0.000138967 0.014726 0.0122287 32 2821 24 6.64007e+06 313950 554710. 1919.41 0.89 0.0503538 0.0431364 22834 132086 -1 2304 20 1540 2313 193484 41764 0 0 193484 41764 2313 1973 0 0 8430 7025 0 0 12755 9770 0 0 2313 2056 0 0 87682 9743 0 0 79991 11197 0 0 2313 0 0 773 967 775 6868 0 0 3.93649 3.93649 -131.86 -3.93649 0 0 701300. 2426.64 0.31 0.04 0.11 -1 -1 0.31 0.0119019 0.0106269 144 59 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 3.65 vpr 63.11 MiB -1 -1 0.10 20720 1 0.01 -1 -1 33240 -1 -1 18 26 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64628 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 24.6 MiB 0.27 382 63.1 MiB 0.04 0.00 1.89953 -51.9576 -1.89953 1.89953 0.77 9.9819e-05 8.2115e-05 0.00764393 0.00631019 28 1234 31 6.64007e+06 226044 500653. 1732.36 0.73 0.0278034 0.0235916 21970 115934 -1 1012 21 680 966 77132 20457 0 0 77132 20457 966 778 0 0 3468 2801 0 0 5253 4107 0 0 966 842 0 0 33569 5834 0 0 32910 6095 0 0 966 0 0 286 323 329 2644 0 0 1.86811 1.86811 -67.5242 -1.86811 0 0 612192. 2118.31 0.22 0.02 0.07 -1 -1 0.22 0.00649699 0.0057391 77 21 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 5.68 vpr 63.39 MiB -1 -1 0.10 20452 1 0.01 -1 -1 33140 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64908 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 24.7 MiB 0.04 891 63.4 MiB 0.04 0.00 4.09606 -104.083 -4.09606 4.09606 0.95 0.000162421 0.000135653 0.00620124 0.00533943 28 2244 37 6.64007e+06 263718 500653. 1732.36 2.61 0.129531 0.118672 21970 115934 -1 1916 21 1136 2087 143571 33860 0 0 143571 33860 2087 1601 0 0 7590 6179 0 0 11075 8845 0 0 2087 1698 0 0 58934 7784 0 0 61798 7753 0 0 2087 0 0 951 1112 1002 7876 0 0 3.79362 3.79362 -123.019 -3.79362 0 0 612192. 2118.31 0.25 0.07 0.09 -1 -1 0.25 0.0269925 0.00949506 118 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.35 vpr 62.89 MiB -1 -1 0.09 20224 1 0.01 -1 -1 33096 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64396 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 24.3 MiB 0.05 445 62.9 MiB 0.06 0.00 2.08773 -60.0534 -2.08773 2.08773 0.96 9.3388e-05 7.5257e-05 0.0254953 0.0221871 28 1274 35 6.64007e+06 175812 500653. 1732.36 0.70 0.0500956 0.0392999 21970 115934 -1 985 16 510 566 48315 14627 0 0 48315 14627 566 513 0 0 2264 1879 0 0 3069 2567 0 0 566 520 0 0 20718 4678 0 0 21132 4470 0 0 566 0 0 56 44 55 833 0 0 2.17251 2.17251 -72.6657 -2.17251 0 0 612192. 2118.31 0.36 0.02 0.13 -1 -1 0.36 0.00550222 0.00493942 79 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 3.97 vpr 63.70 MiB -1 -1 0.11 20512 1 0.01 -1 -1 33112 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65224 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 25.3 MiB 0.08 945 63.7 MiB 0.09 0.00 3.53527 -103.097 -3.53527 3.53527 0.99 0.000150738 0.000124104 0.0137625 0.0114466 28 2110 21 6.64007e+06 376740 500653. 1732.36 0.83 0.0426815 0.0364072 21970 115934 -1 1948 20 1127 1874 130467 29771 0 0 130467 29771 1874 1365 0 0 6767 5321 0 0 9574 7735 0 0 1874 1485 0 0 55314 7097 0 0 55064 6768 0 0 1874 0 0 747 965 906 6728 0 0 3.61323 3.61323 -119.568 -3.61323 0 0 612192. 2118.31 0.22 0.04 0.07 -1 -1 0.22 0.0106747 0.00955661 123 21 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 5.48 vpr 63.66 MiB -1 -1 0.11 20444 1 0.01 -1 -1 33180 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65188 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.3 MiB 0.04 919 63.7 MiB 0.05 0.00 3.0905 -86.6383 -3.0905 3.0905 1.09 0.000158743 0.00013219 0.00672203 0.00570327 28 2057 19 6.64007e+06 389298 500653. 1732.36 2.43 0.0700509 0.0609599 21970 115934 -1 1814 22 1036 1891 109477 27911 0 0 109477 27911 1891 1249 0 0 6841 5517 0 0 10009 7769 0 0 1891 1404 0 0 43946 6147 0 0 44899 5825 0 0 1891 0 0 855 1072 1176 7916 0 0 2.89096 2.89096 -101.925 -2.89096 0 0 612192. 2118.31 0.27 0.03 0.07 -1 -1 0.27 0.0107156 0.00954095 128 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 5.70 vpr 63.85 MiB -1 -1 0.12 20868 1 0.01 -1 -1 33136 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65384 32 32 338 277 1 179 91 17 17 289 -1 unnamed_device 25.3 MiB 0.10 971 63.9 MiB 0.10 0.00 3.69347 -109.301 -3.69347 3.69347 0.87 0.000171588 0.00014138 0.0148645 0.012347 26 2531 22 6.64007e+06 339066 477104. 1650.88 2.86 0.108266 0.0957513 21682 110474 -1 1949 20 1078 1926 112204 28113 0 0 112204 28113 1926 1334 0 0 6855 5528 0 0 10149 7781 0 0 1926 1497 0 0 45909 6110 0 0 45439 5863 0 0 1926 0 0 848 1021 995 7262 0 0 3.87783 3.87783 -131.162 -3.87783 0 0 585099. 2024.56 0.21 0.03 0.07 -1 -1 0.21 0.0110589 0.00991525 126 47 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 3.72 vpr 63.52 MiB -1 -1 0.10 20524 1 0.01 -1 -1 33120 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65040 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 25.0 MiB 0.06 882 63.5 MiB 0.05 0.00 2.42079 -85.7817 -2.42079 2.42079 0.74 0.000142217 0.000117488 0.0101405 0.00849583 32 1955 20 6.64007e+06 200928 554710. 1919.41 0.87 0.0446595 0.0396663 22834 132086 -1 1740 19 988 1608 110813 25519 0 0 110813 25519 1608 1208 0 0 5855 4843 0 0 9274 6880 0 0 1608 1315 0 0 46076 5858 0 0 46392 5415 0 0 1608 0 0 620 669 603 4958 0 0 2.61257 2.61257 -103.337 -2.61257 0 0 701300. 2426.64 0.25 0.03 0.08 -1 -1 0.25 0.00962842 0.00861012 101 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.59 vpr 63.53 MiB -1 -1 0.10 20624 1 0.01 -1 -1 33212 -1 -1 23 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65056 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 25.1 MiB 0.16 689 63.5 MiB 0.04 0.00 2.64019 -80.0435 -2.64019 2.64019 0.80 0.000152363 0.000128076 0.00567919 0.00478637 30 1444 18 6.64007e+06 288834 526063. 1820.29 1.54 0.0470877 0.0402044 22546 126617 -1 1342 18 611 939 54211 13016 0 0 54211 13016 939 665 0 0 3204 2513 0 0 4306 3414 0 0 939 715 0 0 22297 2906 0 0 22526 2803 0 0 939 0 0 328 223 335 2751 0 0 2.56257 2.56257 -92.1889 -2.56257 0 0 666494. 2306.21 0.41 0.02 0.08 -1 -1 0.41 0.00835305 0.00751764 97 29 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.06 vpr 63.39 MiB -1 -1 0.11 20588 1 0.01 -1 -1 33096 -1 -1 23 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64912 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 24.9 MiB 0.04 629 63.4 MiB 0.06 0.00 2.8541 -79.3333 -2.8541 2.8541 0.91 0.000132416 0.000103144 0.0118603 0.00965705 32 1607 23 6.64007e+06 288834 554710. 1919.41 0.91 0.0356309 0.0300523 22834 132086 -1 1375 20 985 1596 106152 26128 0 0 106152 26128 1596 1265 0 0 5726 4622 0 0 8592 6519 0 0 1596 1317 0 0 44488 6064 0 0 44154 6341 0 0 1596 0 0 611 504 555 4760 0 0 2.73377 2.73377 -89.3939 -2.73377 0 0 701300. 2426.64 0.25 0.03 0.08 -1 -1 0.25 0.00869518 0.00773102 98 27 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 3.44 vpr 63.58 MiB -1 -1 0.09 20788 1 0.01 -1 -1 33276 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65104 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 25.1 MiB 0.04 895 63.6 MiB 0.04 0.00 3.19341 -98.0221 -3.19341 3.19341 0.79 0.000140595 0.0001167 0.00528102 0.00447542 30 1917 22 6.64007e+06 238602 526063. 1820.29 0.73 0.0295841 0.0255013 22546 126617 -1 1693 19 953 1607 93362 21666 0 0 93362 21666 1607 1128 0 0 5505 4381 0 0 7163 5840 0 0 1607 1278 0 0 40598 4333 0 0 36882 4706 0 0 1607 0 0 654 658 698 5325 0 0 2.72977 2.72977 -108.822 -2.72977 0 0 666494. 2306.21 0.24 0.03 0.08 -1 -1 0.24 0.00867247 0.00764017 110 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 3.60 vpr 63.48 MiB -1 -1 0.09 20472 1 0.02 -1 -1 33144 -1 -1 27 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65008 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 25.0 MiB 0.04 706 63.5 MiB 0.08 0.00 2.8301 -84.4669 -2.8301 2.8301 0.92 0.000141477 0.00011638 0.00778582 0.00659833 30 1740 23 6.64007e+06 339066 526063. 1820.29 0.73 0.0325732 0.0280339 22546 126617 -1 1435 19 721 1249 63004 15127 0 0 63004 15127 1249 799 0 0 3953 3111 0 0 5394 4110 0 0 1249 915 0 0 23602 3388 0 0 27557 2804 0 0 1249 0 0 528 715 546 4876 0 0 2.67557 2.67557 -94.839 -2.67557 0 0 666494. 2306.21 0.36 0.04 0.08 -1 -1 0.36 0.0159202 0.0149313 103 26 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 3.88 vpr 63.41 MiB -1 -1 0.10 20552 1 0.01 -1 -1 33376 -1 -1 26 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64936 29 32 291 250 1 153 87 17 17 289 -1 unnamed_device 24.8 MiB 0.11 731 63.4 MiB 0.07 0.00 2.6377 -83.2904 -2.6377 2.6377 0.96 0.000136501 0.000111168 0.0127293 0.0105244 32 1737 18 6.64007e+06 326508 554710. 1919.41 0.72 0.0369715 0.0315419 22834 132086 -1 1412 20 1098 1646 98047 23667 0 0 98047 23667 1646 1226 0 0 5876 4638 0 0 8762 6490 0 0 1646 1349 0 0 40747 5011 0 0 39370 4953 0 0 1646 0 0 548 724 709 5273 0 0 2.25077 2.25077 -87.8485 -2.25077 0 0 701300. 2426.64 0.25 0.03 0.09 -1 -1 0.25 0.00925495 0.00820152 105 48 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 6.34 vpr 64.01 MiB -1 -1 0.12 20916 1 0.01 -1 -1 33264 -1 -1 38 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65548 32 32 367 282 1 201 102 17 17 289 -1 unnamed_device 25.5 MiB 0.11 1061 64.0 MiB 0.06 0.00 3.40636 -98.4167 -3.40636 3.40636 0.87 0.000196545 0.000165206 0.0095158 0.00808952 26 2742 20 6.64007e+06 477204 477104. 1650.88 3.40 0.0849469 0.0723535 21682 110474 -1 2322 19 1340 2490 174313 38266 0 0 174313 38266 2490 1643 0 0 8845 6703 0 0 13168 9954 0 0 2490 1826 0 0 75747 8654 0 0 71573 9486 0 0 2490 0 0 1150 2339 2232 13785 0 0 4.07023 4.07023 -127.321 -4.07023 0 0 585099. 2024.56 0.22 0.05 0.08 -1 -1 0.22 0.0129823 0.0116165 151 26 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 5.02 vpr 63.89 MiB -1 -1 0.12 20880 1 0.01 -1 -1 33224 -1 -1 37 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65420 32 32 391 311 1 192 101 17 17 289 -1 unnamed_device 25.4 MiB 0.11 1081 63.9 MiB 0.07 0.00 3.01701 -105.389 -3.01701 3.01701 0.87 0.000203018 0.000167095 0.0112728 0.00933728 26 2308 24 6.64007e+06 464646 477104. 1650.88 2.03 0.110737 0.0972472 21682 110474 -1 1965 22 1527 2427 152115 35136 0 0 152115 35136 2427 1644 0 0 8762 6800 0 0 13293 10225 0 0 2427 1848 0 0 63172 7336 0 0 62034 7283 0 0 2427 0 0 900 1257 1124 8740 0 0 2.89197 2.89197 -116.343 -2.89197 0 0 585099. 2024.56 0.21 0.04 0.07 -1 -1 0.21 0.0136484 0.0121312 147 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 3.76 vpr 63.68 MiB -1 -1 0.11 20452 1 0.01 -1 -1 33260 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65204 31 32 279 237 1 161 82 17 17 289 -1 unnamed_device 25.1 MiB 0.23 916 63.7 MiB 0.05 0.00 3.48127 -103.954 -3.48127 3.48127 0.84 0.000131269 0.000107068 0.00974482 0.00824621 32 2010 19 6.64007e+06 238602 554710. 1919.41 0.75 0.0345848 0.0299416 22834 132086 -1 1782 21 1220 1760 130110 29599 0 0 130110 29599 1760 1493 0 0 6542 5404 0 0 9962 7588 0 0 1760 1596 0 0 54548 7038 0 0 55538 6480 0 0 1760 0 0 540 623 768 5243 0 0 3.13883 3.13883 -112.955 -3.13883 0 0 701300. 2426.64 0.26 0.04 0.09 -1 -1 0.26 0.00994232 0.00889321 112 30 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 5.00 vpr 63.85 MiB -1 -1 0.12 20896 1 0.01 -1 -1 33224 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65380 31 32 370 297 1 186 88 17 17 289 -1 unnamed_device 25.5 MiB 0.10 997 63.8 MiB 0.07 0.00 3.41261 -107.545 -3.41261 3.41261 0.73 0.000170501 0.000139383 0.0116708 0.0096583 26 2747 25 6.64007e+06 313950 477104. 1650.88 2.23 0.0799244 0.068213 21682 110474 -1 2153 18 1371 2438 169459 39293 0 0 169459 39293 2438 1863 0 0 9067 7378 0 0 13198 10420 0 0 2438 1928 0 0 73732 8423 0 0 68586 9281 0 0 2438 0 0 1067 1214 1305 8988 0 0 3.21677 3.21677 -119.47 -3.21677 0 0 585099. 2024.56 0.23 0.04 0.08 -1 -1 0.23 0.0120068 0.0107654 138 57 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 3.88 vpr 63.87 MiB -1 -1 0.12 20964 1 0.02 -1 -1 33304 -1 -1 29 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65404 31 32 377 302 1 233 92 17 17 289 -1 unnamed_device 25.7 MiB 0.31 1394 63.9 MiB 0.08 0.00 4.79918 -144.463 -4.79918 4.79918 0.75 0.000196128 0.00016424 0.012396 0.0104951 28 3385 25 6.64007e+06 364182 500653. 1732.36 0.82 0.0502141 0.0435378 21970 115934 -1 2786 20 1950 2815 201491 44478 0 0 201491 44478 2815 2442 0 0 9825 7580 0 0 14395 10941 0 0 2815 2604 0 0 87035 10443 0 0 84606 10468 0 0 2815 0 0 865 733 833 6976 0 0 4.84769 4.84769 -170.619 -4.84769 0 0 612192. 2118.31 0.21 0.05 0.07 -1 -1 0.21 0.0125189 0.0112422 172 60 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.07 vpr 63.89 MiB -1 -1 0.12 20876 1 0.01 -1 -1 33316 -1 -1 27 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65428 31 32 383 305 1 209 90 17 17 289 -1 unnamed_device 25.3 MiB 0.37 975 63.9 MiB 0.10 0.00 4.11401 -119.791 -4.11401 4.11401 0.77 0.000190835 0.000160091 0.0168916 0.0141912 32 2772 25 6.64007e+06 339066 554710. 1919.41 0.77 0.0535262 0.0461307 22834 132086 -1 2228 19 1669 2486 173905 41325 0 0 173905 41325 2486 2017 0 0 9060 7338 0 0 13974 10477 0 0 2486 2137 0 0 71158 10397 0 0 74741 8959 0 0 2486 0 0 817 839 683 6667 0 0 4.67268 4.67268 -150.464 -4.67268 0 0 701300. 2426.64 0.25 0.04 0.09 -1 -1 0.25 0.0123637 0.0111066 164 60 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 5.74 vpr 63.86 MiB -1 -1 0.11 21084 1 0.01 -1 -1 33204 -1 -1 31 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65388 31 32 352 285 1 184 94 17 17 289 -1 unnamed_device 25.5 MiB 0.10 988 63.9 MiB 0.06 0.00 3.70647 -107.491 -3.70647 3.70647 0.83 0.000172048 0.000142421 0.0102499 0.00866224 26 2742 24 6.64007e+06 389298 477104. 1650.88 2.63 0.0762582 0.0652998 21682 110474 -1 2299 20 1367 2385 152112 35771 0 0 152112 35771 2385 1799 0 0 8560 6863 0 0 12698 9577 0 0 2385 2081 0 0 63718 7709 0 0 62366 7742 0 0 2385 0 0 1018 1394 1373 9176 0 0 3.21617 3.21617 -121.096 -3.21617 0 0 585099. 2024.56 0.21 0.04 0.08 -1 -1 0.21 0.0121137 0.0107879 135 51 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 5.83 vpr 63.64 MiB -1 -1 0.11 20592 1 0.01 -1 -1 33216 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65168 32 32 291 242 1 179 87 17 17 289 -1 unnamed_device 25.3 MiB 0.17 993 63.6 MiB 0.08 0.00 3.46356 -96.491 -3.46356 3.46356 0.76 0.000138505 0.000113489 0.0130759 0.0109065 28 2453 17 6.64007e+06 288834 500653. 1732.36 2.81 0.0807237 0.0710906 21970 115934 -1 2097 18 1156 1816 130502 30020 0 0 130502 30020 1816 1503 0 0 6572 5245 0 0 9520 7570 0 0 1816 1568 0 0 55827 7053 0 0 54951 7081 0 0 1816 0 0 660 866 919 6021 0 0 3.70163 3.70163 -118.672 -3.70163 0 0 612192. 2118.31 0.22 0.03 0.07 -1 -1 0.22 0.00946507 0.0085234 119 24 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 5.59 vpr 63.97 MiB -1 -1 0.13 21184 1 0.01 -1 -1 33364 -1 -1 40 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65504 32 32 457 356 1 223 104 17 17 289 -1 unnamed_device 25.8 MiB 0.24 1203 64.0 MiB 0.09 0.00 4.04253 -130.907 -4.04253 4.04253 0.78 0.000236622 0.000199254 0.014612 0.0121419 32 2752 23 6.64007e+06 502320 554710. 1919.41 2.42 0.104206 0.0888214 22834 132086 -1 2439 20 1631 2518 156699 37399 0 0 156699 37399 2518 1847 0 0 9091 7573 0 0 13820 10477 0 0 2518 1981 0 0 63122 8083 0 0 65630 7438 0 0 2518 0 0 887 1134 1394 9345 0 0 4.15969 4.15969 -152.52 -4.15969 0 0 701300. 2426.64 0.26 0.05 0.08 -1 -1 0.26 0.0164079 0.014628 174 84 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.41 vpr 63.32 MiB -1 -1 0.10 20600 1 0.01 -1 -1 33216 -1 -1 21 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64840 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 24.9 MiB 0.09 848 63.3 MiB 0.04 0.00 3.1015 -86.7938 -3.1015 3.1015 0.79 0.000131379 0.000108202 0.0073007 0.00610228 30 1750 19 6.64007e+06 263718 526063. 1820.29 1.48 0.0489375 0.041646 22546 126617 -1 1576 17 675 1172 66731 15971 0 0 66731 15971 1172 805 0 0 3999 3200 0 0 5153 4214 0 0 1172 897 0 0 28403 3171 0 0 26832 3684 0 0 1172 0 0 497 408 619 4054 0 0 2.75757 2.75757 -100.049 -2.75757 0 0 666494. 2306.21 0.23 0.02 0.08 -1 -1 0.23 0.00787653 0.00708188 101 24 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 5.64 vpr 63.62 MiB -1 -1 0.13 20840 1 0.01 -1 -1 33408 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65152 31 32 337 267 1 205 88 17 17 289 -1 unnamed_device 25.2 MiB 0.23 1110 63.6 MiB 0.06 0.00 4.12053 -125.48 -4.12053 4.12053 0.82 0.000203788 0.000172991 0.00959125 0.00808719 26 3111 44 6.64007e+06 313950 477104. 1650.88 2.52 0.0815417 0.070574 21682 110474 -1 2378 19 1515 2143 152186 35542 0 0 152186 35542 2143 1804 0 0 7869 6358 0 0 11366 8883 0 0 2143 1877 0 0 64562 8182 0 0 64103 8438 0 0 2143 0 0 628 850 857 6136 0 0 4.69768 4.69768 -153.731 -4.69768 0 0 585099. 2024.56 0.21 0.04 0.07 -1 -1 0.21 0.0117758 0.0105558 144 30 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 3.71 vpr 63.86 MiB -1 -1 0.11 20916 1 0.01 -1 -1 33160 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65392 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 25.2 MiB 0.12 1044 63.9 MiB 0.06 0.00 3.1757 -97.2977 -3.1757 3.1757 0.73 0.000175341 0.000144871 0.00932727 0.00785425 28 2681 24 6.64007e+06 414414 500653. 1732.36 0.94 0.0432529 0.0373926 21970 115934 -1 2260 20 1314 2454 188549 44320 0 0 188549 44320 2454 1737 0 0 8809 7155 0 0 13293 10433 0 0 2454 2025 0 0 79380 11326 0 0 82159 11644 0 0 2454 0 0 1140 1518 1460 10200 0 0 2.99617 2.99617 -113.57 -2.99617 0 0 612192. 2118.31 0.29 0.05 0.10 -1 -1 0.29 0.0118953 0.0105709 131 50 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.91 vpr 63.58 MiB -1 -1 0.11 20844 1 0.00 -1 -1 33124 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65108 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 25.2 MiB 0.04 868 63.6 MiB 0.07 0.00 3.36216 -101.805 -3.36216 3.36216 0.94 0.000150144 0.000123695 0.0116505 0.00981518 30 2127 21 6.64007e+06 301392 526063. 1820.29 2.02 0.0681637 0.0587193 22546 126617 -1 1715 20 1130 2198 107283 26167 0 0 107283 26167 2198 1412 0 0 7102 5635 0 0 9577 7457 0 0 2198 1673 0 0 41524 5327 0 0 44684 4663 0 0 2198 0 0 1068 1415 1337 9224 0 0 3.57243 3.57243 -117.151 -3.57243 0 0 666494. 2306.21 0.25 0.04 0.08 -1 -1 0.25 0.0103495 0.00929154 123 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 5.38 vpr 64.13 MiB -1 -1 0.11 20952 1 0.01 -1 -1 32968 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65672 32 32 353 287 1 198 88 17 17 289 -1 unnamed_device 25.7 MiB 0.23 1104 64.1 MiB 0.13 0.00 3.67818 -114.268 -3.67818 3.67818 0.87 0.000171659 0.000142073 0.039313 0.0252398 26 2902 23 6.64007e+06 301392 477104. 1650.88 2.20 0.0976903 0.0753975 21682 110474 -1 2375 18 1149 1665 156068 33847 0 0 156068 33847 1665 1366 0 0 6306 5243 0 0 9410 7568 0 0 1665 1414 0 0 70558 8946 0 0 66464 9310 0 0 1665 0 0 516 650 582 4691 0 0 3.26903 3.26903 -123.031 -3.26903 0 0 585099. 2024.56 0.21 0.04 0.07 -1 -1 0.21 0.0108652 0.00974501 138 52 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 6.32 vpr 63.70 MiB -1 -1 0.11 20956 1 0.01 -1 -1 33188 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65232 32 32 361 291 1 185 96 17 17 289 -1 unnamed_device 25.3 MiB 0.11 1015 63.7 MiB 0.10 0.00 2.9151 -98.0492 -2.9151 2.9151 0.79 0.000174147 0.000142868 0.0168641 0.0141047 28 2697 24 6.64007e+06 401856 500653. 1732.36 3.32 0.102936 0.0891959 21970 115934 -1 2163 19 1160 2092 150412 34047 0 0 150412 34047 2092 1468 0 0 7339 5966 0 0 10850 8496 0 0 2092 1574 0 0 63045 8586 0 0 64994 7957 0 0 2092 0 0 932 1313 1576 9721 0 0 2.85277 2.85277 -113.571 -2.85277 0 0 612192. 2118.31 0.25 0.04 0.07 -1 -1 0.25 0.0117952 0.0106008 133 52 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 3.80 vpr 63.92 MiB -1 -1 0.12 20880 1 0.01 -1 -1 33160 -1 -1 37 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65456 32 32 382 305 1 192 101 17 17 289 -1 unnamed_device 25.4 MiB 0.11 1097 63.9 MiB 0.20 0.00 3.82667 -120.249 -3.82667 3.82667 0.93 0.000197482 0.000163862 0.0348299 0.0321679 32 2360 19 6.64007e+06 464646 554710. 1919.41 0.71 0.0667581 0.0595789 22834 132086 -1 2113 15 1096 1611 108924 24951 0 0 108924 24951 1611 1196 0 0 5841 4727 0 0 8693 6655 0 0 1611 1295 0 0 44610 5936 0 0 46558 5142 0 0 1611 0 0 515 575 522 4583 0 0 3.35083 3.35083 -127.273 -3.35083 0 0 701300. 2426.64 0.26 0.03 0.08 -1 -1 0.26 0.0108352 0.00975982 145 59 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 3.31 vpr 63.51 MiB -1 -1 0.12 20808 1 0.02 -1 -1 33424 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65032 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 25.1 MiB 0.04 850 63.5 MiB 0.08 0.00 3.38416 -99.6745 -3.38416 3.38416 0.78 0.000150964 0.000124044 0.0119303 0.00992742 32 2008 20 6.64007e+06 364182 554710. 1919.41 0.68 0.0376758 0.0321601 22834 132086 -1 1704 20 1156 1883 118677 28260 0 0 118677 28260 1883 1287 0 0 6840 5495 0 0 10084 7819 0 0 1883 1449 0 0 53818 5486 0 0 44169 6724 0 0 1883 0 0 727 924 930 6806 0 0 3.57043 3.57043 -117.016 -3.57043 0 0 701300. 2426.64 0.25 0.03 0.09 -1 -1 0.25 0.0103548 0.0092516 122 21 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 5.20 vpr 63.71 MiB -1 -1 0.11 20876 1 0.01 -1 -1 33316 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65236 32 32 319 257 1 198 88 17 17 289 -1 unnamed_device 25.0 MiB 0.20 1165 63.7 MiB 0.08 0.00 4.07126 -117.916 -4.07126 4.07126 0.73 0.000155652 0.000127879 0.0140943 0.0116774 32 2606 21 6.64007e+06 301392 554710. 1919.41 2.33 0.0883204 0.0757454 22834 132086 -1 2233 21 1486 2142 161787 35789 0 0 161787 35789 2142 1764 0 0 7902 6564 0 0 11870 9154 0 0 2142 1875 0 0 69047 8410 0 0 68684 8022 0 0 2142 0 0 656 627 771 5574 0 0 4.03702 4.03702 -135.19 -4.03702 0 0 701300. 2426.64 0.28 0.04 0.09 -1 -1 0.28 0.0113389 0.0101402 133 26 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 9.21 vpr 63.93 MiB -1 -1 0.12 21092 1 0.02 -1 -1 33180 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65468 31 32 373 299 1 202 88 17 17 289 -1 unnamed_device 25.3 MiB 0.29 1048 63.9 MiB 0.09 0.00 4.03253 -118.529 -4.03253 4.03253 0.75 0.000169611 0.000139387 0.0157399 0.0129287 28 3494 43 6.64007e+06 313950 500653. 1732.36 6.05 0.128155 0.0991972 21970 115934 -1 2523 26 1936 3188 281584 70472 0 0 281584 70472 3188 2622 0 0 10976 8708 0 0 16474 12568 0 0 3188 2953 0 0 128141 21648 0 0 119617 21973 0 0 3188 0 0 1252 1929 1731 11362 0 0 4.49029 4.49029 -147.718 -4.49029 0 0 612192. 2118.31 0.22 0.06 0.09 -1 -1 0.22 0.0146977 0.0129804 148 58 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 8.32 vpr 64.06 MiB -1 -1 0.12 20812 1 0.01 -1 -1 33116 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65596 32 32 387 315 1 189 86 17 17 289 -1 unnamed_device 25.5 MiB 0.12 984 64.1 MiB 0.08 0.00 3.49656 -107.233 -3.49656 3.49656 0.77 0.000178919 0.000147917 0.0148274 0.0123953 28 3294 37 6.64007e+06 276276 500653. 1732.36 5.12 0.123506 0.107247 21970 115934 -1 2535 21 1575 2818 289847 75954 0 0 289847 75954 2818 2244 0 0 9920 8051 0 0 14966 11445 0 0 2818 2427 0 0 128900 26385 0 0 130425 25402 0 0 2818 0 0 1243 1530 1238 9699 0 0 4.13742 4.13742 -136.849 -4.13742 0 0 612192. 2118.31 0.34 0.07 0.16 -1 -1 0.34 0.0139881 0.0123981 136 74 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.78 vpr 63.43 MiB -1 -1 0.10 20696 1 0.01 -1 -1 33140 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64948 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 25.0 MiB 0.04 729 63.4 MiB 0.07 0.00 2.7119 -83.0677 -2.7119 2.7119 0.77 0.000124121 0.000100587 0.0110486 0.00909527 30 1587 18 6.64007e+06 301392 526063. 1820.29 1.98 0.0577056 0.0488797 22546 126617 -1 1372 15 534 820 43292 10551 0 0 43292 10551 820 568 0 0 2727 2084 0 0 3527 2835 0 0 820 617 0 0 17099 2347 0 0 18299 2100 0 0 820 0 0 286 316 299 2611 0 0 2.52837 2.52837 -90.2066 -2.52837 0 0 666494. 2306.21 0.28 0.02 0.10 -1 -1 0.28 0.00697799 0.00631067 97 20 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 5.70 vpr 64.05 MiB -1 -1 0.10 20672 1 0.01 -1 -1 32988 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65588 32 32 341 285 1 187 86 17 17 289 -1 unnamed_device 25.4 MiB 0.19 985 64.1 MiB 0.06 0.00 3.21396 -114.637 -3.21396 3.21396 1.23 0.000161702 0.000133356 0.0104596 0.00890027 26 2777 21 6.64007e+06 276276 477104. 1650.88 2.30 0.0733014 0.06301 21682 110474 -1 2335 20 1627 2300 190178 41966 0 0 190178 41966 2300 2069 0 0 8256 6890 0 0 12427 9397 0 0 2300 2108 0 0 81626 11106 0 0 83269 10396 0 0 2300 0 0 673 729 666 5714 0 0 3.48997 3.48997 -136.201 -3.48997 0 0 585099. 2024.56 0.21 0.05 0.07 -1 -1 0.21 0.0113885 0.0100919 127 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.05 vpr 63.66 MiB -1 -1 0.12 20984 1 0.01 -1 -1 33456 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65192 32 32 387 293 1 234 93 17 17 289 -1 unnamed_device 25.5 MiB 0.20 1448 63.7 MiB 0.11 0.00 4.36321 -135.43 -4.36321 4.36321 0.87 0.000190394 0.00015794 0.0167498 0.0140981 32 3238 27 6.64007e+06 364182 554710. 1919.41 0.80 0.0572291 0.049571 22834 132086 -1 2929 25 2232 3517 314604 67550 0 0 314604 67550 3517 2937 0 0 13107 10844 0 0 20949 15895 0 0 3517 3179 0 0 138522 17152 0 0 134992 17543 0 0 3517 0 0 1285 1385 1357 10494 0 0 5.13028 5.13028 -160.67 -5.13028 0 0 701300. 2426.64 0.40 0.07 0.09 -1 -1 0.40 0.0155973 0.0138648 169 28 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 5.42 vpr 63.62 MiB -1 -1 0.11 20780 1 0.01 -1 -1 33316 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65144 32 32 340 270 1 181 96 17 17 289 -1 unnamed_device 25.1 MiB 0.09 1034 63.6 MiB 0.07 0.00 3.67472 -113.027 -3.67472 3.67472 0.85 0.000170925 0.000140208 0.017162 0.01547 32 2068 20 6.64007e+06 401856 554710. 1919.41 2.33 0.0847339 0.0731562 22834 132086 -1 1881 20 1123 1733 109303 25050 0 0 109303 25050 1733 1259 0 0 6143 4883 0 0 9794 7109 0 0 1733 1372 0 0 47774 4780 0 0 42126 5647 0 0 1733 0 0 610 616 730 5655 0 0 3.07237 3.07237 -117.271 -3.07237 0 0 701300. 2426.64 0.45 0.03 0.08 -1 -1 0.45 0.0113191 0.0101382 133 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 5.08 vpr 63.42 MiB -1 -1 0.11 20380 1 0.01 -1 -1 33232 -1 -1 26 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64940 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 24.9 MiB 0.06 767 63.4 MiB 0.07 0.00 2.7859 -86.9546 -2.7859 2.7859 0.79 0.000135264 0.00011088 0.0108047 0.00904046 26 1903 22 6.64007e+06 326508 477104. 1650.88 2.43 0.0668931 0.0570606 21682 110474 -1 1733 21 1051 1615 112764 26326 0 0 112764 26326 1615 1213 0 0 5799 4731 0 0 8773 6618 0 0 1615 1336 0 0 47793 6392 0 0 47169 6036 0 0 1615 0 0 564 688 746 5595 0 0 2.79297 2.79297 -104.793 -2.79297 0 0 585099. 2024.56 0.21 0.03 0.07 -1 -1 0.21 0.0095659 0.00848409 104 29 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.21 vpr 64.06 MiB -1 -1 0.12 21272 1 0.01 -1 -1 33532 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65596 32 32 431 332 1 235 91 17 17 289 -1 unnamed_device 25.9 MiB 0.45 1385 64.1 MiB 0.13 0.00 5.03129 -150.861 -5.03129 5.03129 0.84 0.000208067 0.000172323 0.0514313 0.0420747 32 2896 23 6.64007e+06 339066 554710. 1919.41 0.84 0.0903314 0.0759719 22834 132086 -1 2436 22 1733 2476 147846 36628 0 0 147846 36628 2476 2029 0 0 9082 7391 0 0 13324 10224 0 0 2476 2147 0 0 60166 7523 0 0 60322 7314 0 0 2476 0 0 743 888 849 7022 0 0 5.12054 5.12054 -172.926 -5.12054 0 0 701300. 2426.64 0.24 0.04 0.09 -1 -1 0.24 0.0145568 0.0130251 170 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 3.76 vpr 63.74 MiB -1 -1 0.11 21032 1 0.01 -1 -1 32936 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65272 32 32 336 268 1 174 97 17 17 289 -1 unnamed_device 25.2 MiB 0.11 938 63.7 MiB 0.05 0.00 3.69147 -114.707 -3.69147 3.69147 0.91 0.000159164 0.000131551 0.0078608 0.00665455 32 2244 21 6.64007e+06 414414 554710. 1919.41 0.71 0.0360731 0.0309749 22834 132086 -1 2027 19 1304 2009 146415 33551 0 0 146415 33551 2009 1471 0 0 7484 6252 0 0 12066 9192 0 0 2009 1606 0 0 59324 7952 0 0 63523 7078 0 0 2009 0 0 705 895 900 6985 0 0 3.79663 3.79663 -131.52 -3.79663 0 0 701300. 2426.64 0.26 0.04 0.09 -1 -1 0.26 0.0110271 0.00984279 130 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 5.03 vpr 63.12 MiB -1 -1 0.10 20748 1 0.01 -1 -1 33268 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64640 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 24.5 MiB 0.05 756 63.1 MiB 0.06 0.00 2.8441 -82.1212 -2.8441 2.8441 0.80 0.000133228 0.000110021 0.00904084 0.00754728 28 1872 20 6.64007e+06 288834 500653. 1732.36 2.28 0.0510057 0.0435312 21970 115934 -1 1598 19 811 1376 100363 23586 0 0 100363 23586 1376 1035 0 0 5003 4119 0 0 7462 5943 0 0 1376 1125 0 0 43840 5530 0 0 41306 5834 0 0 1376 0 0 565 781 934 5600 0 0 2.81777 2.81777 -98.8746 -2.81777 0 0 612192. 2118.31 0.25 0.05 0.09 -1 -1 0.25 0.00887011 0.007944 100 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 5.25 vpr 63.74 MiB -1 -1 0.12 20824 1 0.01 -1 -1 33408 -1 -1 34 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65272 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 25.4 MiB 0.08 993 63.7 MiB 0.08 0.00 4.67452 -111.4 -4.67452 4.67452 0.84 0.000176462 0.000147591 0.0134709 0.0115441 32 2480 23 6.64007e+06 426972 554710. 1919.41 2.36 0.0932284 0.0808031 22834 132086 -1 2024 20 1291 2328 141067 33531 0 0 141067 33531 2328 1502 0 0 8277 6633 0 0 12633 9491 0 0 2328 1642 0 0 58775 7119 0 0 56726 7144 0 0 2328 0 0 1037 1782 1704 11989 0 0 4.73968 4.73968 -132.674 -4.73968 0 0 701300. 2426.64 0.28 0.04 0.10 -1 -1 0.28 0.0111148 0.00991094 139 26 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 5.57 vpr 63.44 MiB -1 -1 0.11 20612 1 0.01 -1 -1 33108 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64964 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 25.0 MiB 0.04 834 63.4 MiB 0.08 0.00 2.8171 -91.1457 -2.8171 2.8171 0.77 0.000130473 0.000105959 0.0118211 0.00979663 32 1896 22 6.64007e+06 251160 554710. 1919.41 2.50 0.0591827 0.0503677 22834 132086 -1 1717 20 1152 1984 142463 32073 0 0 142463 32073 1984 1394 0 0 7312 6119 0 0 11495 8550 0 0 1984 1597 0 0 59766 7452 0 0 59922 6961 0 0 1984 0 0 832 854 1030 6984 0 0 2.87197 2.87197 -107.171 -2.87197 0 0 701300. 2426.64 0.24 0.04 0.08 -1 -1 0.24 0.00855837 0.00762341 104 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.69 vpr 63.34 MiB -1 -1 0.11 20504 1 0.01 -1 -1 33280 -1 -1 33 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64860 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 24.8 MiB 0.09 858 63.3 MiB 0.07 0.00 3.22421 -90.1146 -3.22421 3.22421 0.95 0.000136754 0.00011174 0.010873 0.00901868 28 1805 21 6.64007e+06 414414 500653. 1732.36 1.69 0.0580748 0.0492357 21970 115934 -1 1627 15 694 1219 74940 17383 0 0 74940 17383 1219 789 0 0 4345 3537 0 0 6184 4895 0 0 1219 873 0 0 31584 3540 0 0 30389 3749 0 0 1219 0 0 525 514 659 4903 0 0 2.62817 2.62817 -101.037 -2.62817 0 0 612192. 2118.31 0.22 0.02 0.07 -1 -1 0.22 0.00736312 0.00661288 105 29 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 5.39 vpr 64.00 MiB -1 -1 0.12 21032 1 0.01 -1 -1 33200 -1 -1 26 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65532 29 32 355 287 1 198 87 17 17 289 -1 unnamed_device 25.4 MiB 0.23 1098 64.0 MiB 0.07 0.00 3.67395 -109.891 -3.67395 3.67395 0.77 0.000183803 0.000154142 0.012403 0.0104557 26 2990 45 6.64007e+06 326508 477104. 1650.88 2.38 0.109116 0.0970941 21682 110474 -1 2294 17 1422 2149 151485 34776 0 0 151485 34776 2149 1741 0 0 7700 6391 0 0 11355 8722 0 0 2149 1971 0 0 64826 8065 0 0 63306 7886 0 0 2149 0 0 727 740 715 5861 0 0 3.76782 3.76782 -130.04 -3.76782 0 0 585099. 2024.56 0.38 0.06 0.07 -1 -1 0.38 0.0119386 0.0107399 139 56 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 5.55 vpr 63.77 MiB -1 -1 0.12 21044 1 0.01 -1 -1 33196 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65304 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 25.2 MiB 0.25 917 63.8 MiB 0.05 0.00 3.64276 -112.872 -3.64276 3.64276 0.84 0.000171133 0.000140628 0.00941566 0.00806725 32 2098 20 6.64007e+06 301392 554710. 1919.41 2.47 0.0915157 0.0792519 22834 132086 -1 1882 22 1563 2377 159900 37817 0 0 159900 37817 2377 1848 0 0 8856 7502 0 0 14565 10992 0 0 2377 1987 0 0 64383 7849 0 0 67342 7639 0 0 2377 0 0 814 860 887 7126 0 0 3.56742 3.56742 -127.655 -3.56742 0 0 701300. 2426.64 0.25 0.04 0.08 -1 -1 0.25 0.0129555 0.0115321 130 51 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 5.16 vpr 63.71 MiB -1 -1 0.11 20600 1 0.01 -1 -1 33232 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65240 32 32 353 285 1 181 92 17 17 289 -1 unnamed_device 25.1 MiB 0.20 1015 63.7 MiB 0.09 0.00 3.99107 -121.69 -3.99107 3.99107 0.76 0.000205046 0.000172831 0.0136784 0.0114622 26 2740 35 6.64007e+06 351624 477104. 1650.88 2.32 0.0838742 0.0723485 21682 110474 -1 2327 21 1422 2476 203220 46097 0 0 203220 46097 2476 1774 0 0 8746 7095 0 0 13367 9912 0 0 2476 1949 0 0 89381 12272 0 0 86774 13095 0 0 2476 0 0 1054 1340 1294 9378 0 0 3.97303 3.97303 -139.345 -3.97303 0 0 585099. 2024.56 0.22 0.06 0.07 -1 -1 0.22 0.0239442 0.0226251 133 48 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 5.36 vpr 63.45 MiB -1 -1 0.11 20460 1 0.01 -1 -1 33364 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64968 32 32 276 237 1 159 81 17 17 289 -1 unnamed_device 24.9 MiB 0.35 1003 63.4 MiB 0.06 0.00 3.67818 -110.331 -3.67818 3.67818 0.77 0.000127414 0.000103653 0.0116049 0.00969544 32 2110 17 6.64007e+06 213486 554710. 1919.41 2.18 0.0656536 0.0560697 22834 132086 -1 1853 16 794 1043 69959 16351 0 0 69959 16351 1043 917 0 0 3851 3169 0 0 5436 4302 0 0 1043 976 0 0 29113 3679 0 0 29473 3308 0 0 1043 0 0 249 240 226 2288 0 0 3.35823 3.35823 -119.997 -3.35823 0 0 701300. 2426.64 0.25 0.02 0.08 -1 -1 0.25 0.00838741 0.00757241 105 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 3.82 vpr 63.72 MiB -1 -1 0.12 20920 1 0.01 -1 -1 33420 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65252 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 25.3 MiB 0.19 739 63.7 MiB 0.04 0.00 3.12596 -96.872 -3.12596 3.12596 0.77 0.000157319 0.000131577 0.00905538 0.0076608 32 2096 22 6.64007e+06 238602 554710. 1919.41 0.71 0.0373742 0.0322669 22834 132086 -1 1637 19 1124 1664 107120 26285 0 0 107120 26285 1664 1368 0 0 5977 5012 0 0 9130 6968 0 0 1664 1404 0 0 43295 5498 0 0 45390 6035 0 0 1664 0 0 540 547 315 4146 0 0 3.13883 3.13883 -112.295 -3.13883 0 0 701300. 2426.64 0.40 0.04 0.08 -1 -1 0.40 0.0109871 0.00977777 113 60 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 3.53 vpr 63.69 MiB -1 -1 0.12 20884 1 0.01 -1 -1 33276 -1 -1 33 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65216 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 25.2 MiB 0.13 911 63.7 MiB 0.05 0.00 2.8221 -83.2193 -2.8221 2.8221 0.77 0.000160529 0.000131316 0.00823031 0.00690792 26 2232 20 6.64007e+06 414414 477104. 1650.88 0.78 0.0375042 0.0321738 21682 110474 -1 1930 18 1049 1818 117188 27804 0 0 117188 27804 1818 1138 0 0 6673 5323 0 0 9773 7607 0 0 1818 1273 0 0 49685 5955 0 0 47421 6508 0 0 1818 0 0 769 1129 1322 8232 0 0 2.90897 2.90897 -100.774 -2.90897 0 0 585099. 2024.56 0.21 0.03 0.07 -1 -1 0.21 0.0100189 0.0089157 123 52 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 5.41 vpr 63.55 MiB -1 -1 0.12 20604 1 0.02 -1 -1 33168 -1 -1 35 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65080 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 25.0 MiB 0.08 929 63.6 MiB 0.05 0.00 3.51555 -88.3652 -3.51555 3.51555 0.83 0.00015164 0.000125986 0.00854944 0.00692478 24 2363 21 6.64007e+06 439530 448715. 1552.65 2.23 0.0737255 0.0632466 21394 104001 -1 1935 20 990 1957 148689 31701 0 0 148689 31701 1957 1338 0 0 7082 5391 0 0 10721 7689 0 0 1957 1476 0 0 63368 8010 0 0 63604 7797 0 0 1957 0 0 967 1409 1532 9993 0 0 3.43322 3.43322 -105.429 -3.43322 0 0 554710. 1919.41 0.28 0.04 0.11 -1 -1 0.28 0.0101109 0.00904181 115 20 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 5.84 vpr 63.62 MiB -1 -1 0.11 20644 1 0.02 -1 -1 33204 -1 -1 18 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65152 30 32 317 269 1 152 80 17 17 289 -1 unnamed_device 25.0 MiB 0.11 630 63.6 MiB 0.07 0.00 3.29461 -91.6276 -3.29461 3.29461 0.88 0.000144187 0.000114641 0.0126346 0.0102362 32 1968 34 6.64007e+06 226044 554710. 1919.41 2.51 0.0796069 0.0679834 22834 132086 -1 1607 19 1254 2126 150283 36386 0 0 150283 36386 2126 1615 0 0 7457 6193 0 0 11780 8600 0 0 2126 1771 0 0 61858 9078 0 0 64936 9129 0 0 2126 0 0 872 1109 1035 7346 0 0 3.26157 3.26157 -110.717 -3.26157 0 0 701300. 2426.64 0.34 0.11 0.15 -1 -1 0.34 0.0242219 0.0228758 108 58 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.72 vpr 63.59 MiB -1 -1 0.11 20784 1 0.01 -1 -1 33280 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65120 32 32 335 282 1 184 85 17 17 289 -1 unnamed_device 25.1 MiB 0.19 1023 63.6 MiB 0.06 0.00 3.14796 -106.886 -3.14796 3.14796 0.77 0.000262444 0.000150697 0.00999469 0.00814931 30 2189 18 6.64007e+06 263718 526063. 1820.29 1.86 0.0634009 0.053806 22546 126617 -1 1934 17 1004 1460 81398 19348 0 0 81398 19348 1460 1117 0 0 4968 3939 0 0 6319 5205 0 0 1460 1156 0 0 32435 4258 0 0 34756 3673 0 0 1460 0 0 456 397 274 3499 0 0 3.19283 3.19283 -121.958 -3.19283 0 0 666494. 2306.21 0.34 0.04 0.08 -1 -1 0.34 0.00926933 0.00831191 121 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 5.31 vpr 63.57 MiB -1 -1 0.11 20436 1 0.01 -1 -1 32924 -1 -1 32 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65100 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 25.1 MiB 0.04 1045 63.6 MiB 0.07 0.00 3.68447 -107.387 -3.68447 3.68447 0.77 0.000155367 0.000128066 0.00997242 0.00831994 32 2303 19 6.64007e+06 401856 554710. 1919.41 2.30 0.0585035 0.0498745 22834 132086 -1 2053 19 1136 1973 126592 29489 0 0 126592 29489 1973 1404 0 0 7278 5859 0 0 11121 8545 0 0 1973 1577 0 0 53012 5957 0 0 51235 6147 0 0 1973 0 0 837 996 868 7118 0 0 3.71963 3.71963 -124.079 -3.71963 0 0 701300. 2426.64 0.26 0.04 0.09 -1 -1 0.26 0.0102961 0.00924124 127 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 5.92 vpr 64.02 MiB -1 -1 0.11 20844 1 0.02 -1 -1 33188 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65556 32 32 350 275 1 209 88 17 17 289 -1 unnamed_device 25.4 MiB 0.22 1051 64.0 MiB 0.06 0.00 4.34793 -133.107 -4.34793 4.34793 0.76 0.000166553 0.000138019 0.0085657 0.00725657 32 2980 25 6.64007e+06 301392 554710. 1919.41 2.54 0.0829548 0.0710503 22834 132086 -1 2432 21 1511 2260 156720 36584 0 0 156720 36584 2260 1877 0 0 8118 6649 0 0 12563 9370 0 0 2260 2096 0 0 65642 8256 0 0 65877 8336 0 0 2260 0 0 749 694 600 5885 0 0 4.43709 4.43709 -151.997 -4.43709 0 0 701300. 2426.64 0.55 0.06 0.08 -1 -1 0.55 0.0207485 0.0194641 146 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 6.10 vpr 63.73 MiB -1 -1 0.11 21104 1 0.01 -1 -1 33160 -1 -1 34 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65260 32 32 385 308 1 185 98 17 17 289 -1 unnamed_device 25.2 MiB 0.15 921 63.7 MiB 0.06 0.00 4.17072 -114.904 -4.17072 4.17072 1.00 0.000169043 0.000137976 0.0101873 0.00849566 28 2639 31 6.64007e+06 426972 500653. 1732.36 3.15 0.0961949 0.0828173 21970 115934 -1 2090 17 1053 1863 113109 28582 0 0 113109 28582 1863 1321 0 0 6704 5440 0 0 9686 7715 0 0 1863 1423 0 0 47814 6452 0 0 45179 6231 0 0 1863 0 0 810 763 1002 7112 0 0 4.05548 4.05548 -136.969 -4.05548 0 0 612192. 2118.31 0.21 0.03 0.07 -1 -1 0.21 0.0106729 0.00955398 144 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 5.22 vpr 63.84 MiB -1 -1 0.12 20756 1 0.01 -1 -1 33292 -1 -1 37 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65368 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 25.3 MiB 0.10 1136 63.8 MiB 0.09 0.00 3.73647 -122.312 -3.73647 3.73647 0.77 0.000201851 0.00016925 0.014724 0.0124086 30 2656 20 6.64007e+06 464646 526063. 1820.29 2.40 0.0820383 0.0702965 22546 126617 -1 2189 20 1244 2213 117622 27884 0 0 117622 27884 2213 1428 0 0 7158 5762 0 0 9626 7475 0 0 2213 1535 0 0 46872 6189 0 0 49540 5495 0 0 2213 0 0 969 1043 968 8325 0 0 3.49303 3.49303 -133.08 -3.49303 0 0 666494. 2306.21 0.24 0.04 0.08 -1 -1 0.24 0.012902 0.0115775 140 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 5.02 vpr 63.33 MiB -1 -1 0.12 20452 1 0.01 -1 -1 33320 -1 -1 19 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64848 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 24.8 MiB 0.08 797 63.3 MiB 0.06 0.00 3.00301 -90.9444 -3.00301 3.00301 0.78 0.00012858 0.000104463 0.0101245 0.00832071 32 1772 19 6.64007e+06 238602 554710. 1919.41 2.23 0.0645587 0.0549005 22834 132086 -1 1571 19 1018 1831 121269 28012 0 0 121269 28012 1831 1348 0 0 6449 5298 0 0 10127 7518 0 0 1831 1637 0 0 51296 5890 0 0 49735 6321 0 0 1831 0 0 813 747 897 6352 0 0 2.80877 2.80877 -98.5273 -2.80877 0 0 701300. 2426.64 0.24 0.03 0.09 -1 -1 0.24 0.00897526 0.00796535 104 29 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 5.24 vpr 63.80 MiB -1 -1 0.12 21088 1 0.01 -1 -1 33228 -1 -1 23 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65336 30 32 375 299 1 187 85 17 17 289 -1 unnamed_device 25.4 MiB 0.17 1022 63.8 MiB 0.07 0.00 3.92987 -116.279 -3.92987 3.92987 0.81 0.000162805 0.000132998 0.013444 0.0111753 28 2357 21 6.64007e+06 288834 500653. 1732.36 2.25 0.0804094 0.0686311 21970 115934 -1 2115 23 1599 2611 183101 40681 0 0 183101 40681 2611 1970 0 0 9126 7316 0 0 13876 10486 0 0 2611 2121 0 0 81021 8848 0 0 73856 9940 0 0 2611 0 0 1012 999 1201 8439 0 0 3.85963 3.85963 -135.626 -3.85963 0 0 612192. 2118.31 0.22 0.05 0.07 -1 -1 0.22 0.0152587 0.0137721 138 58 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 5.69 vpr 63.93 MiB -1 -1 0.12 20684 1 0.01 -1 -1 33312 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65460 32 32 340 270 1 200 90 17 17 289 -1 unnamed_device 25.6 MiB 0.19 1214 63.9 MiB 0.13 0.00 4.18044 -127.637 -4.18044 4.18044 0.82 0.000157477 0.000129 0.0167176 0.0141988 28 2762 25 6.64007e+06 326508 500653. 1732.36 2.68 0.0827248 0.0706997 21970 115934 -1 2365 21 1743 2832 221968 51511 0 0 221968 51511 2832 2227 0 0 10018 8300 0 0 14677 11269 0 0 2832 2360 0 0 94572 14071 0 0 97037 13284 0 0 2832 0 0 1089 1779 1927 11893 0 0 4.15669 4.15669 -145.723 -4.15669 0 0 612192. 2118.31 0.21 0.05 0.07 -1 -1 0.21 0.0114871 0.010197 140 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.28 vpr 63.82 MiB -1 -1 0.13 20776 1 0.01 -1 -1 33020 -1 -1 30 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65356 31 32 340 275 1 195 93 17 17 289 -1 unnamed_device 25.5 MiB 0.23 1101 63.8 MiB 0.10 0.00 4.22421 -124.896 -4.22421 4.22421 0.74 0.000164938 0.000135176 0.015729 0.0131102 32 2541 23 6.64007e+06 376740 554710. 1919.41 0.74 0.0515443 0.0436115 22834 132086 -1 2263 21 1442 2106 156545 34439 0 0 156545 34439 2106 1777 0 0 7522 6236 0 0 11541 8719 0 0 2106 1867 0 0 69123 7473 0 0 64147 8367 0 0 2106 0 0 664 683 706 6049 0 0 4.28708 4.28708 -141.4 -4.28708 0 0 701300. 2426.64 0.26 0.04 0.19 -1 -1 0.26 0.011357 0.0101406 148 43 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 3.84 vpr 64.09 MiB -1 -1 0.12 21016 1 0.01 -1 -1 33376 -1 -1 33 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65632 30 32 377 310 1 177 95 17 17 289 -1 unnamed_device 25.7 MiB 0.27 1021 64.1 MiB 0.09 0.00 3.53327 -109.699 -3.53327 3.53327 0.91 0.000167763 0.000137867 0.0149039 0.0124614 32 2239 19 6.64007e+06 414414 554710. 1919.41 0.72 0.0457374 0.0387766 22834 132086 -1 2004 22 1108 1817 114074 26821 0 0 114074 26821 1817 1339 0 0 6610 5340 0 0 10110 7588 0 0 1817 1481 0 0 48066 5431 0 0 45654 5642 0 0 1817 0 0 709 826 772 6152 0 0 3.16963 3.16963 -120.321 -3.16963 0 0 701300. 2426.64 0.29 0.03 0.08 -1 -1 0.29 0.0115858 0.0102688 135 78 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 3.71 vpr 63.82 MiB -1 -1 0.12 20968 1 0.01 -1 -1 33252 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65348 32 32 365 294 1 185 85 17 17 289 -1 unnamed_device 25.4 MiB 0.14 988 63.8 MiB 0.09 0.00 3.97286 -114.775 -3.97286 3.97286 0.81 0.000172952 0.000141633 0.0165698 0.0137468 32 2546 24 6.64007e+06 263718 554710. 1919.41 0.76 0.0525224 0.0449733 22834 132086 -1 2127 22 1461 2634 176849 40734 0 0 176849 40734 2634 2103 0 0 9440 7739 0 0 14380 10706 0 0 2634 2269 0 0 74382 8945 0 0 73379 8972 0 0 2634 0 0 1173 1293 1312 9230 0 0 3.60922 3.60922 -130.121 -3.60922 0 0 701300. 2426.64 0.25 0.04 0.09 -1 -1 0.25 0.0124534 0.0110694 134 54 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 3.61 vpr 63.64 MiB -1 -1 0.11 20712 1 0.01 -1 -1 33300 -1 -1 31 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65172 29 32 378 310 1 177 92 17 17 289 -1 unnamed_device 25.3 MiB 0.13 986 63.6 MiB 0.10 0.00 4.15226 -117.476 -4.15226 4.15226 0.76 0.000220661 0.000186259 0.0265165 0.0244364 30 2270 20 6.64007e+06 389298 526063. 1820.29 0.97 0.0624861 0.0563145 22546 126617 -1 1923 16 884 1494 90761 20327 0 0 90761 20327 1494 1048 0 0 4976 3887 0 0 6660 5284 0 0 1494 1337 0 0 39125 4300 0 0 37012 4471 0 0 1494 0 0 610 678 559 4871 0 0 3.54722 3.54722 -121.652 -3.54722 0 0 666494. 2306.21 0.24 0.03 0.08 -1 -1 0.24 0.0107161 0.00963541 132 79 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.08 vpr 63.14 MiB -1 -1 0.10 20668 1 0.01 -1 -1 33056 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64660 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 24.6 MiB 0.07 806 63.1 MiB 0.06 0.00 3.02901 -96.2276 -3.02901 3.02901 0.76 0.000125523 0.000102158 0.0111923 0.0093318 26 2071 19 6.64007e+06 188370 477104. 1650.88 1.50 0.0562569 0.0480819 21682 110474 -1 1718 18 925 1380 120757 27168 0 0 120757 27168 1380 1186 0 0 5299 4384 0 0 7935 6351 0 0 1380 1211 0 0 54016 6840 0 0 50747 7196 0 0 1380 0 0 455 537 456 3832 0 0 2.89297 2.89297 -112.663 -2.89297 0 0 585099. 2024.56 0.29 0.03 0.07 -1 -1 0.29 0.00814887 0.00730459 96 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.80 vpr 63.78 MiB -1 -1 0.11 20864 1 0.01 -1 -1 33400 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65312 32 32 373 302 1 176 96 17 17 289 -1 unnamed_device 25.4 MiB 0.18 927 63.8 MiB 0.09 0.00 3.69947 -113.313 -3.69947 3.69947 0.75 0.000170165 0.000139774 0.0144493 0.0120679 30 1985 23 6.64007e+06 401856 526063. 1820.29 2.01 0.0763806 0.0653146 22546 126617 -1 1688 20 1089 1832 82300 21357 0 0 82300 21357 1832 1195 0 0 6089 4879 0 0 8137 6488 0 0 1832 1244 0 0 29900 4224 0 0 34510 3327 0 0 1832 0 0 743 909 538 6114 0 0 3.51143 3.51143 -124.844 -3.51143 0 0 666494. 2306.21 0.24 0.03 0.08 -1 -1 0.24 0.011461 0.0102424 132 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 5.62 vpr 63.95 MiB -1 -1 0.11 20824 1 0.02 -1 -1 33208 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65480 32 32 397 314 1 196 86 17 17 289 -1 unnamed_device 25.5 MiB 0.12 1038 63.9 MiB 0.05 0.00 3.95187 -123.513 -3.95187 3.95187 1.02 0.000188526 0.000154076 0.00909848 0.00765419 26 2830 37 6.64007e+06 276276 477104. 1650.88 2.43 0.0832662 0.0712804 21682 110474 -1 2310 25 2144 3573 243949 56172 0 0 243949 56172 3573 2738 0 0 12662 10025 0 0 19533 14671 0 0 3573 2920 0 0 102740 12661 0 0 101868 13157 0 0 3573 0 0 1429 1703 1756 12073 0 0 3.95002 3.95002 -145.775 -3.95002 0 0 585099. 2024.56 0.22 0.07 0.07 -1 -1 0.22 0.0153634 0.0136358 148 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.05 vpr 63.53 MiB -1 -1 0.11 20340 1 0.01 -1 -1 33132 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65052 32 32 269 231 1 170 84 17 17 289 -1 unnamed_device 24.9 MiB 0.20 832 63.5 MiB 0.10 0.01 3.43261 -99.0262 -3.43261 3.43261 0.83 0.00014199 0.000116115 0.00870029 0.00740279 26 2296 39 6.64007e+06 251160 477104. 1650.88 0.99 0.0429844 0.0372214 21682 110474 -1 1798 19 1023 1365 92354 21808 0 0 92354 21808 1365 1221 0 0 4936 3887 0 0 7086 5453 0 0 1365 1269 0 0 38870 5043 0 0 38732 4935 0 0 1365 0 0 342 339 307 3053 0 0 3.20383 3.20383 -114.428 -3.20383 0 0 585099. 2024.56 0.22 0.03 0.07 -1 -1 0.22 0.00915816 0.00814907 109 26 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 3.54 vpr 63.27 MiB -1 -1 0.11 20644 1 0.01 -1 -1 33188 -1 -1 21 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64788 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 24.9 MiB 0.04 708 63.3 MiB 0.04 0.00 3.02901 -87.4156 -3.02901 3.02901 0.77 0.000135121 0.000112203 0.00632263 0.0051535 30 1743 27 6.64007e+06 263718 526063. 1820.29 0.88 0.0434148 0.0388277 22546 126617 -1 1425 19 980 1653 91270 21779 0 0 91270 21779 1653 1197 0 0 5509 4249 0 0 7177 5742 0 0 1653 1335 0 0 36685 4818 0 0 38593 4438 0 0 1653 0 0 673 636 683 5403 0 0 2.92497 2.92497 -102.757 -2.92497 0 0 666494. 2306.21 0.25 0.03 0.08 -1 -1 0.25 0.00799158 0.00713282 106 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.00 vpr 63.87 MiB -1 -1 0.11 20804 1 0.01 -1 -1 33344 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65404 32 32 348 274 1 211 90 17 17 289 -1 unnamed_device 25.5 MiB 0.18 939 63.9 MiB 0.06 0.00 4.06553 -126.825 -4.06553 4.06553 0.76 0.000181947 0.000149821 0.00915875 0.00776282 28 2914 25 6.64007e+06 326508 500653. 1732.36 0.91 0.0464285 0.0401205 21970 115934 -1 2264 22 1806 2402 195976 46308 0 0 195976 46308 2402 2147 0 0 8533 6825 0 0 12279 9604 0 0 2402 2261 0 0 88851 12075 0 0 81509 13396 0 0 2402 0 0 596 514 618 5346 0 0 4.06168 4.06168 -143.788 -4.06168 0 0 612192. 2118.31 0.38 0.05 0.13 -1 -1 0.38 0.0125487 0.0112116 144 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 6.08 vpr 63.76 MiB -1 -1 0.10 20932 1 0.01 -1 -1 33116 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65292 32 32 356 289 1 202 93 17 17 289 -1 unnamed_device 25.3 MiB 0.24 1068 63.8 MiB 0.08 0.00 4.05281 -122.297 -4.05281 4.05281 0.76 0.000176477 0.000147648 0.0157637 0.0134772 32 2629 25 6.64007e+06 364182 554710. 1919.41 2.88 0.0962011 0.0831492 22834 132086 -1 2234 19 1542 2351 157195 36928 0 0 157195 36928 2351 1899 0 0 8593 7073 0 0 13334 10082 0 0 2351 1988 0 0 65760 7927 0 0 64806 7959 0 0 2351 0 0 809 877 947 7310 0 0 4.35909 4.35909 -146.097 -4.35909 0 0 701300. 2426.64 0.25 0.04 0.08 -1 -1 0.25 0.0116884 0.010417 155 53 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 5.48 vpr 64.36 MiB -1 -1 0.11 20588 1 0.01 -1 -1 33168 -1 -1 36 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65904 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.7 MiB 0.07 1187 64.4 MiB 0.24 0.00 4.49732 -123.909 -4.49732 4.49732 0.99 0.000193431 0.000162553 0.0481407 0.045248 26 3213 22 6.64007e+06 452088 477104. 1650.88 2.54 0.125279 0.112223 21682 110474 -1 2556 19 1455 2672 194320 42948 0 0 194320 42948 2672 2023 0 0 9545 7494 0 0 13957 10751 0 0 2672 2228 0 0 84483 9982 0 0 80991 10470 0 0 2672 0 0 1217 1624 1701 11236 0 0 4.59548 4.59548 -146.99 -4.59548 0 0 585099. 2024.56 0.21 0.05 0.07 -1 -1 0.21 0.0129262 0.0116225 153 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 3.65 vpr 63.59 MiB -1 -1 0.11 21008 1 0.01 -1 -1 33304 -1 -1 32 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65120 30 32 316 264 1 162 94 17 17 289 -1 unnamed_device 25.2 MiB 0.10 794 63.6 MiB 0.05 0.00 2.8471 -85.0982 -2.8471 2.8471 0.96 0.000187797 0.00015814 0.00862164 0.00727723 32 1976 21 6.64007e+06 401856 554710. 1919.41 0.69 0.0355795 0.0305536 22834 132086 -1 1736 19 1207 2040 144032 34156 0 0 144032 34156 2040 1468 0 0 7538 6323 0 0 12032 9014 0 0 2040 1561 0 0 59500 7911 0 0 60882 7879 0 0 2040 0 0 833 952 828 6949 0 0 2.85197 2.85197 -101.671 -2.85197 0 0 701300. 2426.64 0.25 0.04 0.09 -1 -1 0.25 0.0104062 0.00917777 121 47 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.38 vpr 63.32 MiB -1 -1 0.11 20532 1 0.01 -1 -1 33348 -1 -1 21 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64836 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 24.7 MiB 0.04 674 63.3 MiB 0.05 0.00 2.8251 -80.1721 -2.8251 2.8251 0.76 0.000126019 0.000103695 0.00979136 0.00816643 30 1422 19 6.64007e+06 263718 526063. 1820.29 1.67 0.0549299 0.0471769 22546 126617 -1 1289 17 687 1026 59429 13818 0 0 59429 13818 1026 753 0 0 3418 2592 0 0 4520 3644 0 0 1026 840 0 0 24500 3013 0 0 24939 2976 0 0 1026 0 0 339 295 361 2903 0 0 2.77497 2.77497 -91.9204 -2.77497 0 0 666494. 2306.21 0.33 0.02 0.08 -1 -1 0.33 0.00771859 0.00695174 97 26 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 6.33 vpr 63.95 MiB -1 -1 0.13 20916 1 0.01 -1 -1 33436 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65480 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 25.7 MiB 0.23 1359 63.9 MiB 0.11 0.00 3.53756 -116.72 -3.53756 3.53756 0.76 0.000190814 0.000156694 0.0197856 0.0167703 32 3499 23 6.64007e+06 326508 554710. 1919.41 2.95 0.113767 0.0971977 22834 132086 -1 2983 23 2213 3675 287943 61749 0 0 287943 61749 3675 3001 0 0 13088 10701 0 0 20169 14907 0 0 3675 3188 0 0 123235 15642 0 0 124101 14310 0 0 3675 0 0 1462 1831 1608 12158 0 0 3.72363 3.72363 -138.102 -3.72363 0 0 701300. 2426.64 0.24 0.07 0.08 -1 -1 0.24 0.01679 0.0149771 170 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.12 vpr 63.88 MiB -1 -1 0.12 20836 1 0.01 -1 -1 33184 -1 -1 23 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65416 31 32 365 296 1 193 86 17 17 289 -1 unnamed_device 25.3 MiB 0.25 951 63.9 MiB 0.08 0.00 4.33341 -126.789 -4.33341 4.33341 1.27 0.000168715 0.000138392 0.0152753 0.0126673 32 2470 18 6.64007e+06 288834 554710. 1919.41 0.73 0.0471967 0.040478 22834 132086 -1 1971 20 1308 2228 143495 35713 0 0 143495 35713 2228 1767 0 0 8181 6777 0 0 12615 9525 0 0 2228 1819 0 0 58353 8131 0 0 59890 7694 0 0 2228 0 0 920 1203 1066 8045 0 0 4.33409 4.33409 -145.311 -4.33409 0 0 701300. 2426.64 0.25 0.04 0.09 -1 -1 0.25 0.0117486 0.010502 152 60 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 3.70 vpr 63.45 MiB -1 -1 0.12 20848 1 0.01 -1 -1 33280 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64976 32 32 331 280 1 174 83 17 17 289 -1 unnamed_device 25.1 MiB 0.24 926 63.5 MiB 0.07 0.00 3.74495 -109.736 -3.74495 3.74495 0.77 0.000148562 0.000121462 0.014421 0.0118621 32 2155 18 6.64007e+06 238602 554710. 1919.41 0.76 0.0401949 0.0341455 22834 132086 -1 1797 20 1234 1795 136958 31070 0 0 136958 31070 1795 1448 0 0 6641 5466 0 0 10247 7874 0 0 1795 1497 0 0 57721 7782 0 0 58759 7003 0 0 1795 0 0 561 588 459 4635 0 0 3.58762 3.58762 -128.074 -3.58762 0 0 701300. 2426.64 0.28 0.04 0.08 -1 -1 0.28 0.0111378 0.00998034 128 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 5.57 vpr 63.50 MiB -1 -1 0.11 21192 1 0.01 -1 -1 33204 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65020 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 25.0 MiB 0.05 1036 63.5 MiB 0.08 0.00 4.23518 -110.63 -4.23518 4.23518 0.76 0.000164393 0.000136018 0.0128033 0.0106585 32 2279 20 6.64007e+06 376740 554710. 1919.41 2.54 0.0640737 0.0545112 22834 132086 -1 1936 22 1271 2066 134166 31654 0 0 134166 31654 2066 1467 0 0 7661 6394 0 0 12336 9208 0 0 2066 1593 0 0 54192 6656 0 0 55845 6336 0 0 2066 0 0 795 886 789 6664 0 0 3.72263 3.72263 -124.865 -3.72263 0 0 701300. 2426.64 0.39 0.04 0.10 -1 -1 0.39 0.0117229 0.0104707 126 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 5.70 vpr 63.84 MiB -1 -1 0.12 20936 1 0.01 -1 -1 33424 -1 -1 34 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65372 31 32 373 294 1 196 97 17 17 289 -1 unnamed_device 25.4 MiB 0.12 1087 63.8 MiB 0.06 0.00 4.20246 -118.632 -4.20246 4.20246 0.87 0.000184721 0.000153193 0.00958317 0.00809781 32 2311 21 6.64007e+06 426972 554710. 1919.41 2.44 0.0740969 0.0634645 22834 132086 -1 2137 20 1493 2520 166886 38754 0 0 166886 38754 2520 1754 0 0 9479 7603 0 0 14391 11097 0 0 2520 1936 0 0 70350 7841 0 0 67626 8523 0 0 2520 0 0 1027 1583 1611 10483 0 0 3.70662 3.70662 -130.298 -3.70662 0 0 701300. 2426.64 0.59 0.05 0.10 -1 -1 0.59 0.0130355 0.0116418 145 46 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 3.95 vpr 63.66 MiB -1 -1 0.12 21076 1 0.02 -1 -1 33144 -1 -1 31 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65188 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 25.2 MiB 0.10 1009 63.7 MiB 0.05 0.00 2.8933 -91.5477 -2.8933 2.8933 0.75 0.000190895 0.000160563 0.00831616 0.00706047 32 2194 24 6.64007e+06 389298 554710. 1919.41 0.74 0.0382277 0.0329178 22834 132086 -1 1872 19 1047 1837 118933 27267 0 0 118933 27267 1837 1215 0 0 6682 5480 0 0 10314 7891 0 0 1837 1363 0 0 49770 5512 0 0 48493 5806 0 0 1837 0 0 790 836 841 6618 0 0 2.97917 2.97917 -110.304 -2.97917 0 0 701300. 2426.64 0.24 0.03 0.08 -1 -1 0.24 0.0102607 0.00916542 124 46 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.07 vpr 64.20 MiB -1 -1 0.12 20816 1 0.00 -1 -1 33132 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65740 32 32 350 275 1 214 89 17 17 289 -1 unnamed_device 25.5 MiB 0.22 1119 64.2 MiB 0.07 0.00 4.13153 -129.919 -4.13153 4.13153 0.74 0.000272352 0.000232502 0.0117342 0.00990065 32 2721 47 6.64007e+06 313950 554710. 1919.41 1.07 0.0634085 0.0558121 22834 132086 -1 2336 18 1778 2667 161287 39087 0 0 161287 39087 2667 2008 0 0 9521 8068 0 0 14453 10754 0 0 2667 2219 0 0 64531 8322 0 0 67448 7716 0 0 2667 0 0 889 756 731 6821 0 0 4.10068 4.10068 -144.695 -4.10068 0 0 701300. 2426.64 0.33 0.04 0.09 -1 -1 0.33 0.0121103 0.0108927 148 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 5.16 vpr 64.04 MiB -1 -1 0.11 20940 1 0.01 -1 -1 33188 -1 -1 36 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65580 32 32 386 307 1 195 100 17 17 289 -1 unnamed_device 25.5 MiB 0.12 1104 64.0 MiB 0.08 0.00 3.87621 -121.227 -3.87621 3.87621 0.95 0.000188494 0.000156375 0.0118604 0.0100959 28 2513 15 6.64007e+06 452088 500653. 1732.36 2.13 0.0822276 0.0713593 21970 115934 -1 2152 21 1068 1763 111230 26072 0 0 111230 26072 1763 1224 0 0 6405 4983 0 0 8976 7229 0 0 1763 1364 0 0 47441 5482 0 0 44882 5790 0 0 1763 0 0 695 674 883 5917 0 0 3.32537 3.32537 -127.212 -3.32537 0 0 612192. 2118.31 0.24 0.04 0.09 -1 -1 0.24 0.0143989 0.0130203 144 59 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 5.20 vpr 63.46 MiB -1 -1 0.12 20420 1 0.01 -1 -1 33296 -1 -1 17 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64988 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 25.1 MiB 0.06 649 63.5 MiB 0.06 0.00 2.88681 -85.3419 -2.88681 2.88681 0.77 0.000128761 0.000105419 0.00824084 0.00695122 32 1322 21 6.64007e+06 213486 554710. 1919.41 2.24 0.0596346 0.0505976 22834 132086 -1 1210 19 807 1196 74454 19572 0 0 74454 19572 1196 937 0 0 4549 3769 0 0 7262 5728 0 0 1196 1009 0 0 30018 4083 0 0 30233 4046 0 0 1196 0 0 389 429 460 3328 0 0 2.78317 2.78317 -94.5989 -2.78317 0 0 701300. 2426.64 0.29 0.03 0.10 -1 -1 0.29 0.00868486 0.00772932 91 28 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 5.18 vpr 63.61 MiB -1 -1 0.11 20868 1 0.01 -1 -1 33096 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65140 32 32 310 266 1 175 85 17 17 289 -1 unnamed_device 25.2 MiB 0.16 906 63.6 MiB 0.07 0.00 3.19816 -104.679 -3.19816 3.19816 0.78 0.000140912 0.000114734 0.0127085 0.0105604 32 1966 21 6.64007e+06 263718 554710. 1919.41 2.24 0.0792221 0.0677435 22834 132086 -1 1820 21 1426 1891 143117 32592 0 0 143117 32592 1891 1567 0 0 7157 5969 0 0 11409 8718 0 0 1891 1639 0 0 60096 7619 0 0 60673 7080 0 0 1891 0 0 465 389 428 4103 0 0 3.49343 3.49343 -124.722 -3.49343 0 0 701300. 2426.64 0.26 0.04 0.08 -1 -1 0.26 0.0111686 0.0098455 117 55 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 3.83 vpr 63.59 MiB -1 -1 0.12 20808 1 0.01 -1 -1 33332 -1 -1 37 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65112 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 25.1 MiB 0.06 1074 63.6 MiB 0.10 0.01 3.82167 -106.687 -3.82167 3.82167 0.89 0.000152286 0.000125082 0.0229065 0.0214537 26 2490 23 6.64007e+06 464646 477104. 1650.88 0.94 0.0589734 0.0528376 21682 110474 -1 2198 19 1376 2403 166115 37639 0 0 166115 37639 2403 1765 0 0 8659 6907 0 0 12999 9889 0 0 2403 1908 0 0 68930 8891 0 0 70721 8279 0 0 2403 0 0 1027 1353 1416 9845 0 0 3.95803 3.95803 -130.145 -3.95803 0 0 585099. 2024.56 0.21 0.04 0.07 -1 -1 0.21 0.0107484 0.00961414 129 29 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 3.73 vpr 63.45 MiB -1 -1 0.10 20612 1 0.01 -1 -1 33288 -1 -1 22 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64976 29 32 262 224 1 168 83 17 17 289 -1 unnamed_device 24.9 MiB 0.20 863 63.5 MiB 0.05 0.00 3.36661 -94.4008 -3.36661 3.36661 0.93 0.000155022 0.000129944 0.00837675 0.00708462 26 2158 21 6.64007e+06 276276 477104. 1650.88 0.68 0.0342302 0.029735 21682 110474 -1 1838 20 1190 1543 106003 25178 0 0 106003 25178 1543 1355 0 0 5621 4573 0 0 8294 6459 0 0 1543 1396 0 0 44190 5803 0 0 44812 5592 0 0 1543 0 0 353 360 289 3301 0 0 3.32403 3.32403 -112.052 -3.32403 0 0 585099. 2024.56 0.21 0.03 0.08 -1 -1 0.21 0.00912943 0.00814125 109 25 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.78 vpr 63.35 MiB -1 -1 0.10 20620 1 0.00 -1 -1 33160 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64872 32 32 278 238 1 149 81 17 17 289 -1 unnamed_device 24.8 MiB 0.11 780 63.4 MiB 0.06 0.00 3.14521 -97.6445 -3.14521 3.14521 0.88 0.00013186 0.000105716 0.0106796 0.00880012 30 1889 21 6.64007e+06 213486 526063. 1820.29 1.75 0.0619314 0.0530657 22546 126617 -1 1566 21 1045 1718 99000 23120 0 0 99000 23120 1718 1240 0 0 5653 4569 0 0 7512 5952 0 0 1718 1354 0 0 41969 4945 0 0 40430 5060 0 0 1718 0 0 673 656 705 5326 0 0 2.68457 2.68457 -102.146 -2.68457 0 0 666494. 2306.21 0.31 0.03 0.08 -1 -1 0.31 0.00925445 0.00824255 108 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 5.45 vpr 63.95 MiB -1 -1 0.11 20668 1 0.01 -1 -1 33340 -1 -1 36 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65488 31 32 373 300 1 181 99 17 17 289 -1 unnamed_device 25.6 MiB 0.12 996 64.0 MiB 0.08 0.00 3.26641 -99.9164 -3.26641 3.26641 0.78 0.000167972 0.000136954 0.013319 0.0110919 30 1973 21 6.64007e+06 452088 526063. 1820.29 2.53 0.0941529 0.0807957 22546 126617 -1 1766 19 1072 1750 101901 22845 0 0 101901 22845 1750 1158 0 0 5911 4539 0 0 7744 6217 0 0 1750 1331 0 0 40986 5127 0 0 43760 4473 0 0 1750 0 0 678 992 881 6425 0 0 2.93397 2.93397 -108.814 -2.93397 0 0 666494. 2306.21 0.28 0.04 0.08 -1 -1 0.28 0.0114985 0.0102768 136 60 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 3.74 vpr 63.38 MiB -1 -1 0.10 20808 1 0.01 -1 -1 33312 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64904 31 32 265 230 1 162 83 17 17 289 -1 unnamed_device 24.8 MiB 0.17 982 63.4 MiB 0.06 0.00 3.15716 -100.932 -3.15716 3.15716 0.92 0.000129134 0.000104953 0.0110402 0.00928597 26 2402 28 6.64007e+06 251160 477104. 1650.88 0.89 0.0405505 0.0348127 21682 110474 -1 2038 16 1033 1479 128910 28134 0 0 128910 28134 1479 1286 0 0 5560 4611 0 0 8115 6363 0 0 1479 1364 0 0 57061 7381 0 0 55216 7129 0 0 1479 0 0 446 426 449 3692 0 0 3.07163 3.07163 -114.128 -3.07163 0 0 585099. 2024.56 0.21 0.03 0.07 -1 -1 0.21 0.00779079 0.0070058 107 30 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 5.52 vpr 63.89 MiB -1 -1 0.11 20936 1 0.02 -1 -1 33312 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65424 32 32 349 286 1 171 96 17 17 289 -1 unnamed_device 25.3 MiB 0.20 1075 63.9 MiB 0.12 0.00 3.01201 -100.358 -3.01201 3.01201 1.03 0.000159803 0.000130337 0.0149799 0.0124947 28 2435 21 6.64007e+06 401856 500653. 1732.36 2.30 0.0888711 0.0774468 21970 115934 -1 2031 18 1105 1868 112572 26215 0 0 112572 26215 1868 1323 0 0 6485 5122 0 0 9378 7302 0 0 1868 1445 0 0 47150 5488 0 0 45823 5535 0 0 1868 0 0 763 1043 1213 7951 0 0 2.77577 2.77577 -110.145 -2.77577 0 0 612192. 2118.31 0.22 0.03 0.07 -1 -1 0.22 0.0109013 0.00980382 127 54 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.00 vpr 63.98 MiB -1 -1 0.12 20804 1 0.01 -1 -1 33288 -1 -1 32 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65516 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 25.6 MiB 0.24 913 64.0 MiB 0.09 0.00 3.50555 -110.684 -3.50555 3.50555 0.97 0.000188349 0.000157409 0.0167847 0.0141268 32 2147 21 6.64007e+06 401856 554710. 1919.41 0.74 0.0504998 0.0432837 22834 132086 -1 1803 21 1223 1814 116092 27503 0 0 116092 27503 1814 1343 0 0 6823 5595 0 0 10121 7956 0 0 1814 1449 0 0 48725 5469 0 0 46795 5691 0 0 1814 0 0 591 751 680 5522 0 0 3.17963 3.17963 -124.569 -3.17963 0 0 701300. 2426.64 0.27 0.04 0.10 -1 -1 0.27 0.0127126 0.0113175 138 87 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.88 vpr 63.31 MiB -1 -1 0.11 20760 1 0.01 -1 -1 33188 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64832 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 24.8 MiB 0.13 888 63.3 MiB 0.07 0.00 2.6639 -87.2845 -2.6639 2.6639 0.82 0.000143043 0.00011707 0.0123866 0.0100718 28 1974 23 6.64007e+06 213486 500653. 1732.36 2.09 0.0699984 0.0593759 21970 115934 -1 1772 23 944 1532 117212 26507 0 0 117212 26507 1532 1228 0 0 5525 4582 0 0 8161 6467 0 0 1532 1258 0 0 51211 6556 0 0 49251 6416 0 0 1532 0 0 588 523 583 4552 0 0 2.73897 2.73897 -102.86 -2.73897 0 0 612192. 2118.31 0.22 0.03 0.07 -1 -1 0.22 0.0106543 0.00941711 104 54 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 3.92 vpr 63.57 MiB -1 -1 0.11 20516 1 0.01 -1 -1 33204 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65096 32 32 290 244 1 175 85 17 17 289 -1 unnamed_device 25.1 MiB 0.19 846 63.6 MiB 0.07 0.00 3.55527 -109.103 -3.55527 3.55527 1.00 0.000154958 0.000130278 0.0111176 0.0092298 32 2245 19 6.64007e+06 263718 554710. 1919.41 0.71 0.0382847 0.0328554 22834 132086 -1 1876 19 1337 1984 141984 33307 0 0 141984 33307 1984 1652 0 0 7378 6213 0 0 10950 8426 0 0 1984 1733 0 0 60004 7806 0 0 59684 7477 0 0 1984 0 0 647 610 510 5045 0 0 3.14763 3.14763 -118.7 -3.14763 0 0 701300. 2426.64 0.25 0.10 0.08 -1 -1 0.25 0.0102061 0.00918928 117 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 5.38 vpr 63.74 MiB -1 -1 0.10 20780 1 0.01 -1 -1 33336 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65272 32 32 318 257 1 194 87 17 17 289 -1 unnamed_device 25.3 MiB 0.17 1051 63.7 MiB 0.07 0.00 3.86215 -116.454 -3.86215 3.86215 1.07 0.000146712 0.000119873 0.0123782 0.0102992 28 2514 19 6.64007e+06 288834 500653. 1732.36 2.35 0.0781905 0.0667039 21970 115934 -1 2238 21 1554 2153 142673 34101 0 0 142673 34101 2153 1793 0 0 7833 6440 0 0 11693 9322 0 0 2153 1923 0 0 59946 7456 0 0 58895 7167 0 0 2153 0 0 599 535 629 5148 0 0 3.79382 3.79382 -133.679 -3.79382 0 0 612192. 2118.31 0.22 0.04 0.07 -1 -1 0.22 0.0125223 0.0113126 130 27 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.92 vpr 63.57 MiB -1 -1 0.12 20596 1 0.01 -1 -1 33328 -1 -1 29 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65096 29 32 324 268 1 168 90 17 17 289 -1 unnamed_device 25.1 MiB 0.14 859 63.6 MiB 0.08 0.00 3.68447 -96.6025 -3.68447 3.68447 0.85 0.000171315 0.000143972 0.0142524 0.0120208 26 2312 26 6.64007e+06 364182 477104. 1650.88 2.12 0.0823373 0.0708805 21682 110474 -1 1818 20 903 1484 94168 22476 0 0 94168 22476 1484 1163 0 0 5483 4325 0 0 7869 6209 0 0 1484 1256 0 0 38616 4942 0 0 39232 4581 0 0 1484 0 0 581 859 894 5982 0 0 3.53023 3.53023 -109.786 -3.53023 0 0 585099. 2024.56 0.22 0.03 0.07 -1 -1 0.22 0.0106364 0.00949875 122 49 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.10 vpr 64.22 MiB -1 -1 0.11 21076 1 0.01 -1 -1 33216 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65764 32 32 393 312 1 213 88 17 17 289 -1 unnamed_device 25.4 MiB 0.23 1157 64.2 MiB 0.14 0.00 4.33064 -141.129 -4.33064 4.33064 0.77 0.000191653 0.00015724 0.0122531 0.0101762 32 2573 21 6.64007e+06 301392 554710. 1919.41 0.84 0.046456 0.0397159 22834 132086 -1 2288 22 1770 2556 175991 41897 0 0 175991 41897 2556 1937 0 0 9711 8342 0 0 15778 12119 0 0 2556 2127 0 0 73666 8417 0 0 71724 8955 0 0 2556 0 0 786 808 804 7030 0 0 4.05449 4.05449 -148.491 -4.05449 0 0 701300. 2426.64 0.26 0.05 0.09 -1 -1 0.26 0.0141077 0.0126009 154 62 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 3.51 vpr 63.16 MiB -1 -1 0.11 20336 1 0.01 -1 -1 32836 -1 -1 18 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64676 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 24.6 MiB 0.03 706 63.2 MiB 0.03 0.00 2.9133 -81.7891 -2.9133 2.9133 0.82 0.000117659 9.6621e-05 0.00585339 0.00487872 28 1685 19 6.64007e+06 226044 500653. 1732.36 0.81 0.05515 0.0513964 21970 115934 -1 1523 17 679 1086 68418 16423 0 0 68418 16423 1086 868 0 0 3827 2911 0 0 5428 4305 0 0 1086 909 0 0 29779 3555 0 0 27212 3875 0 0 1086 0 0 407 314 326 3047 0 0 2.71576 2.71576 -94.8353 -2.71576 0 0 612192. 2118.31 0.23 0.02 0.07 -1 -1 0.23 0.00758478 0.0068388 96 -1 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 5.87 vpr 64.04 MiB -1 -1 0.12 20932 1 0.00 -1 -1 33364 -1 -1 34 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65576 32 32 412 334 1 190 98 17 17 289 -1 unnamed_device 25.5 MiB 0.12 1105 64.0 MiB 0.10 0.00 3.56376 -119.795 -3.56376 3.56376 0.87 0.000189971 0.000157112 0.0157434 0.0131677 32 2591 21 6.64007e+06 426972 554710. 1919.41 2.71 0.139811 0.123872 22834 132086 -1 2193 21 1638 2412 186753 41577 0 0 186753 41577 2412 1857 0 0 9061 7377 0 0 14392 11032 0 0 2412 2023 0 0 79725 9794 0 0 78751 9494 0 0 2412 0 0 774 928 921 7135 0 0 3.71063 3.71063 -139.204 -3.71063 0 0 701300. 2426.64 0.32 0.08 0.08 -1 -1 0.32 0.0146815 0.0130231 145 87 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.01 vpr 63.65 MiB -1 -1 0.11 20940 1 0.01 -1 -1 33368 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65180 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 25.2 MiB 0.20 824 63.7 MiB 0.05 0.00 2.8021 -101.477 -2.8021 2.8021 1.01 0.000161101 0.000131232 0.0110999 0.00936936 32 1791 19 6.64007e+06 213486 554710. 1919.41 0.89 0.0486877 0.0428065 22834 132086 -1 1642 21 1362 2045 136290 30418 0 0 136290 30418 2045 1522 0 0 6901 5469 0 0 11240 8065 0 0 2045 1660 0 0 56057 6759 0 0 58002 6943 0 0 2045 0 0 683 780 789 5813 0 0 3.08917 3.08917 -124.292 -3.08917 0 0 701300. 2426.64 0.25 0.04 0.09 -1 -1 0.25 0.0113419 0.0100491 114 93 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 3.62 vpr 63.71 MiB -1 -1 0.12 20936 1 0.01 -1 -1 33268 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65244 32 32 360 293 1 179 96 17 17 289 -1 unnamed_device 25.1 MiB 0.10 1004 63.7 MiB 0.08 0.00 3.45707 -108.459 -3.45707 3.45707 0.77 0.000194879 0.000164166 0.0135533 0.0113921 32 2221 21 6.64007e+06 401856 554710. 1919.41 0.88 0.0454124 0.0390752 22834 132086 -1 1813 15 842 1265 77135 18183 0 0 77135 18183 1265 923 0 0 4560 3542 0 0 6565 5048 0 0 1265 996 0 0 31424 3879 0 0 32056 3795 0 0 1265 0 0 423 562 526 4348 0 0 3.09343 3.09343 -110.74 -3.09343 0 0 701300. 2426.64 0.25 0.03 0.08 -1 -1 0.25 0.010323 0.0093462 131 57 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 6.02 vpr 63.82 MiB -1 -1 0.13 20756 1 0.01 -1 -1 33360 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65348 32 32 396 299 1 236 91 17 17 289 -1 unnamed_device 25.5 MiB 0.26 1295 63.8 MiB 0.12 0.00 5.15449 -155.274 -5.15449 5.15449 0.77 0.000198533 0.000164934 0.0205823 0.0175277 32 3284 23 6.64007e+06 339066 554710. 1919.41 2.82 0.124389 0.103937 22834 132086 -1 2699 21 1987 2911 231502 51063 0 0 231502 51063 2911 2435 0 0 11122 9047 0 0 16366 12749 0 0 2911 2634 0 0 100405 12187 0 0 97787 12011 0 0 2911 0 0 924 1420 1279 9014 0 0 5.43934 5.43934 -173 -5.43934 0 0 701300. 2426.64 0.25 0.06 0.09 -1 -1 0.25 0.0148993 0.0133787 170 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 5.03 vpr 63.21 MiB -1 -1 0.10 20684 1 0.01 -1 -1 33104 -1 -1 18 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64732 30 32 224 207 1 137 80 17 17 289 -1 unnamed_device 24.7 MiB 0.14 602 63.2 MiB 0.04 0.00 2.5747 -78.1428 -2.5747 2.5747 0.80 0.000109697 8.8545e-05 0.0071388 0.00594391 28 1575 28 6.64007e+06 226044 500653. 1732.36 2.21 0.0462839 0.0392488 21970 115934 -1 1282 17 751 943 69573 17047 0 0 69573 17047 943 825 0 0 3359 2748 0 0 4950 3899 0 0 943 868 0 0 27593 4567 0 0 31785 4140 0 0 943 0 0 192 182 218 1919 0 0 2.40817 2.40817 -89.7622 -2.40817 0 0 612192. 2118.31 0.31 0.06 0.07 -1 -1 0.31 0.02935 0.00695272 87 29 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.79 vpr 63.57 MiB -1 -1 0.11 20400 1 0.01 -1 -1 33300 -1 -1 16 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65100 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 25.0 MiB 0.08 610 63.6 MiB 0.04 0.00 3.52781 -96.4169 -3.52781 3.52781 1.05 0.000140145 0.000114275 0.00767875 0.00644186 28 1624 21 6.64007e+06 200928 500653. 1732.36 1.94 0.0635629 0.0542744 21970 115934 -1 1378 20 701 1099 70942 18097 0 0 70942 18097 1099 863 0 0 4157 3274 0 0 5747 4724 0 0 1099 943 0 0 28209 4640 0 0 30631 3653 0 0 1099 0 0 398 659 327 3495 0 0 3.05197 3.05197 -107.875 -3.05197 0 0 612192. 2118.31 0.22 0.03 0.07 -1 -1 0.22 0.00940984 0.00842527 92 29 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 3.63 vpr 63.60 MiB -1 -1 0.10 20484 1 0.01 -1 -1 33196 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65128 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 25.1 MiB 0.07 741 63.6 MiB 0.05 0.00 2.8981 -91.503 -2.8981 2.8981 0.78 0.000141486 0.000115342 0.00975084 0.00814136 32 2046 23 6.64007e+06 263718 554710. 1919.41 0.87 0.037419 0.0321726 22834 132086 -1 1735 21 1361 2439 158493 37808 0 0 158493 37808 2439 1797 0 0 8523 7234 0 0 13670 9768 0 0 2439 2073 0 0 62571 8585 0 0 68851 8351 0 0 2439 0 0 1078 1465 1127 8975 0 0 3.22337 3.22337 -115.338 -3.22337 0 0 701300. 2426.64 0.24 0.04 0.09 -1 -1 0.24 0.0102578 0.00910509 115 31 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.51 vpr 63.18 MiB -1 -1 0.11 20760 1 0.01 -1 -1 33464 -1 -1 27 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64692 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 24.6 MiB 0.04 556 63.2 MiB 0.04 0.00 2.7119 -63.6186 -2.7119 2.7119 0.77 0.000105408 8.6005e-05 0.00761988 0.00633028 26 1466 25 6.64007e+06 339066 477104. 1650.88 1.79 0.0528836 0.0453996 21682 110474 -1 1215 20 730 1232 89677 21038 0 0 89677 21038 1232 938 0 0 4614 3770 0 0 7094 5545 0 0 1232 1042 0 0 37472 4971 0 0 38033 4772 0 0 1232 0 0 502 709 669 4828 0 0 2.96517 2.96517 -78.848 -2.96517 0 0 585099. 2024.56 0.22 0.03 0.07 -1 -1 0.22 0.00747 0.00664361 89 19 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 6.01 vpr 63.85 MiB -1 -1 0.12 20820 1 0.01 -1 -1 33188 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65384 32 32 376 307 1 185 85 17 17 289 -1 unnamed_device 25.4 MiB 0.13 1072 63.9 MiB 0.10 0.00 3.61676 -114.072 -3.61676 3.61676 0.75 0.000178272 0.000140464 0.0174619 0.0143898 30 2392 19 6.64007e+06 263718 526063. 1820.29 2.69 0.118669 0.106124 22546 126617 -1 2074 18 1050 1899 105255 24501 0 0 105255 24501 1899 1292 0 0 6263 5070 0 0 8493 6712 0 0 1899 1362 0 0 46019 4604 0 0 40682 5461 0 0 1899 0 0 849 724 677 6145 0 0 3.47022 3.47022 -128.025 -3.47022 0 0 666494. 2306.21 0.24 0.03 0.08 -1 -1 0.24 0.011353 0.0101657 136 69 -1 -1 -1 -1 - fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 5.93 vpr 63.85 MiB -1 -1 0.12 20852 1 0.02 -1 -1 33492 -1 -1 35 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65384 31 32 409 331 1 191 98 17 17 289 -1 unnamed_device 25.3 MiB 0.20 995 63.9 MiB 0.10 0.00 3.48461 -115.73 -3.48461 3.48461 0.77 0.00017735 0.000144148 0.0165299 0.0138209 32 2313 21 6.64007e+06 439530 554710. 1919.41 2.95 0.0902523 0.0778468 22834 132086 -1 2071 20 1413 2172 149048 34054 0 0 149048 34054 2172 1621 0 0 7812 6443 0 0 11938 9072 0 0 2172 1791 0 0 61516 7954 0 0 63438 7173 0 0 2172 0 0 759 915 969 6709 0 0 3.34603 3.34603 -128.235 -3.34603 0 0 701300. 2426.64 0.26 0.04 0.09 -1 -1 0.26 0.0131055 0.0116494 143 86 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.04 vpr 63.78 MiB -1 -1 0.11 20796 1 0.02 -1 -1 33376 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65308 32 32 354 285 1 202 94 17 17 289 -1 unnamed_device 25.2 MiB 0.44 1082 63.8 MiB 0.10 0.00 4.10361 -121.4 -4.10361 4.10361 0.76 0.000186264 0.000153491 0.0161931 0.013493 32 2478 22 6.65987e+06 380340 554710. 1919.41 0.90 0.0705262 0.0633429 22834 132086 -1 2093 21 1633 2519 157956 39625 0 0 157956 39625 2519 1881 0 0 9725 8230 0 0 14839 11729 0 0 2519 2034 0 0 64733 7835 0 0 63621 7916 0 0 2519 0 0 886 1139 1009 8279 0 0 4.42917 4.42917 -147.327 -4.42917 0 0 701300. 2426.64 0.26 0.04 0.12 -1 -1 0.26 0.0128722 0.0115231 152 47 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 3.83 vpr 63.83 MiB -1 -1 0.12 20876 1 0.01 -1 -1 33428 -1 -1 23 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65364 30 32 363 293 1 194 85 17 17 289 -1 unnamed_device 25.2 MiB 0.24 991 63.8 MiB 0.09 0.00 3.67516 -115.945 -3.67516 3.67516 0.95 0.000165644 0.000136056 0.0159115 0.0133283 32 2373 25 6.65987e+06 291594 554710. 1919.41 0.77 0.0520299 0.0448945 22834 132086 -1 2070 22 1729 2573 202728 45273 0 0 202728 45273 2573 2170 0 0 9713 8235 0 0 15370 11847 0 0 2573 2239 0 0 92249 9315 0 0 80250 11467 0 0 2573 0 0 844 859 825 6789 0 0 4.18983 4.18983 -140.491 -4.18983 0 0 701300. 2426.64 0.24 0.05 0.08 -1 -1 0.24 0.0131022 0.0116906 138 58 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 5.96 vpr 63.30 MiB -1 -1 0.11 21156 1 0.01 -1 -1 33376 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64820 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 24.8 MiB 0.09 1069 63.3 MiB 0.05 0.00 3.25104 -98.8467 -3.25104 3.25104 0.91 0.000166903 0.000140393 0.00910519 0.00771273 26 2559 23 6.65987e+06 291594 477104. 1650.88 2.79 0.077263 0.0663174 21682 110474 -1 2226 27 1536 2083 266134 109226 0 0 266134 109226 2083 1737 0 0 8016 6662 0 0 13459 10609 0 0 2083 1870 0 0 122642 45600 0 0 117851 42748 0 0 2083 0 0 547 650 584 5126 0 0 3.65251 3.65251 -124.299 -3.65251 0 0 585099. 2024.56 0.41 0.11 0.07 -1 -1 0.41 0.025725 0.0241294 126 26 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 3.89 vpr 63.36 MiB -1 -1 0.12 20612 1 0.01 -1 -1 33148 -1 -1 27 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64884 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 24.9 MiB 0.07 893 63.4 MiB 0.05 0.00 3.3873 -93.5418 -3.3873 3.3873 0.76 0.000165818 0.000138982 0.0078649 0.00655381 28 2106 22 6.65987e+06 342306 500653. 1732.36 0.92 0.0396829 0.0342553 21970 115934 -1 1950 24 1430 2816 209526 59183 0 0 209526 59183 2816 1967 0 0 10414 8840 0 0 16036 12441 0 0 2816 2137 0 0 86733 16803 0 0 90711 16995 0 0 2816 0 0 1386 1763 1741 11850 0 0 3.63151 3.63151 -113.314 -3.63151 0 0 612192. 2118.31 0.25 0.05 0.07 -1 -1 0.25 0.0118523 0.0105429 126 25 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 3.93 vpr 63.53 MiB -1 -1 0.12 20824 1 0.01 -1 -1 33288 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65052 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 24.9 MiB 0.08 1033 63.5 MiB 0.08 0.00 3.36095 -103.8 -3.36095 3.36095 1.01 0.000186543 0.000157489 0.0148451 0.0124211 32 2658 23 6.65987e+06 291594 554710. 1919.41 0.84 0.0497173 0.0425822 22834 132086 -1 2334 21 1615 3097 259134 59021 0 0 259134 59021 3097 2264 0 0 11715 9966 0 0 19309 14552 0 0 3097 2456 0 0 111358 14888 0 0 110558 14895 0 0 3097 0 0 1482 1841 1593 12105 0 0 3.43505 3.43505 -128.039 -3.43505 0 0 701300. 2426.64 0.25 0.06 0.08 -1 -1 0.25 0.0120706 0.0108221 130 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 5.59 vpr 63.89 MiB -1 -1 0.11 20872 1 0.01 -1 -1 33184 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65428 32 32 366 295 1 189 97 17 17 289 -1 unnamed_device 25.3 MiB 0.13 919 63.9 MiB 0.13 0.00 2.58864 -91.1676 -2.58864 2.58864 0.85 0.000176237 0.000143953 0.0227596 0.0184036 32 2515 27 6.65987e+06 418374 554710. 1919.41 2.63 0.118562 0.103508 22834 132086 -1 1958 18 1302 2075 136275 32967 0 0 136275 32967 2075 1573 0 0 7740 6375 0 0 12090 9363 0 0 2075 1701 0 0 55784 7204 0 0 56511 6751 0 0 2075 0 0 773 976 929 7227 0 0 3.09831 3.09831 -109.684 -3.09831 0 0 701300. 2426.64 0.26 0.04 0.09 -1 -1 0.26 0.0119601 0.0107805 141 55 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.58 vpr 63.11 MiB -1 -1 0.11 20372 1 0.02 -1 -1 33328 -1 -1 18 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64628 27 32 259 221 1 130 77 17 17 289 -1 unnamed_device 24.7 MiB 0.16 644 63.1 MiB 0.05 0.00 3.02895 -81.0603 -3.02895 3.02895 0.89 0.00012342 0.000100631 0.00965098 0.00799198 30 1458 18 6.65987e+06 228204 526063. 1820.29 1.68 0.0586353 0.0506236 22546 126617 -1 1196 17 611 1033 52131 12879 0 0 52131 12879 1033 645 0 0 3430 2757 0 0 4548 3627 0 0 1033 701 0 0 19750 2735 0 0 22337 2414 0 0 1033 0 0 422 398 350 3269 0 0 2.59551 2.59551 -89.2382 -2.59551 0 0 666494. 2306.21 0.25 0.02 0.08 -1 -1 0.25 0.00817678 0.00739957 94 26 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 3.70 vpr 63.59 MiB -1 -1 0.12 20500 1 0.01 -1 -1 33164 -1 -1 31 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65120 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 25.2 MiB 0.04 870 63.6 MiB 0.07 0.00 2.49244 -77.2921 -2.49244 2.49244 0.94 0.000154796 0.000129172 0.00996536 0.00839264 30 2022 21 6.65987e+06 393018 526063. 1820.29 0.88 0.0360874 0.031007 22546 126617 -1 1686 14 689 1194 74403 16946 0 0 74403 16946 1194 835 0 0 4127 3173 0 0 5216 4356 0 0 1194 901 0 0 31488 3726 0 0 31184 3955 0 0 1194 0 0 505 610 632 4945 0 0 2.43811 2.43811 -88.6061 -2.43811 0 0 666494. 2306.21 0.24 0.02 0.08 -1 -1 0.24 0.00810851 0.00739742 115 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 3.87 vpr 63.34 MiB -1 -1 0.11 20880 1 0.02 -1 -1 33300 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64860 31 32 317 271 1 168 82 17 17 289 -1 unnamed_device 24.8 MiB 0.13 947 63.3 MiB 0.07 0.00 2.68253 -95.8496 -2.68253 2.68253 0.97 0.00014661 0.000120204 0.013815 0.011429 32 2208 22 6.65987e+06 240882 554710. 1919.41 0.69 0.0410115 0.0349645 22834 132086 -1 1874 20 1264 1876 131840 29899 0 0 131840 29899 1876 1495 0 0 6988 5665 0 0 10817 8287 0 0 1876 1601 0 0 53874 6743 0 0 56409 6108 0 0 1876 0 0 612 553 589 4854 0 0 2.96951 2.96951 -116.963 -2.96951 0 0 701300. 2426.64 0.45 0.04 0.08 -1 -1 0.45 0.0109508 0.009812 111 60 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 3.86 vpr 63.30 MiB -1 -1 0.10 20620 1 0.02 -1 -1 33168 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64824 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 24.7 MiB 0.22 930 63.3 MiB 0.07 0.00 2.86455 -99.7586 -2.86455 2.86455 0.98 0.000144236 0.000119401 0.0131591 0.0109657 32 1984 21 6.65987e+06 215526 554710. 1919.41 0.70 0.0394887 0.0337163 22834 132086 -1 1778 21 1089 1730 125103 28968 0 0 125103 28968 1730 1354 0 0 6592 5670 0 0 10209 8218 0 0 1730 1428 0 0 51692 6393 0 0 53150 5905 0 0 1730 0 0 641 675 675 5517 0 0 2.76451 2.76451 -109.57 -2.76451 0 0 701300. 2426.64 0.25 0.04 0.08 -1 -1 0.25 0.0106658 0.0095571 113 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 3.85 vpr 63.29 MiB -1 -1 0.11 20676 1 0.01 -1 -1 33160 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64804 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 24.8 MiB 0.22 715 63.3 MiB 0.08 0.00 3.13415 -87.8029 -3.13415 3.13415 1.08 0.000154466 0.000128805 0.0113532 0.010541 28 1650 17 6.65987e+06 215526 500653. 1732.36 0.71 0.0368202 0.0325684 21970 115934 -1 1431 17 665 1047 70473 17082 0 0 70473 17082 1047 799 0 0 3955 3241 0 0 5482 4514 0 0 1047 880 0 0 28933 3835 0 0 30009 3813 0 0 1047 0 0 382 477 458 3408 0 0 2.80291 2.80291 -99.3078 -2.80291 0 0 612192. 2118.31 0.25 0.03 0.07 -1 -1 0.25 0.0095444 0.00862359 98 58 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 5.35 vpr 63.27 MiB -1 -1 0.10 20892 1 0.01 -1 -1 33140 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64788 32 32 276 237 1 166 81 17 17 289 -1 unnamed_device 24.9 MiB 0.23 1005 63.3 MiB 0.04 0.00 2.91589 -97.8016 -2.91589 2.91589 0.89 0.000135059 0.000110668 0.00756473 0.00633749 32 2039 21 6.65987e+06 215526 554710. 1919.41 2.39 0.0650244 0.0554358 22834 132086 -1 1849 19 1035 1394 101853 23397 0 0 101853 23397 1394 1152 0 0 5381 4666 0 0 7850 6322 0 0 1394 1211 0 0 43331 4952 0 0 42503 5094 0 0 1394 0 0 359 324 315 3140 0 0 2.67265 2.67265 -107.841 -2.67265 0 0 701300. 2426.64 0.29 0.03 0.08 -1 -1 0.29 0.0210666 0.0201211 106 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 3.75 vpr 63.79 MiB -1 -1 0.10 20704 1 0.01 -1 -1 33268 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65320 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 25.3 MiB 0.19 1087 63.8 MiB 0.22 0.01 3.37501 -113.673 -3.37501 3.37501 0.77 0.000171652 0.000142079 0.0219677 0.0186902 30 2461 45 6.65987e+06 304272 526063. 1820.29 0.78 0.0627497 0.0540698 22546 126617 -1 2112 24 1470 2166 141099 31598 0 0 141099 31598 2166 1737 0 0 7125 5668 0 0 9983 7803 0 0 2166 1836 0 0 60616 7372 0 0 59043 7182 0 0 2166 0 0 696 769 629 5670 0 0 3.01031 3.01031 -120.296 -3.01031 0 0 666494. 2306.21 0.25 0.04 0.08 -1 -1 0.25 0.0128896 0.0114356 139 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 5.59 vpr 63.71 MiB -1 -1 0.11 20892 1 0.02 -1 -1 33164 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65236 32 32 363 295 1 181 94 17 17 289 -1 unnamed_device 25.2 MiB 0.16 986 63.7 MiB 0.10 0.00 3.76229 -109.603 -3.76229 3.76229 1.00 0.000188245 0.00015581 0.0156452 0.012968 32 2371 30 6.65987e+06 380340 554710. 1919.41 2.40 0.0957454 0.0816735 22834 132086 -1 2031 21 1584 2497 190286 42570 0 0 190286 42570 2497 1821 0 0 9394 7927 0 0 15046 11499 0 0 2497 2016 0 0 83002 9322 0 0 77850 9985 0 0 2497 0 0 913 1081 931 7794 0 0 3.66231 3.66231 -123.219 -3.66231 0 0 701300. 2426.64 0.33 0.05 0.09 -1 -1 0.33 0.0122931 0.010982 133 58 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.42 vpr 63.10 MiB -1 -1 0.10 20804 1 0.02 -1 -1 33400 -1 -1 21 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64612 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 24.7 MiB 0.13 698 63.1 MiB 0.05 0.00 2.56293 -74.8303 -2.56293 2.56293 0.76 0.000130851 0.000107512 0.00816515 0.0067732 30 1661 20 6.65987e+06 266238 526063. 1820.29 1.78 0.0490667 0.0417425 22546 126617 -1 1446 16 714 1141 62781 15594 0 0 62781 15594 1141 830 0 0 3916 3185 0 0 5119 4202 0 0 1141 987 0 0 26124 3138 0 0 25340 3252 0 0 1141 0 0 427 276 301 3135 0 0 2.53151 2.53151 -87.0403 -2.53151 0 0 666494. 2306.21 0.24 0.02 0.08 -1 -1 0.24 0.00785852 0.00710011 98 21 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.22 vpr 63.52 MiB -1 -1 0.11 20880 1 0.01 -1 -1 33256 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65048 32 32 370 297 1 183 85 17 17 289 -1 unnamed_device 25.1 MiB 0.35 1096 63.5 MiB 0.08 0.00 3.1755 -102.099 -3.1755 3.1755 0.96 0.000182973 0.000151422 0.0137823 0.0115671 32 2580 22 6.65987e+06 266238 554710. 1919.41 0.97 0.0581062 0.0456116 22834 132086 -1 2297 20 1445 2521 196684 44547 0 0 196684 44547 2521 1866 0 0 9437 8077 0 0 15565 11858 0 0 2521 1991 0 0 81702 10870 0 0 84938 9885 0 0 2521 0 0 1076 1344 1407 9462 0 0 3.14637 3.14637 -122.627 -3.14637 0 0 701300. 2426.64 0.25 0.05 0.08 -1 -1 0.25 0.012939 0.0116111 132 55 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 3.77 vpr 63.44 MiB -1 -1 0.11 20880 1 0.02 -1 -1 33368 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64960 32 32 338 269 1 196 85 17 17 289 -1 unnamed_device 25.0 MiB 0.25 1132 63.4 MiB 0.07 0.00 3.69641 -122.527 -3.69641 3.69641 0.75 0.000194791 0.000154825 0.011735 0.00991486 32 2558 21 6.65987e+06 266238 554710. 1919.41 0.75 0.0411511 0.0353813 22834 132086 -1 2331 21 1457 1999 161351 37362 0 0 161351 37362 1999 1607 0 0 7961 6958 0 0 12623 9955 0 0 1999 1723 0 0 69762 8138 0 0 67007 8981 0 0 1999 0 0 542 563 496 4710 0 0 3.35197 3.35197 -128.82 -3.35197 0 0 701300. 2426.64 0.25 0.04 0.08 -1 -1 0.25 0.0126175 0.0112689 137 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 5.79 vpr 63.60 MiB -1 -1 0.12 20744 1 0.01 -1 -1 33256 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65124 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 25.2 MiB 0.19 885 63.6 MiB 0.06 0.00 2.30864 -87.3984 -2.30864 2.30864 0.75 0.000147011 0.000120222 0.0100571 0.0084568 32 1939 18 6.65987e+06 367662 554710. 1919.41 2.59 0.070655 0.0600441 22834 132086 -1 1761 19 1036 1585 115515 26938 0 0 115515 26938 1585 1146 0 0 6075 5198 0 0 9471 7323 0 0 1585 1276 0 0 49235 5980 0 0 47564 6015 0 0 1585 0 0 549 693 652 5337 0 0 2.27071 2.27071 -98.4735 -2.27071 0 0 701300. 2426.64 0.38 0.03 0.10 -1 -1 0.38 0.0101224 0.00903604 110 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.48 vpr 62.80 MiB -1 -1 0.09 20820 1 0.01 -1 -1 33292 -1 -1 15 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64312 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 24.4 MiB 0.10 657 62.8 MiB 0.05 0.00 1.87027 -66.1942 -1.87027 1.87027 1.17 0.000111785 9.1596e-05 0.00917881 0.00756687 26 1441 19 6.65987e+06 190170 477104. 1650.88 1.45 0.0565624 0.0497478 21682 110474 -1 1381 19 658 934 72866 17319 0 0 72866 17319 934 829 0 0 3875 3273 0 0 5537 4515 0 0 934 862 0 0 32459 3695 0 0 29127 4145 0 0 934 0 0 276 307 302 2489 0 0 1.94205 1.94205 -80.8141 -1.94205 0 0 585099. 2024.56 0.20 0.02 0.07 -1 -1 0.20 0.00711471 0.00633349 81 29 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 5.46 vpr 63.38 MiB -1 -1 0.10 20672 1 0.01 -1 -1 33320 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64900 31 32 291 243 1 171 82 17 17 289 -1 unnamed_device 24.9 MiB 0.30 832 63.4 MiB 0.05 0.00 3.73355 -112.498 -3.73355 3.73355 0.76 0.000157066 0.000127055 0.00832315 0.00706848 32 2134 22 6.65987e+06 240882 554710. 1919.41 2.46 0.0704757 0.059396 22834 132086 -1 1767 21 1037 1493 114820 27520 0 0 114820 27520 1493 1214 0 0 5802 5007 0 0 9925 7737 0 0 1493 1353 0 0 50369 5776 0 0 45738 6433 0 0 1493 0 0 456 515 418 3884 0 0 3.37791 3.37791 -124.492 -3.37791 0 0 701300. 2426.64 0.28 0.04 0.13 -1 -1 0.28 0.0109487 0.00979177 127 30 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 5.29 vpr 63.88 MiB -1 -1 0.11 21096 1 0.02 -1 -1 33372 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65416 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 25.5 MiB 0.04 1026 63.9 MiB 0.07 0.00 3.38856 -108.872 -3.38856 3.38856 0.76 0.00017291 0.000144833 0.0129319 0.0109244 32 2344 21 6.65987e+06 393018 554710. 1919.41 2.49 0.0899494 0.0632606 22834 132086 -1 2099 19 1321 1984 145840 34235 0 0 145840 34235 1984 1537 0 0 7598 6515 0 0 12197 9532 0 0 1984 1688 0 0 60534 7688 0 0 61543 7275 0 0 1984 0 0 663 840 833 6422 0 0 3.47443 3.47443 -125.958 -3.47443 0 0 701300. 2426.64 0.25 0.05 0.08 -1 -1 0.25 0.0122654 0.0109972 135 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 5.47 vpr 64.05 MiB -1 -1 0.11 20880 1 0.01 -1 -1 33120 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65588 32 32 372 300 1 204 87 17 17 289 -1 unnamed_device 25.3 MiB 0.29 1213 64.1 MiB 0.06 0.00 3.36484 -110.526 -3.36484 3.36484 0.76 0.000165813 0.000136444 0.0106389 0.00895047 30 2610 22 6.65987e+06 291594 526063. 1820.29 2.37 0.079934 0.0682748 22546 126617 -1 2146 19 1169 1917 113164 25665 0 0 113164 25665 1917 1356 0 0 6488 5095 0 0 8579 6913 0 0 1917 1499 0 0 46038 5657 0 0 48225 5145 0 0 1917 0 0 748 878 874 6381 0 0 3.33337 3.33337 -122.122 -3.33337 0 0 666494. 2306.21 0.24 0.04 0.08 -1 -1 0.24 0.0122414 0.0110243 142 59 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 3.75 vpr 62.94 MiB -1 -1 0.10 20588 1 0.01 -1 -1 33352 -1 -1 18 26 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64452 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 24.7 MiB 0.27 367 62.9 MiB 0.04 0.00 1.89953 -52.3182 -1.89953 1.89953 0.78 0.00010161 8.3866e-05 0.0080998 0.00680095 28 1205 33 6.65987e+06 228204 500653. 1732.36 0.75 0.0296637 0.0252016 21970 115934 -1 986 20 647 902 74777 21756 0 0 74777 21756 902 759 0 0 3339 2801 0 0 4810 3963 0 0 902 787 0 0 32119 6873 0 0 32705 6573 0 0 902 0 0 255 332 260 2389 0 0 2.02411 2.02411 -68.1052 -2.02411 0 0 612192. 2118.31 0.22 0.02 0.07 -1 -1 0.22 0.00641562 0.00569661 77 21 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 3.79 vpr 63.20 MiB -1 -1 0.11 20352 1 0.01 -1 -1 32944 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64712 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 24.8 MiB 0.08 929 63.2 MiB 0.04 0.00 3.9748 -101.056 -3.9748 3.9748 0.73 0.000147337 0.000121604 0.00613342 0.00524982 28 2340 21 6.65987e+06 266238 500653. 1732.36 0.95 0.0520882 0.0471897 21970 115934 -1 1990 21 1037 1940 137519 32034 0 0 137519 32034 1940 1472 0 0 7201 5832 0 0 10604 8493 0 0 1940 1570 0 0 57388 7271 0 0 58446 7396 0 0 1940 0 0 903 1039 1038 7484 0 0 3.74256 3.74256 -119.005 -3.74256 0 0 612192. 2118.31 0.23 0.05 0.10 -1 -1 0.23 0.011421 0.0102452 118 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.87 vpr 62.63 MiB -1 -1 0.09 20200 1 0.00 -1 -1 32960 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64132 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 24.1 MiB 0.05 445 62.6 MiB 0.08 0.00 1.96647 -57.992 -1.96647 1.96647 0.78 0.000101054 8.203e-05 0.00736417 0.00613388 28 1331 31 6.65987e+06 177492 500653. 1732.36 2.11 0.0501271 0.0428631 21970 115934 -1 1048 13 525 586 64163 18763 0 0 64163 18763 586 536 0 0 2329 1903 0 0 3309 2829 0 0 586 542 0 0 28578 6598 0 0 28775 6355 0 0 586 0 0 61 51 45 865 0 0 1.94431 1.94431 -71.9175 -1.94431 0 0 612192. 2118.31 0.22 0.02 0.07 -1 -1 0.22 0.00511333 0.0046466 79 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 5.04 vpr 63.55 MiB -1 -1 0.10 20520 1 0.01 -1 -1 33276 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65076 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 25.1 MiB 0.15 952 63.6 MiB 0.08 0.00 3.42395 -99.6489 -3.42395 3.42395 0.76 0.000170818 0.000141545 0.0124132 0.0103692 32 2076 22 6.65987e+06 380340 554710. 1919.41 2.21 0.0728392 0.0620935 22834 132086 -1 1918 21 1165 1816 137759 31884 0 0 137759 31884 1816 1424 0 0 7235 5907 0 0 11384 9149 0 0 1816 1515 0 0 57952 7048 0 0 57556 6841 0 0 1816 0 0 651 823 696 5906 0 0 3.52905 3.52905 -114.611 -3.52905 0 0 701300. 2426.64 0.24 0.04 0.09 -1 -1 0.24 0.0112726 0.0101108 123 21 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 5.62 vpr 63.66 MiB -1 -1 0.11 20444 1 0.01 -1 -1 33136 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65184 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.2 MiB 0.19 958 63.7 MiB 0.05 0.00 3.02324 -88.0996 -3.02324 3.02324 0.76 0.000157639 0.000129936 0.00662558 0.00560759 32 2282 20 6.65987e+06 393018 554710. 1919.41 2.37 0.0819553 0.0732462 22834 132086 -1 2010 20 1182 2026 136889 32117 0 0 136889 32117 2026 1388 0 0 7754 6271 0 0 11524 9161 0 0 2026 1558 0 0 57790 6670 0 0 55769 7069 0 0 2026 0 0 844 1097 1318 8139 0 0 2.94617 2.94617 -104.978 -2.94617 0 0 701300. 2426.64 0.29 0.14 0.09 -1 -1 0.29 0.0177272 0.0164447 128 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 5.30 vpr 63.45 MiB -1 -1 0.11 20596 1 0.01 -1 -1 33384 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64968 32 32 338 277 1 179 90 17 17 289 -1 unnamed_device 25.1 MiB 0.10 1088 63.4 MiB 0.09 0.00 3.32969 -104.657 -3.32969 3.32969 0.73 0.000160381 0.000131292 0.0152144 0.0126902 30 2232 21 6.65987e+06 329628 526063. 1820.29 2.52 0.0822586 0.0709234 22546 126617 -1 1957 22 1237 2176 126679 29178 0 0 126679 29178 2176 1500 0 0 7334 5899 0 0 10180 8093 0 0 2176 1651 0 0 53309 5820 0 0 51504 6215 0 0 2176 0 0 939 1137 1093 8107 0 0 3.41085 3.41085 -119.268 -3.41085 0 0 666494. 2306.21 0.25 0.04 0.08 -1 -1 0.25 0.0125135 0.011249 125 47 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.70 vpr 63.28 MiB -1 -1 0.11 20724 1 0.01 -1 -1 32944 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64800 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 24.8 MiB 0.04 780 63.3 MiB 0.04 0.00 2.29953 -80.5951 -2.29953 2.29953 0.85 0.000135513 0.000111334 0.00750404 0.00637047 28 1885 22 6.65987e+06 202848 500653. 1732.36 1.82 0.0635898 0.0542517 21970 115934 -1 1725 22 1014 1554 126826 28714 0 0 126826 28714 1554 1197 0 0 5867 4978 0 0 8325 6746 0 0 1554 1283 0 0 53693 7734 0 0 55833 6776 0 0 1554 0 0 540 490 590 4414 0 0 2.68565 2.68565 -103.502 -2.68565 0 0 612192. 2118.31 0.27 0.03 0.08 -1 -1 0.27 0.0101816 0.00910348 101 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.64 vpr 63.19 MiB -1 -1 0.12 20852 1 0.01 -1 -1 33248 -1 -1 23 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64708 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 24.7 MiB 0.07 677 63.2 MiB 0.03 0.00 2.39767 -75.3822 -2.39767 2.39767 0.88 0.000134607 0.000111627 0.00587607 0.00494368 26 1770 23 6.65987e+06 291594 477104. 1650.88 1.68 0.0475856 0.0405786 21682 110474 -1 1489 21 1016 1643 108633 26416 0 0 108633 26416 1643 1164 0 0 6123 4953 0 0 9232 7320 0 0 1643 1278 0 0 44913 6042 0 0 45079 5659 0 0 1643 0 0 627 671 715 5438 0 0 2.77991 2.77991 -93.6778 -2.77991 0 0 585099. 2024.56 0.23 0.04 0.07 -1 -1 0.23 0.00986644 0.00879147 97 29 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 5.53 vpr 63.12 MiB -1 -1 0.11 20584 1 0.02 -1 -1 33072 -1 -1 23 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64640 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 24.7 MiB 0.03 610 63.1 MiB 0.07 0.00 2.58899 -72.1138 -2.58899 2.58899 0.79 0.000127867 0.000102208 0.0122329 0.0100148 32 1739 24 6.65987e+06 291594 554710. 1919.41 2.42 0.0783539 0.0679744 22834 132086 -1 1390 23 1005 1627 126296 30658 0 0 126296 30658 1627 1290 0 0 6205 5196 0 0 9208 7204 0 0 1627 1374 0 0 55013 7224 0 0 52616 8370 0 0 1627 0 0 622 572 679 5036 0 0 2.79091 2.79091 -89.3892 -2.79091 0 0 701300. 2426.64 0.37 0.05 0.09 -1 -1 0.37 0.00957109 0.00845943 98 27 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.56 vpr 63.12 MiB -1 -1 0.10 20472 1 0.01 -1 -1 33160 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64636 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 24.6 MiB 0.09 842 63.1 MiB 0.03 0.00 2.97595 -94.2544 -2.97595 2.97595 0.75 0.000421185 0.000395859 0.00506269 0.00436965 30 1799 22 6.65987e+06 240882 526063. 1820.29 2.05 0.0536108 0.0462719 22546 126617 -1 1617 22 1008 1684 98918 23321 0 0 98918 23321 1684 1174 0 0 5858 4664 0 0 7509 6247 0 0 1684 1339 0 0 41078 5109 0 0 41105 4788 0 0 1684 0 0 676 620 723 5461 0 0 2.71151 2.71151 -105.672 -2.71151 0 0 666494. 2306.21 0.24 0.03 0.08 -1 -1 0.24 0.00901185 0.00802739 110 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.12 vpr 63.52 MiB -1 -1 0.11 20480 1 0.01 -1 -1 33164 -1 -1 27 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65044 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 25.0 MiB 0.10 756 63.5 MiB 0.04 0.00 2.64264 -79.6038 -2.64264 2.64264 0.80 0.000148044 0.000121581 0.0063411 0.00535009 26 2116 28 6.65987e+06 342306 477104. 1650.88 1.42 0.0510409 0.0460095 21682 110474 -1 1725 22 1124 1919 140807 34422 0 0 140807 34422 1919 1383 0 0 7182 5770 0 0 11162 8594 0 0 1919 1504 0 0 57189 8602 0 0 61436 8569 0 0 1919 0 0 795 1184 1008 7580 0 0 2.96091 2.96091 -103.613 -2.96091 0 0 585099. 2024.56 0.26 0.05 0.07 -1 -1 0.26 0.0204801 0.0193352 103 26 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.83 vpr 63.27 MiB -1 -1 0.12 20396 1 0.01 -1 -1 33136 -1 -1 25 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64784 29 32 291 250 1 153 86 17 17 289 -1 unnamed_device 24.6 MiB 0.19 845 63.3 MiB 0.05 0.00 2.43438 -80.6856 -2.43438 2.43438 0.96 0.00014257 0.000117845 0.00793707 0.00668602 30 1772 19 6.65987e+06 316950 526063. 1820.29 1.72 0.0704255 0.0618591 22546 126617 -1 1564 18 855 1328 74051 17887 0 0 74051 17887 1328 965 0 0 4529 3666 0 0 6062 4898 0 0 1328 1073 0 0 30691 3661 0 0 30113 3624 0 0 1328 0 0 473 479 605 4305 0 0 2.29551 2.29551 -92.1162 -2.29551 0 0 666494. 2306.21 0.23 0.03 0.08 -1 -1 0.23 0.00956352 0.00863144 105 48 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 5.84 vpr 63.84 MiB -1 -1 0.12 20768 1 0.01 -1 -1 33140 -1 -1 37 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65368 32 32 367 282 1 201 101 17 17 289 -1 unnamed_device 25.4 MiB 0.27 1195 63.8 MiB 0.07 0.00 3.40636 -102.404 -3.40636 3.40636 0.97 0.000213921 0.000181678 0.0115144 0.00982873 32 2731 21 6.65987e+06 469086 554710. 1919.41 2.50 0.0761522 0.0651607 22834 132086 -1 2326 21 1350 2309 150532 34862 0 0 150532 34862 2309 1558 0 0 8587 7112 0 0 13125 9917 0 0 2309 1708 0 0 63049 7168 0 0 61153 7399 0 0 2309 0 0 959 1620 1749 11231 0 0 3.82982 3.82982 -121.629 -3.82982 0 0 701300. 2426.64 0.26 0.04 0.09 -1 -1 0.26 0.0137734 0.0123336 150 26 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 5.65 vpr 63.96 MiB -1 -1 0.12 21084 1 0.01 -1 -1 33372 -1 -1 36 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65496 32 32 391 311 1 192 100 17 17 289 -1 unnamed_device 25.4 MiB 0.21 994 64.0 MiB 0.06 0.00 3.23435 -108.791 -3.23435 3.23435 0.74 0.000203332 0.000170536 0.00950733 0.00805308 28 2289 18 6.65987e+06 456408 500653. 1732.36 2.81 0.113417 0.100568 21970 115934 -1 2060 22 1932 3056 189541 46287 0 0 189541 46287 3056 2157 0 0 11007 9180 0 0 15587 12234 0 0 3056 2379 0 0 76874 10355 0 0 79961 9982 0 0 3056 0 0 1124 1565 1499 10894 0 0 2.76971 2.76971 -115.205 -2.76971 0 0 612192. 2118.31 0.22 0.05 0.07 -1 -1 0.22 0.0140471 0.0125538 146 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 5.08 vpr 63.10 MiB -1 -1 0.11 20508 1 0.01 -1 -1 33024 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64616 31 32 279 237 1 161 80 17 17 289 -1 unnamed_device 24.5 MiB 0.25 839 63.1 MiB 0.05 0.00 3.11855 -95.6325 -3.11855 3.11855 0.75 0.000134996 0.000111339 0.010246 0.0086716 26 2145 33 6.65987e+06 215526 477104. 1650.88 2.25 0.0812658 0.0715766 21682 110474 -1 1845 22 1296 1829 125050 31018 0 0 125050 31018 1829 1587 0 0 7059 5718 0 0 10652 8477 0 0 1829 1641 0 0 50366 7167 0 0 53315 6428 0 0 1829 0 0 533 628 781 5295 0 0 3.34497 3.34497 -118.191 -3.34497 0 0 585099. 2024.56 0.21 0.04 0.07 -1 -1 0.21 0.0102582 0.00917342 109 30 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 5.27 vpr 64.07 MiB -1 -1 0.11 21052 1 0.01 -1 -1 33200 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65612 31 32 370 297 1 186 87 17 17 289 -1 unnamed_device 25.4 MiB 0.21 944 64.1 MiB 0.14 0.00 3.29135 -102.08 -3.29135 3.29135 0.91 0.000178269 0.000149188 0.0185296 0.0163189 28 2239 19 6.65987e+06 304272 500653. 1732.36 2.13 0.0898072 0.077273 21970 115934 -1 1982 18 1234 2154 132536 33838 0 0 132536 33838 2154 1534 0 0 7913 6556 0 0 11655 9345 0 0 2154 1679 0 0 57015 7564 0 0 51645 7160 0 0 2154 0 0 920 950 1118 7748 0 0 2.99297 2.99297 -116.779 -2.99297 0 0 612192. 2118.31 0.26 0.08 0.07 -1 -1 0.26 0.0258931 0.0234002 137 57 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.11 vpr 63.60 MiB -1 -1 0.12 20760 1 0.01 -1 -1 33396 -1 -1 27 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65128 31 32 377 302 1 233 90 17 17 289 -1 unnamed_device 25.4 MiB 0.30 1278 63.6 MiB 0.14 0.00 4.69513 -143.575 -4.69513 4.69513 0.80 0.000176803 0.00014576 0.0169476 0.0142902 32 3229 30 6.65987e+06 342306 554710. 1919.41 0.86 0.0639073 0.0554647 22834 132086 -1 2587 22 2259 3351 236181 55721 0 0 236181 55721 3351 2773 0 0 12648 10823 0 0 20083 15092 0 0 3351 2903 0 0 100674 11724 0 0 96074 12406 0 0 3351 0 0 1092 1213 1019 8859 0 0 5.16489 5.16489 -175.542 -5.16489 0 0 701300. 2426.64 0.27 0.06 0.09 -1 -1 0.27 0.0143558 0.0129173 170 60 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 7.42 vpr 63.89 MiB -1 -1 0.12 20772 1 0.01 -1 -1 33160 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65424 31 32 383 305 1 210 88 17 17 289 -1 unnamed_device 25.4 MiB 1.26 979 63.9 MiB 0.06 0.00 3.8685 -115.087 -3.8685 3.8685 0.98 0.000183056 0.000151898 0.0111269 0.00938564 30 2188 26 6.65987e+06 316950 526063. 1820.29 3.40 0.0834993 0.071985 22546 126617 -1 1837 19 1151 1786 94918 22679 0 0 94918 22679 1786 1399 0 0 5938 4608 0 0 7793 6207 0 0 1786 1454 0 0 41796 4080 0 0 35819 4931 0 0 1786 0 0 635 584 500 4949 0 0 3.59377 3.59377 -127.715 -3.59377 0 0 666494. 2306.21 0.24 0.03 0.08 -1 -1 0.24 0.0122972 0.0111157 162 60 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 5.84 vpr 63.51 MiB -1 -1 0.11 20852 1 0.00 -1 -1 33232 -1 -1 29 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65032 31 32 352 285 1 184 92 17 17 289 -1 unnamed_device 25.1 MiB 0.22 840 63.5 MiB 0.06 0.00 3.49215 -102.722 -3.49215 3.49215 0.72 0.000203404 0.000171428 0.0099146 0.00839675 32 2370 33 6.65987e+06 367662 554710. 1919.41 2.51 0.086901 0.0742033 22834 132086 -1 1909 22 1285 2149 160270 39108 0 0 160270 39108 2149 1539 0 0 8030 6782 0 0 13556 10389 0 0 2149 1714 0 0 66718 9507 0 0 67668 9177 0 0 2149 0 0 864 1092 1024 7759 0 0 3.10851 3.10851 -111.525 -3.10851 0 0 701300. 2426.64 0.41 0.05 0.08 -1 -1 0.41 0.012802 0.011444 133 51 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 5.14 vpr 63.80 MiB -1 -1 0.11 20540 1 0.01 -1 -1 33408 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65328 32 32 291 242 1 179 86 17 17 289 -1 unnamed_device 25.3 MiB 0.14 1063 63.8 MiB 0.06 0.00 3.22104 -94.7966 -3.22104 3.22104 0.94 0.00017013 0.00014157 0.0109837 0.00916581 26 2593 47 6.65987e+06 278916 477104. 1650.88 2.27 0.0722153 0.0617605 21682 110474 -1 2278 23 1381 2035 184653 41724 0 0 184653 41724 2035 1832 0 0 7837 6505 0 0 12206 9714 0 0 2035 1913 0 0 81513 10877 0 0 79027 10883 0 0 2035 0 0 654 879 952 6240 0 0 3.60251 3.60251 -118.529 -3.60251 0 0 585099. 2024.56 0.21 0.04 0.07 -1 -1 0.21 0.0117694 0.0104151 118 24 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 3.94 vpr 63.90 MiB -1 -1 0.13 21064 1 0.02 -1 -1 33316 -1 -1 38 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65432 32 32 457 356 1 223 102 17 17 289 -1 unnamed_device 25.7 MiB 0.23 1158 63.9 MiB 0.10 0.00 4.06967 -130.913 -4.06967 4.06967 0.75 0.000258094 0.000219343 0.0156637 0.0129691 30 2706 20 6.65987e+06 481764 526063. 1820.29 0.99 0.0653114 0.0572024 22546 126617 -1 2251 20 1234 2006 112805 26668 0 0 112805 26668 2006 1471 0 0 6923 5539 0 0 9118 7484 0 0 2006 1575 0 0 47985 5137 0 0 44767 5462 0 0 2006 0 0 772 1158 1140 8066 0 0 4.03437 4.03437 -146.188 -4.03437 0 0 666494. 2306.21 0.25 0.04 0.09 -1 -1 0.25 0.0163525 0.0147567 172 84 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 5.21 vpr 63.18 MiB -1 -1 0.11 20952 1 0.01 -1 -1 33332 -1 -1 21 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64696 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 24.7 MiB 0.18 657 63.2 MiB 0.03 0.00 2.74078 -77.1956 -2.74078 2.74078 0.75 0.000133977 0.000107236 0.0066623 0.00548991 32 1775 23 6.65987e+06 266238 554710. 1919.41 2.56 0.0687062 0.0591449 22834 132086 -1 1574 21 1098 1802 128712 33135 0 0 128712 33135 1802 1452 0 0 7148 6010 0 0 11659 9146 0 0 1802 1571 0 0 49778 7435 0 0 56523 7521 0 0 1802 0 0 704 734 861 5981 0 0 2.75671 2.75671 -99.2202 -2.75671 0 0 701300. 2426.64 0.26 0.08 0.08 -1 -1 0.26 0.0165139 0.0155109 101 24 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 6.01 vpr 63.73 MiB -1 -1 0.12 20960 1 0.00 -1 -1 33288 -1 -1 23 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65260 31 32 337 267 1 205 86 17 17 289 -1 unnamed_device 25.1 MiB 0.20 1194 63.7 MiB 0.05 0.00 4.03882 -126.169 -4.03882 4.03882 0.75 0.00015976 0.000131213 0.00850881 0.00717825 34 2654 45 6.65987e+06 291594 585099. 2024.56 2.96 0.112787 0.0992309 23122 138558 -1 2372 21 1393 1994 151814 34646 0 0 151814 34646 1994 1605 0 0 7475 6310 0 0 11291 8983 0 0 1994 1698 0 0 64073 8171 0 0 64987 7879 0 0 1994 0 0 601 631 733 5527 0 0 4.11669 4.11669 -142.1 -4.11669 0 0 742403. 2568.87 0.27 0.04 0.10 -1 -1 0.27 0.0124444 0.0112147 142 30 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 5.65 vpr 63.54 MiB -1 -1 0.11 20852 1 0.01 -1 -1 33368 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65064 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 25.1 MiB 0.14 1105 63.5 MiB 0.07 0.00 3.1757 -99.4614 -3.1757 3.1757 0.80 0.000177954 0.000145881 0.0102399 0.00855842 28 2494 20 6.65987e+06 418374 500653. 1732.36 3.01 0.0784432 0.0678258 21970 115934 -1 2142 21 1125 2040 140676 31825 0 0 140676 31825 2040 1396 0 0 7480 6188 0 0 11033 8703 0 0 2040 1524 0 0 60799 6736 0 0 57284 7278 0 0 2040 0 0 915 1035 1207 8063 0 0 2.74651 2.74651 -111.21 -2.74651 0 0 612192. 2118.31 0.22 0.04 0.07 -1 -1 0.22 0.0125074 0.0111472 131 50 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 5.27 vpr 63.34 MiB -1 -1 0.10 20604 1 0.01 -1 -1 33180 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64856 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 24.9 MiB 0.07 935 63.3 MiB 0.16 0.00 3.27104 -101.948 -3.27104 3.27104 0.80 0.000148054 0.000120857 0.0251542 0.0227502 28 2380 22 6.65987e+06 304272 500653. 1732.36 2.58 0.105204 0.0931754 21970 115934 -1 2056 21 1459 2731 190331 43908 0 0 190331 43908 2731 1794 0 0 9843 8194 0 0 14713 11562 0 0 2731 1905 0 0 80140 10044 0 0 80173 10409 0 0 2731 0 0 1272 1915 1723 11469 0 0 3.57745 3.57745 -120.152 -3.57745 0 0 612192. 2118.31 0.22 0.05 0.07 -1 -1 0.22 0.0116219 0.0104398 123 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 5.76 vpr 63.67 MiB -1 -1 0.11 21080 1 0.01 -1 -1 32972 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65200 32 32 353 287 1 198 86 17 17 289 -1 unnamed_device 25.2 MiB 0.52 1116 63.7 MiB 0.05 0.00 3.4346 -106.79 -3.4346 3.4346 0.94 0.000161924 0.000133177 0.00829465 0.00704835 26 2797 45 6.65987e+06 278916 477104. 1650.88 2.44 0.104496 0.0868627 21682 110474 -1 2382 30 1543 2161 289120 97592 0 0 289120 97592 2161 1975 0 0 8368 7149 0 0 14004 10863 0 0 2161 2021 0 0 128377 37328 0 0 134049 38256 0 0 2161 0 0 618 881 885 6093 0 0 3.26691 3.26691 -121.153 -3.26691 0 0 585099. 2024.56 0.21 0.07 0.07 -1 -1 0.21 0.0163315 0.0144843 136 52 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 5.75 vpr 63.79 MiB -1 -1 0.11 21028 1 0.01 -1 -1 33280 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65320 32 32 361 291 1 185 95 17 17 289 -1 unnamed_device 25.3 MiB 0.33 979 63.8 MiB 0.10 0.00 2.9071 -96.889 -2.9071 2.9071 0.74 0.000190296 0.000158823 0.0166464 0.0138795 30 2212 33 6.65987e+06 393018 526063. 1820.29 2.46 0.0905634 0.0776447 22546 126617 -1 1900 14 880 1505 88258 20524 0 0 88258 20524 1505 1054 0 0 5023 3985 0 0 6812 5376 0 0 1505 1127 0 0 35473 4811 0 0 37940 4171 0 0 1505 0 0 625 689 846 6199 0 0 3.01911 3.01911 -112.951 -3.01911 0 0 666494. 2306.21 0.24 0.03 0.08 -1 -1 0.24 0.00967387 0.00875849 132 52 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 5.89 vpr 63.72 MiB -1 -1 0.11 20620 1 0.01 -1 -1 33372 -1 -1 36 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65252 32 32 382 305 1 192 100 17 17 289 -1 unnamed_device 25.2 MiB 0.31 1074 63.7 MiB 0.11 0.00 3.47495 -108.457 -3.47495 3.47495 0.77 0.000199652 0.000165419 0.0169712 0.0143574 28 2745 21 6.65987e+06 456408 500653. 1732.36 2.86 0.0943556 0.0700669 21970 115934 -1 2301 25 1472 2242 182301 39870 0 0 182301 39870 2242 1699 0 0 8244 6679 0 0 12106 9767 0 0 2242 1965 0 0 79826 9840 0 0 77641 9920 0 0 2242 0 0 770 1037 953 7128 0 0 3.30071 3.30071 -121.557 -3.30071 0 0 612192. 2118.31 0.23 0.05 0.07 -1 -1 0.23 0.0157838 0.0140534 144 59 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 5.13 vpr 63.48 MiB -1 -1 0.11 20424 1 0.01 -1 -1 33164 -1 -1 29 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65000 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 25.0 MiB 0.08 837 63.5 MiB 0.07 0.00 3.17284 -95.5583 -3.17284 3.17284 0.76 0.000158857 0.000131684 0.0112161 0.00942563 26 2361 30 6.65987e+06 367662 477104. 1650.88 2.28 0.068839 0.0589202 21682 110474 -1 1882 22 1313 2188 143764 35904 0 0 143764 35904 2188 1556 0 0 8162 6378 0 0 12041 9534 0 0 2188 1708 0 0 63372 8174 0 0 55813 8554 0 0 2188 0 0 875 1241 1117 8221 0 0 3.37405 3.37405 -115.142 -3.37405 0 0 585099. 2024.56 0.28 0.05 0.08 -1 -1 0.28 0.0113028 0.0100745 122 21 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 5.32 vpr 63.74 MiB -1 -1 0.11 20620 1 0.01 -1 -1 33108 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65272 32 32 319 257 1 198 87 17 17 289 -1 unnamed_device 25.3 MiB 0.10 1082 63.7 MiB 0.05 0.00 3.83975 -114.873 -3.83975 3.83975 0.94 0.000149479 0.000122795 0.00755371 0.0063891 30 2349 24 6.65987e+06 291594 526063. 1820.29 2.31 0.0733651 0.0638994 22546 126617 -1 2115 20 1270 1860 111274 25892 0 0 111274 25892 1860 1491 0 0 6335 5130 0 0 8259 6770 0 0 1860 1624 0 0 47460 5385 0 0 45500 5492 0 0 1860 0 0 590 560 645 4901 0 0 3.49211 3.49211 -122.97 -3.49211 0 0 666494. 2306.21 0.24 0.03 0.08 -1 -1 0.24 0.0111817 0.0100311 133 26 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 6.48 vpr 63.86 MiB -1 -1 0.12 20856 1 0.02 -1 -1 33152 -1 -1 23 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65388 31 32 373 299 1 202 86 17 17 289 -1 unnamed_device 25.1 MiB 0.31 1011 63.9 MiB 0.07 0.00 3.91127 -116.488 -3.91127 3.91127 0.88 0.000192258 0.000151816 0.0129007 0.0100194 30 2758 28 6.65987e+06 291594 526063. 1820.29 3.28 0.0969967 0.0824492 22546 126617 -1 2141 20 1366 2255 128199 31455 0 0 128199 31455 2255 1798 0 0 7713 6277 0 0 9998 8166 0 0 2255 2001 0 0 53637 6623 0 0 52341 6590 0 0 2255 0 0 889 821 858 7053 0 0 4.00843 4.00843 -132.856 -4.00843 0 0 666494. 2306.21 0.24 0.04 0.08 -1 -1 0.24 0.0121453 0.0109259 146 58 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 4.14 vpr 63.74 MiB -1 -1 0.12 20844 1 0.01 -1 -1 33160 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65268 32 32 387 315 1 189 85 17 17 289 -1 unnamed_device 25.3 MiB 0.21 997 63.7 MiB 0.22 0.00 3.25298 -104.391 -3.25298 3.25298 0.78 0.000174753 0.000143377 0.0352801 0.0325142 32 2709 26 6.65987e+06 266238 554710. 1919.41 0.83 0.074542 0.066759 22834 132086 -1 2279 21 1708 3005 225709 51772 0 0 225709 51772 3005 2288 0 0 11181 9523 0 0 17335 13207 0 0 3005 2453 0 0 94684 12621 0 0 96499 11680 0 0 3005 0 0 1297 1353 1421 10036 0 0 3.25985 3.25985 -121.681 -3.25985 0 0 701300. 2426.64 0.25 0.05 0.09 -1 -1 0.25 0.0132788 0.0118591 135 74 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.74 vpr 63.31 MiB -1 -1 0.10 20568 1 0.00 -1 -1 33124 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64832 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 24.9 MiB 0.07 768 63.3 MiB 0.09 0.00 2.59064 -80.8644 -2.59064 2.59064 0.87 0.000123791 0.000101051 0.014022 0.0120228 26 1927 20 6.65987e+06 304272 477104. 1650.88 1.70 0.064915 0.0559748 21682 110474 -1 1655 18 821 1291 100377 25223 0 0 100377 25223 1291 1012 0 0 5030 4112 0 0 7437 6075 0 0 1291 1073 0 0 42372 6729 0 0 42956 6222 0 0 1291 0 0 470 610 560 4343 0 0 2.73971 2.73971 -96.1759 -2.73971 0 0 585099. 2024.56 0.23 0.03 0.18 -1 -1 0.23 0.00831121 0.00746166 97 20 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 5.69 vpr 63.49 MiB -1 -1 0.10 21016 1 0.01 -1 -1 33168 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65012 32 32 341 285 1 187 84 17 17 289 -1 unnamed_device 25.1 MiB 0.14 893 63.5 MiB 0.06 0.00 3.1319 -108.409 -3.1319 3.1319 0.91 0.000157868 0.000130215 0.0108074 0.00903458 32 2445 25 6.65987e+06 253560 554710. 1919.41 2.55 0.0873086 0.0727067 22834 132086 -1 2098 22 1582 2242 183923 42429 0 0 183923 42429 2242 1943 0 0 8759 7628 0 0 13978 10728 0 0 2242 1996 0 0 77041 10513 0 0 79661 9621 0 0 2242 0 0 660 610 546 5378 0 0 3.29077 3.29077 -128.088 -3.29077 0 0 701300. 2426.64 0.25 0.05 0.08 -1 -1 0.25 0.013096 0.0117326 125 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.26 vpr 63.91 MiB -1 -1 0.13 21220 1 0.02 -1 -1 33396 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65440 32 32 387 293 1 234 92 17 17 289 -1 unnamed_device 25.6 MiB 0.14 1365 63.9 MiB 0.12 0.00 4.23387 -130.947 -4.23387 4.23387 0.93 0.000214638 0.00018149 0.0195064 0.0165456 30 2803 26 6.65987e+06 354984 526063. 1820.29 0.79 0.0604969 0.0524821 22546 126617 -1 2245 19 1330 2119 111342 27307 0 0 111342 27307 2119 1520 0 0 7221 5737 0 0 9381 7656 0 0 2119 1673 0 0 43958 5740 0 0 46544 4981 0 0 2119 0 0 789 697 757 6280 0 0 4.19488 4.19488 -145.288 -4.19488 0 0 666494. 2306.21 0.24 0.08 0.08 -1 -1 0.24 0.0165201 0.0141134 168 28 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.17 vpr 63.58 MiB -1 -1 0.11 20616 1 0.01 -1 -1 33216 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65108 32 32 340 270 1 181 95 17 17 289 -1 unnamed_device 25.2 MiB 0.20 950 63.6 MiB 0.12 0.00 3.56446 -108.073 -3.56446 3.56446 0.89 0.000248614 0.000207731 0.00979522 0.008278 32 2199 22 6.65987e+06 393018 554710. 1919.41 1.02 0.0407455 0.0349852 22834 132086 -1 1904 22 1188 1902 138987 33371 0 0 138987 33371 1902 1353 0 0 7443 6324 0 0 11792 9282 0 0 1902 1504 0 0 57797 7382 0 0 58151 7526 0 0 1902 0 0 714 755 819 6440 0 0 3.12451 3.12451 -120.082 -3.12451 0 0 701300. 2426.64 0.25 0.08 0.09 -1 -1 0.25 0.0128449 0.0114788 133 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 3.74 vpr 63.45 MiB -1 -1 0.11 20632 1 0.01 -1 -1 33192 -1 -1 26 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64976 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 24.8 MiB 0.05 797 63.5 MiB 0.08 0.00 2.54444 -82.4839 -2.54444 2.54444 0.79 0.00013574 0.000111595 0.0131764 0.011006 28 1876 43 6.65987e+06 329628 500653. 1732.36 0.92 0.0493344 0.0422501 21970 115934 -1 1731 22 1182 2002 158026 36347 0 0 158026 36347 2002 1370 0 0 7358 6146 0 0 11368 9080 0 0 2002 1550 0 0 66604 9377 0 0 68692 8824 0 0 2002 0 0 820 1009 1140 7855 0 0 2.72371 2.72371 -98.6145 -2.72371 0 0 612192. 2118.31 0.24 0.04 0.10 -1 -1 0.24 0.0100244 0.0089249 104 29 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 8.03 vpr 63.89 MiB -1 -1 0.13 21112 1 0.01 -1 -1 33412 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65424 32 32 431 332 1 235 89 17 17 289 -1 unnamed_device 25.7 MiB 0.31 1389 63.9 MiB 0.09 0.00 5.14349 -155.118 -5.14349 5.14349 0.97 0.000221378 0.000184897 0.0158124 0.0132605 28 3281 23 6.65987e+06 316950 500653. 1732.36 4.74 0.118779 0.105247 21970 115934 -1 2806 21 1863 2627 191929 43383 0 0 191929 43383 2627 2205 0 0 9697 7827 0 0 13859 11085 0 0 2627 2339 0 0 80887 10064 0 0 82232 9863 0 0 2627 0 0 764 873 1026 7412 0 0 5.36894 5.36894 -180.141 -5.36894 0 0 612192. 2118.31 0.22 0.06 0.07 -1 -1 0.22 0.015517 0.0139764 168 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.18 vpr 63.61 MiB -1 -1 0.11 20644 1 0.01 -1 -1 33152 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65140 32 32 336 268 1 174 96 17 17 289 -1 unnamed_device 25.0 MiB 0.28 868 63.6 MiB 0.07 0.00 3.54535 -106.254 -3.54535 3.54535 0.94 0.000161522 0.000133263 0.0110503 0.00920876 32 2135 22 6.65987e+06 405696 554710. 1919.41 0.69 0.0402257 0.0344624 22834 132086 -1 1857 19 1275 1870 120488 29530 0 0 120488 29530 1870 1386 0 0 7058 5865 0 0 11016 8619 0 0 1870 1513 0 0 48854 6177 0 0 49820 5970 0 0 1870 0 0 595 706 721 5924 0 0 3.56231 3.56231 -122.353 -3.56231 0 0 701300. 2426.64 0.25 0.03 0.08 -1 -1 0.25 0.0112014 0.010039 130 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 3.31 vpr 62.96 MiB -1 -1 0.10 20468 1 0.01 -1 -1 33052 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64472 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 24.5 MiB 0.03 758 63.0 MiB 0.06 0.00 2.48032 -74.2415 -2.48032 2.48032 0.74 0.000122558 0.000100216 0.0094439 0.00791991 28 1977 22 6.65987e+06 291594 500653. 1732.36 0.81 0.0350588 0.0303522 21970 115934 -1 1711 19 952 1603 127882 29775 0 0 127882 29775 1603 1246 0 0 6023 4987 0 0 8760 6989 0 0 1603 1327 0 0 55316 7679 0 0 54577 7547 0 0 1603 0 0 651 850 1054 6396 0 0 2.93479 2.93479 -97.5474 -2.93479 0 0 612192. 2118.31 0.28 0.04 0.07 -1 -1 0.28 0.00889808 0.00804875 100 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 5.93 vpr 63.80 MiB -1 -1 0.10 20832 1 0.02 -1 -1 33220 -1 -1 34 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65332 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 25.4 MiB 0.11 991 63.8 MiB 0.07 0.00 4.55326 -107.965 -4.55326 4.55326 0.81 0.000187701 0.000157305 0.0118579 0.010016 32 2630 24 6.65987e+06 431052 554710. 1919.41 2.99 0.128094 0.114465 22834 132086 -1 2084 20 1361 2489 160827 38734 0 0 160827 38734 2489 1588 0 0 9313 7666 0 0 14682 11218 0 0 2489 1757 0 0 67093 8184 0 0 64761 8321 0 0 2489 0 0 1128 1907 1912 12956 0 0 4.55822 4.55822 -129.593 -4.55822 0 0 701300. 2426.64 0.25 0.04 0.08 -1 -1 0.25 0.0127453 0.011327 139 26 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 5.30 vpr 63.02 MiB -1 -1 0.09 20296 1 0.01 -1 -1 33248 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64528 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 24.6 MiB 0.08 849 63.0 MiB 0.07 0.00 2.57564 -85.1096 -2.57564 2.57564 0.75 0.000124148 0.000101743 0.0108133 0.00897469 30 1878 22 6.65987e+06 253560 526063. 1820.29 2.30 0.0581855 0.0499433 22546 126617 -1 1627 19 935 1588 97255 22793 0 0 97255 22793 1588 1153 0 0 5571 4600 0 0 7375 6062 0 0 1588 1259 0 0 41440 4873 0 0 39693 4846 0 0 1588 0 0 653 635 716 5374 0 0 2.63051 2.63051 -102.219 -2.63051 0 0 666494. 2306.21 0.24 0.03 0.08 -1 -1 0.24 0.00888959 0.00799914 104 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 5.43 vpr 63.36 MiB -1 -1 0.10 20448 1 0.01 -1 -1 33248 -1 -1 33 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64880 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 24.8 MiB 0.12 870 63.4 MiB 0.09 0.00 2.98169 -84.6118 -2.98169 2.98169 1.31 0.000132778 0.000109351 0.0119379 0.00985641 28 1853 21 6.65987e+06 418374 500653. 1732.36 2.09 0.0601775 0.0509674 21970 115934 -1 1685 23 899 1605 119563 26476 0 0 119563 26476 1605 1067 0 0 6029 5002 0 0 9194 7209 0 0 1605 1215 0 0 51706 5841 0 0 49424 6142 0 0 1605 0 0 706 951 934 6906 0 0 2.74625 2.74625 -99.0265 -2.74625 0 0 612192. 2118.31 0.23 0.03 0.09 -1 -1 0.23 0.0100214 0.00890921 105 29 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 4.10 vpr 63.91 MiB -1 -1 0.12 20948 1 0.01 -1 -1 33200 -1 -1 24 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65448 29 32 355 287 1 198 85 17 17 289 -1 unnamed_device 25.2 MiB 0.22 905 63.9 MiB 0.10 0.00 3.37101 -98.2294 -3.37101 3.37101 0.85 0.000162538 0.000133459 0.0189311 0.0159117 30 2579 27 6.65987e+06 304272 526063. 1820.29 0.94 0.0544701 0.0467008 22546 126617 -1 1895 22 1282 1965 120427 29362 0 0 120427 29362 1965 1618 0 0 6758 5465 0 0 9136 7389 0 0 1965 1657 0 0 50769 6563 0 0 49834 6670 0 0 1965 0 0 683 690 624 5368 0 0 3.16191 3.16191 -108.615 -3.16191 0 0 666494. 2306.21 0.33 0.11 0.08 -1 -1 0.33 0.0343253 0.0327108 138 56 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 5.78 vpr 63.73 MiB -1 -1 0.12 20812 1 0.01 -1 -1 33032 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65260 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 25.3 MiB 0.17 1007 63.7 MiB 0.04 0.00 3.5135 -109.739 -3.5135 3.5135 0.75 0.000172925 0.000143116 0.00739235 0.00637193 32 2142 21 6.65987e+06 304272 554710. 1919.41 2.61 0.0729352 0.062717 22834 132086 -1 1955 24 1416 2198 155256 36237 0 0 155256 36237 2198 1754 0 0 8290 6887 0 0 12979 10150 0 0 2198 1849 0 0 64741 7648 0 0 64850 7949 0 0 2198 0 0 782 839 1028 6967 0 0 3.69551 3.69551 -133.157 -3.69551 0 0 701300. 2426.64 0.26 0.04 0.14 -1 -1 0.26 0.0140325 0.0124823 130 51 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 3.73 vpr 63.58 MiB -1 -1 0.11 20632 1 0.01 -1 -1 33164 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65108 32 32 353 285 1 181 91 17 17 289 -1 unnamed_device 25.2 MiB 0.19 1113 63.6 MiB 0.08 0.00 3.63564 -112.148 -3.63564 3.63564 0.89 0.000167518 0.000137982 0.0128567 0.0108059 28 2615 21 6.65987e+06 342306 500653. 1732.36 0.76 0.0484158 0.0419502 21970 115934 -1 2297 21 1366 2484 169015 38132 0 0 169015 38132 2484 1732 0 0 8799 7300 0 0 13224 10186 0 0 2484 2032 0 0 73848 8052 0 0 68176 8830 0 0 2484 0 0 1118 1393 1476 9815 0 0 3.64431 3.64431 -129.676 -3.64431 0 0 612192. 2118.31 0.23 0.04 0.07 -1 -1 0.23 0.0131334 0.0118035 132 48 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 5.49 vpr 63.26 MiB -1 -1 0.11 20672 1 0.00 -1 -1 33236 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64780 32 32 276 237 1 159 80 17 17 289 -1 unnamed_device 24.7 MiB 0.34 822 63.3 MiB 0.06 0.00 3.5308 -102.471 -3.5308 3.5308 0.86 0.000130672 0.000106467 0.0111503 0.00927958 32 2073 22 6.65987e+06 202848 554710. 1919.41 2.43 0.067855 0.0587503 22834 132086 -1 1684 20 930 1235 96448 22570 0 0 96448 22570 1235 1101 0 0 4730 3890 0 0 6871 5460 0 0 1235 1133 0 0 40473 5677 0 0 41904 5309 0 0 1235 0 0 305 316 293 2773 0 0 3.38517 3.38517 -113.447 -3.38517 0 0 701300. 2426.64 0.26 0.03 0.08 -1 -1 0.26 0.00960311 0.00860672 103 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 3.65 vpr 63.46 MiB -1 -1 0.12 20856 1 0.02 -1 -1 33172 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64980 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 24.9 MiB 0.17 910 63.5 MiB 0.07 0.00 2.85458 -96.8905 -2.85458 2.85458 0.74 0.000144791 0.000118224 0.0121771 0.0102595 32 2268 24 6.65987e+06 240882 554710. 1919.41 0.73 0.0413062 0.0354483 22834 132086 -1 1915 19 1293 1936 150620 34905 0 0 150620 34905 1936 1619 0 0 7424 6416 0 0 11992 9370 0 0 1936 1689 0 0 63231 8236 0 0 64101 7575 0 0 1936 0 0 643 709 503 5077 0 0 2.92845 2.92845 -111.949 -2.92845 0 0 701300. 2426.64 0.42 0.04 0.08 -1 -1 0.42 0.0104971 0.00935643 111 60 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 5.62 vpr 63.41 MiB -1 -1 0.12 21112 1 0.01 -1 -1 33208 -1 -1 33 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64932 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 24.9 MiB 0.25 917 63.4 MiB 0.07 0.00 2.72184 -81.6865 -2.72184 2.72184 0.74 0.000153081 0.00012519 0.0121403 0.0100365 32 2137 21 6.65987e+06 418374 554710. 1919.41 2.81 0.084252 0.0724801 22834 132086 -1 1833 21 1025 1895 126216 29465 0 0 126216 29465 1895 1203 0 0 7159 5991 0 0 10979 8520 0 0 1895 1390 0 0 52141 6270 0 0 52147 6091 0 0 1895 0 0 870 1332 1390 9143 0 0 2.52119 2.52119 -91.8377 -2.52119 0 0 701300. 2426.64 0.25 0.04 0.08 -1 -1 0.25 0.0116726 0.0104341 123 52 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.95 vpr 63.30 MiB -1 -1 0.11 20652 1 0.01 -1 -1 33200 -1 -1 35 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64824 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 25.0 MiB 0.11 923 63.3 MiB 0.07 0.00 3.3533 -84.4628 -3.3533 3.3533 1.07 0.000146217 0.000122011 0.0114433 0.00958982 26 2200 25 6.65987e+06 443730 477104. 1650.88 1.89 0.0652657 0.0555444 21682 110474 -1 1920 33 1418 3016 310902 101166 0 0 310902 101166 3016 2039 0 0 11255 9508 0 0 18491 13851 0 0 3016 2248 0 0 135209 37779 0 0 139915 35741 0 0 3016 0 0 1598 2568 2639 16531 0 0 3.63957 3.63957 -105.154 -3.63957 0 0 585099. 2024.56 0.22 0.07 0.07 -1 -1 0.22 0.0143342 0.012657 115 20 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 6.04 vpr 63.45 MiB -1 -1 0.11 20792 1 0.01 -1 -1 33236 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64968 30 32 317 269 1 152 79 17 17 289 -1 unnamed_device 25.0 MiB 0.37 876 63.4 MiB 0.05 0.00 3.29355 -97.3708 -3.29355 3.29355 0.99 0.000143154 0.000117324 0.00881383 0.00741868 32 2084 22 6.65987e+06 215526 554710. 1919.41 2.35 0.0758784 0.064627 22834 132086 -1 1830 20 1259 2183 190660 42217 0 0 190660 42217 2183 1790 0 0 8510 7326 0 0 13727 10745 0 0 2183 1897 0 0 86809 9838 0 0 77248 10621 0 0 2183 0 0 924 996 1062 7487 0 0 2.80171 2.80171 -107.688 -2.80171 0 0 701300. 2426.64 0.61 0.04 0.15 -1 -1 0.61 0.0108618 0.00971285 108 58 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 5.31 vpr 63.36 MiB -1 -1 0.10 20836 1 0.00 -1 -1 33128 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64876 32 32 335 282 1 184 84 17 17 289 -1 unnamed_device 24.9 MiB 0.16 1076 63.4 MiB 0.07 0.00 2.94464 -104.132 -2.94464 2.94464 0.71 0.000165287 0.000138124 0.0120318 0.0100476 28 2496 20 6.65987e+06 253560 500653. 1732.36 2.44 0.0836653 0.07176 21970 115934 -1 2217 20 1237 1803 138305 30442 0 0 138305 30442 1803 1482 0 0 6326 5117 0 0 9325 7305 0 0 1803 1545 0 0 60062 7660 0 0 58986 7333 0 0 1803 0 0 566 580 547 4628 0 0 3.21171 3.21171 -129.814 -3.21171 0 0 612192. 2118.31 0.33 0.08 0.07 -1 -1 0.33 0.0152182 0.0140175 120 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.95 vpr 63.45 MiB -1 -1 0.12 20716 1 0.01 -1 -1 33144 -1 -1 32 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64972 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 25.0 MiB 0.07 1043 63.4 MiB 0.06 0.00 3.57527 -104.665 -3.57527 3.57527 0.74 0.000149522 0.000123742 0.00938743 0.00788315 26 2598 24 6.65987e+06 405696 477104. 1650.88 1.91 0.062294 0.0533361 21682 110474 -1 2316 22 1495 2633 214788 48493 0 0 214788 48493 2633 2042 0 0 10190 8636 0 0 16045 12757 0 0 2633 2277 0 0 90969 11285 0 0 92318 11496 0 0 2633 0 0 1138 1506 1606 10301 0 0 3.81383 3.81383 -126.3 -3.81383 0 0 585099. 2024.56 0.32 0.05 0.07 -1 -1 0.32 0.0105699 0.00943302 127 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 7.68 vpr 63.74 MiB -1 -1 0.12 21136 1 0.02 -1 -1 33216 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65272 32 32 350 275 1 209 86 17 17 289 -1 unnamed_device 25.1 MiB 0.27 1218 63.7 MiB 0.07 0.00 4.10541 -132.01 -4.10541 4.10541 0.87 0.000170733 0.000141001 0.0109112 0.00923146 28 3207 22 6.65987e+06 278916 500653. 1732.36 4.60 0.0994934 0.0805002 21970 115934 -1 2657 22 1592 2348 207047 52439 0 0 207047 52439 2348 2026 0 0 8652 7180 0 0 12667 10162 0 0 2348 2088 0 0 93904 14941 0 0 87128 16042 0 0 2348 0 0 756 732 650 6028 0 0 3.97311 3.97311 -150.318 -3.97311 0 0 612192. 2118.31 0.24 0.05 0.08 -1 -1 0.24 0.0135992 0.0121559 144 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 6.76 vpr 63.84 MiB -1 -1 0.11 20644 1 0.01 -1 -1 33140 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65376 32 32 385 308 1 185 96 17 17 289 -1 unnamed_device 25.4 MiB 0.35 881 63.8 MiB 0.08 0.00 3.92821 -107.518 -3.92821 3.92821 0.93 0.000198054 0.000164093 0.0122832 0.0100551 28 2671 25 6.65987e+06 405696 500653. 1732.36 3.51 0.091397 0.0790564 21970 115934 -1 2143 21 1221 2249 150899 36628 0 0 150899 36628 2249 1681 0 0 8119 6671 0 0 11732 9331 0 0 2249 1813 0 0 62863 9036 0 0 63687 8096 0 0 2249 0 0 1028 1235 1216 9044 0 0 3.98771 3.98771 -134.802 -3.98771 0 0 612192. 2118.31 0.22 0.04 0.07 -1 -1 0.22 0.0126874 0.0113068 142 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 5.62 vpr 63.85 MiB -1 -1 0.13 20828 1 0.01 -1 -1 33352 -1 -1 37 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65384 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 25.3 MiB 0.22 1143 63.9 MiB 0.10 0.00 3.25355 -109.31 -3.25355 3.25355 0.84 0.000229583 0.000193312 0.0130209 0.0109065 30 2410 22 6.65987e+06 469086 526063. 1820.29 2.55 0.0936077 0.0817697 22546 126617 -1 2120 17 1057 1960 98865 24162 0 0 98865 24162 1960 1313 0 0 6830 5372 0 0 8559 7175 0 0 1960 1480 0 0 41173 4289 0 0 38383 4533 0 0 1960 0 0 903 930 835 7534 0 0 3.28671 3.28671 -125.203 -3.28671 0 0 666494. 2306.21 0.24 0.03 0.08 -1 -1 0.24 0.011558 0.0103498 140 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 5.44 vpr 63.10 MiB -1 -1 0.11 20584 1 0.01 -1 -1 33104 -1 -1 19 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64612 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 24.6 MiB 0.17 835 63.1 MiB 0.07 0.00 2.90104 -91.6576 -2.90104 2.90104 0.74 0.000134268 0.000110199 0.0120024 0.0100111 32 2009 17 6.65987e+06 240882 554710. 1919.41 2.43 0.0698058 0.0610842 22834 132086 -1 1713 20 1019 1744 138072 30983 0 0 138072 30983 1744 1404 0 0 6737 5597 0 0 10349 8056 0 0 1744 1511 0 0 57834 7563 0 0 59664 6852 0 0 1744 0 0 725 892 722 5915 0 0 2.51705 2.51705 -97.4052 -2.51705 0 0 701300. 2426.64 0.25 0.04 0.09 -1 -1 0.25 0.00999224 0.00891921 105 29 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 5.85 vpr 63.68 MiB -1 -1 0.12 20780 1 0.01 -1 -1 33028 -1 -1 21 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65208 30 32 375 299 1 187 83 17 17 289 -1 unnamed_device 25.1 MiB 0.21 882 63.7 MiB 0.07 0.00 3.77847 -108.414 -3.77847 3.77847 0.88 0.00018308 0.000151702 0.0143258 0.0120851 30 2279 26 6.65987e+06 266238 526063. 1820.29 2.53 0.10054 0.0856792 22546 126617 -1 1710 23 1351 2168 114854 29366 0 0 114854 29366 2168 1639 0 0 7466 5936 0 0 9705 8013 0 0 2168 1781 0 0 47286 5659 0 0 46061 6338 0 0 2168 0 0 817 689 903 6673 0 0 3.62231 3.62231 -126.616 -3.62231 0 0 666494. 2306.21 0.35 0.08 0.08 -1 -1 0.35 0.0215394 0.0201283 137 58 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 5.41 vpr 63.82 MiB -1 -1 0.12 21048 1 0.01 -1 -1 33148 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65348 32 32 340 270 1 200 88 17 17 289 -1 unnamed_device 25.3 MiB 0.31 1286 63.8 MiB 0.06 0.00 3.8156 -118.226 -3.8156 3.8156 0.82 0.000182963 0.000152257 0.0100058 0.00838275 26 3005 41 6.65987e+06 304272 477104. 1650.88 2.44 0.0866363 0.0745771 21682 110474 -1 2509 22 1750 2757 234845 49792 0 0 234845 49792 2757 2096 0 0 10337 8441 0 0 15429 11960 0 0 2757 2216 0 0 102995 11989 0 0 100570 13090 0 0 2757 0 0 1007 1761 1588 11095 0 0 3.67751 3.67751 -134.16 -3.67751 0 0 585099. 2024.56 0.21 0.05 0.07 -1 -1 0.21 0.0124818 0.0111432 138 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.22 vpr 63.67 MiB -1 -1 0.12 20888 1 0.02 -1 -1 33208 -1 -1 28 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65196 31 32 340 275 1 195 91 17 17 289 -1 unnamed_device 25.3 MiB 0.30 1100 63.7 MiB 0.09 0.00 4.10296 -123.19 -4.10296 4.10296 1.19 0.000163892 0.000134796 0.00961907 0.00811201 28 2581 23 6.65987e+06 354984 500653. 1732.36 0.80 0.0467855 0.0408019 21970 115934 -1 2317 20 1295 1959 142404 32685 0 0 142404 32685 1959 1529 0 0 7252 6022 0 0 10701 8699 0 0 1959 1597 0 0 58368 7795 0 0 62165 7043 0 0 1959 0 0 664 794 754 6147 0 0 4.28603 4.28603 -145.842 -4.28603 0 0 612192. 2118.31 0.23 0.04 0.07 -1 -1 0.23 0.0127789 0.011529 146 43 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 6.53 vpr 63.55 MiB -1 -1 0.13 21132 1 0.01 -1 -1 33200 -1 -1 31 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65076 30 32 377 310 1 177 93 17 17 289 -1 unnamed_device 25.1 MiB 0.97 924 63.6 MiB 0.09 0.00 3.34201 -101.96 -3.34201 3.34201 0.76 0.000168995 0.000137939 0.0152695 0.012792 28 2378 24 6.65987e+06 393018 500653. 1732.36 2.82 0.100342 0.0859253 21970 115934 -1 2001 19 1441 2378 166633 38461 0 0 166633 38461 2378 1807 0 0 8689 7081 0 0 12633 10064 0 0 2378 1909 0 0 68841 9480 0 0 71714 8120 0 0 2378 0 0 937 1090 1169 8262 0 0 3.03517 3.03517 -113.273 -3.03517 0 0 612192. 2118.31 0.22 0.04 0.07 -1 -1 0.22 0.0124838 0.0111908 133 78 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 3.99 vpr 63.60 MiB -1 -1 0.11 20868 1 0.01 -1 -1 33152 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65128 32 32 365 294 1 185 84 17 17 289 -1 unnamed_device 25.2 MiB 0.24 983 63.6 MiB 0.09 0.00 3.76955 -109.292 -3.76955 3.76955 0.91 0.000165179 0.000135427 0.0167156 0.0139215 32 2696 24 6.65987e+06 253560 554710. 1919.41 0.75 0.0511111 0.0438195 22834 132086 -1 2265 22 1714 3031 225865 52534 0 0 225865 52534 3031 2503 0 0 11427 9709 0 0 18035 13903 0 0 3031 2651 0 0 96162 11849 0 0 94179 11919 0 0 3031 0 0 1317 1454 1588 10576 0 0 3.71631 3.71631 -128.955 -3.71631 0 0 701300. 2426.64 0.25 0.09 0.09 -1 -1 0.25 0.0221072 0.0205227 133 54 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 5.22 vpr 63.80 MiB -1 -1 0.12 21012 1 0.02 -1 -1 33248 -1 -1 29 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65336 29 32 378 310 1 177 90 17 17 289 -1 unnamed_device 25.4 MiB 0.30 871 63.8 MiB 0.06 0.00 3.57869 -99.9377 -3.57869 3.57869 0.84 0.000172148 0.000141488 0.0113178 0.00960154 26 2335 21 6.65987e+06 367662 477104. 1650.88 2.00 0.0765345 0.0655594 21682 110474 -1 1971 23 1467 2269 165407 39747 0 0 165407 39747 2269 1796 0 0 8574 7316 0 0 12914 10206 0 0 2269 1881 0 0 69282 9498 0 0 70099 9050 0 0 2269 0 0 802 943 854 6856 0 0 3.26471 3.26471 -116.297 -3.26471 0 0 585099. 2024.56 0.22 0.05 0.10 -1 -1 0.22 0.0150724 0.0131218 131 79 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 3.34 vpr 63.13 MiB -1 -1 0.11 20532 1 0.01 -1 -1 33064 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64644 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 24.8 MiB 0.07 756 63.1 MiB 0.07 0.00 2.87075 -89.3775 -2.87075 2.87075 0.74 0.000119798 9.7849e-05 0.0121872 0.0102719 28 1723 20 6.65987e+06 190170 500653. 1732.36 0.67 0.0349906 0.0301221 21970 115934 -1 1487 19 793 1183 87564 20785 0 0 87564 20785 1183 951 0 0 4509 3712 0 0 6313 5242 0 0 1183 1001 0 0 38137 4871 0 0 36239 5008 0 0 1183 0 0 390 493 384 3338 0 0 2.56325 2.56325 -99.3836 -2.56325 0 0 612192. 2118.31 0.23 0.03 0.08 -1 -1 0.23 0.00875472 0.00789613 96 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 5.46 vpr 63.74 MiB -1 -1 0.11 20864 1 0.02 -1 -1 33416 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65272 32 32 373 302 1 176 94 17 17 289 -1 unnamed_device 25.4 MiB 0.30 1050 63.7 MiB 0.06 0.00 3.45695 -110.861 -3.45695 3.45695 0.73 0.000177893 0.000145634 0.0093499 0.00787063 28 2397 40 6.65987e+06 380340 500653. 1732.36 2.71 0.15761 0.142351 21970 115934 -1 2131 18 1196 1982 135514 31370 0 0 135514 31370 1982 1508 0 0 7418 5990 0 0 10532 8558 0 0 1982 1586 0 0 57574 6522 0 0 56026 7206 0 0 1982 0 0 786 933 953 6936 0 0 3.73871 3.73871 -131.664 -3.73871 0 0 612192. 2118.31 0.21 0.04 0.07 -1 -1 0.21 0.0112715 0.010128 130 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 6.11 vpr 63.94 MiB -1 -1 0.12 21084 1 0.01 -1 -1 33000 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65472 32 32 397 314 1 196 84 17 17 289 -1 unnamed_device 25.4 MiB 0.22 1074 63.9 MiB 0.07 0.00 3.74961 -118.449 -3.74961 3.74961 1.15 0.000177433 0.000146521 0.0131792 0.0110118 32 2569 23 6.65987e+06 253560 554710. 1919.41 2.53 0.085573 0.0729226 22834 132086 -1 2236 21 1860 3011 208914 48764 0 0 208914 48764 3011 2244 0 0 11319 9811 0 0 17688 13693 0 0 3011 2413 0 0 86767 10438 0 0 87118 10165 0 0 3011 0 0 1151 1051 1213 9364 0 0 3.64877 3.64877 -140.027 -3.64877 0 0 701300. 2426.64 0.49 0.07 0.09 -1 -1 0.49 0.0152494 0.0136103 147 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 5.80 vpr 63.61 MiB -1 -1 0.10 20600 1 0.01 -1 -1 33132 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65136 32 32 269 231 1 170 83 17 17 289 -1 unnamed_device 25.0 MiB 0.19 1013 63.6 MiB 0.06 0.00 3.19629 -98.5902 -3.19629 3.19629 0.86 0.000128017 0.000104724 0.0103517 0.00866701 26 2312 28 6.65987e+06 240882 477104. 1650.88 3.04 0.0824809 0.0727072 21682 110474 -1 2095 22 1221 1619 151361 33323 0 0 151361 33323 1619 1431 0 0 6371 5258 0 0 9685 7879 0 0 1619 1471 0 0 65818 8944 0 0 66249 8340 0 0 1619 0 0 398 329 404 3572 0 0 3.42965 3.42965 -121.523 -3.42965 0 0 585099. 2024.56 0.21 0.04 0.07 -1 -1 0.21 0.0101333 0.00903467 111 26 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 5.05 vpr 63.03 MiB -1 -1 0.11 20544 1 0.01 -1 -1 33148 -1 -1 21 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64540 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 24.6 MiB 0.13 828 63.0 MiB 0.05 0.00 3.02101 -91.2154 -3.02101 3.02101 0.99 0.000128464 0.000105756 0.00878277 0.00757014 26 1965 29 6.65987e+06 266238 477104. 1650.88 2.06 0.0578684 0.050009 21682 110474 -1 1702 21 1097 1835 137913 32003 0 0 137913 32003 1835 1386 0 0 6965 5821 0 0 11652 9159 0 0 1835 1469 0 0 57845 7116 0 0 57781 7052 0 0 1835 0 0 738 825 772 6126 0 0 2.84291 2.84291 -104.409 -2.84291 0 0 585099. 2024.56 0.21 0.04 0.07 -1 -1 0.21 0.00963457 0.00866427 106 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 5.58 vpr 63.91 MiB -1 -1 0.11 20824 1 0.01 -1 -1 33100 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65444 32 32 348 274 1 211 89 17 17 289 -1 unnamed_device 25.2 MiB 0.14 1226 63.9 MiB 0.08 0.00 3.94427 -126.759 -3.94427 3.94427 0.92 0.000165562 0.000135533 0.0128999 0.0108316 28 2875 24 6.65987e+06 316950 500653. 1732.36 2.47 0.0767838 0.0656392 21970 115934 -1 2380 22 1569 2083 162031 35885 0 0 162031 35885 2083 1802 0 0 7716 6318 0 0 11038 8941 0 0 2083 1894 0 0 70199 8711 0 0 68912 8219 0 0 2083 0 0 514 453 429 4515 0 0 4.27903 4.27903 -148.762 -4.27903 0 0 612192. 2118.31 0.25 0.13 0.07 -1 -1 0.25 0.0815672 0.0796529 144 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 4.77 vpr 63.81 MiB -1 -1 0.12 20916 1 0.01 -1 -1 33328 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65340 32 32 356 289 1 202 92 17 17 289 -1 unnamed_device 25.3 MiB 0.38 1179 63.8 MiB 0.06 0.00 3.93949 -123.064 -3.93949 3.93949 0.77 0.000249709 0.000217455 0.00806411 0.00696206 26 3250 29 6.65987e+06 354984 477104. 1650.88 1.63 0.0489563 0.0426836 21682 110474 -1 2567 23 1758 2731 224447 50279 0 0 224447 50279 2731 2267 0 0 10211 8552 0 0 16523 12538 0 0 2731 2433 0 0 96482 12050 0 0 95769 12439 0 0 2731 0 0 973 1160 1155 8821 0 0 4.45217 4.45217 -148.997 -4.45217 0 0 585099. 2024.56 0.21 0.06 0.07 -1 -1 0.21 0.0147253 0.013234 151 53 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 6.55 vpr 64.04 MiB -1 -1 0.13 20804 1 0.01 -1 -1 33404 -1 -1 36 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65576 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.3 MiB 0.11 1199 64.0 MiB 0.10 0.00 4.29287 -118.291 -4.29287 4.29287 0.76 0.000184432 0.000146472 0.016435 0.0136162 32 2930 24 6.65987e+06 456408 554710. 1919.41 3.59 0.1203 0.105178 22834 132086 -1 2478 17 1575 2763 220341 49470 0 0 220341 49470 2763 2003 0 0 10521 8996 0 0 16588 12961 0 0 2763 2200 0 0 92955 12137 0 0 94751 11173 0 0 2763 0 0 1188 1526 1416 10835 0 0 4.45522 4.45522 -141.128 -4.45522 0 0 701300. 2426.64 0.24 0.05 0.08 -1 -1 0.24 0.0116702 0.0105482 153 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.07 vpr 63.30 MiB -1 -1 0.12 21036 1 0.01 -1 -1 33176 -1 -1 31 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64824 30 32 316 264 1 162 93 17 17 289 -1 unnamed_device 24.9 MiB 0.18 737 63.3 MiB 0.05 0.00 2.60458 -77.596 -2.60458 2.60458 0.78 0.000182466 0.000151287 0.00763564 0.00638614 26 2301 22 6.65987e+06 393018 477104. 1650.88 0.98 0.0395154 0.0340981 21682 110474 -1 1884 22 1380 2312 164911 41104 0 0 164911 41104 2312 1777 0 0 8727 7393 0 0 13136 10368 0 0 2312 1878 0 0 69356 10043 0 0 69068 9645 0 0 2312 0 0 932 1070 1232 8122 0 0 2.87265 2.87265 -102.753 -2.87265 0 0 585099. 2024.56 0.21 0.04 0.07 -1 -1 0.21 0.0111037 0.0098766 120 47 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 5.11 vpr 63.07 MiB -1 -1 0.10 20536 1 0.01 -1 -1 33216 -1 -1 21 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64584 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 24.7 MiB 0.04 641 63.1 MiB 0.07 0.00 2.7331 -77.2835 -2.7331 2.7331 0.82 0.000122497 9.9169e-05 0.0169303 0.0151822 28 1583 23 6.65987e+06 266238 500653. 1732.36 2.25 0.0699121 0.0603202 21970 115934 -1 1362 20 1038 1508 116390 27242 0 0 116390 27242 1508 1294 0 0 5690 4853 0 0 8445 6911 0 0 1508 1336 0 0 50991 6248 0 0 48248 6600 0 0 1508 0 0 470 508 491 4135 0 0 2.73097 2.73097 -90.2119 -2.73097 0 0 612192. 2118.31 0.22 0.09 0.08 -1 -1 0.22 0.00957732 0.0085766 97 26 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 3.79 vpr 63.98 MiB -1 -1 0.11 21080 1 0.01 -1 -1 33220 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65512 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 25.8 MiB 0.13 1442 64.0 MiB 0.10 0.00 3.4163 -115.859 -3.4163 3.4163 0.76 0.000203567 0.000168292 0.0188256 0.015747 32 3755 30 6.65987e+06 329628 554710. 1919.41 0.84 0.0601215 0.0515938 22834 132086 -1 3107 22 2228 3640 288609 63937 0 0 288609 63937 3640 2815 0 0 13539 11687 0 0 21833 16262 0 0 3640 3199 0 0 129315 14220 0 0 116642 15754 0 0 3640 0 0 1412 1747 1689 11880 0 0 3.85977 3.85977 -136.908 -3.85977 0 0 701300. 2426.64 0.26 0.06 0.09 -1 -1 0.26 0.0152473 0.0136006 170 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 6.25 vpr 63.73 MiB -1 -1 0.12 20752 1 0.01 -1 -1 33164 -1 -1 21 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65260 31 32 365 296 1 194 84 17 17 289 -1 unnamed_device 25.1 MiB 1.00 995 63.7 MiB 0.07 0.00 4.27986 -124.137 -4.27986 4.27986 0.76 0.00016522 0.000136092 0.0129775 0.0108726 32 2458 20 6.65987e+06 266238 554710. 1919.41 2.56 0.0877161 0.0744872 22834 132086 -1 2102 23 1686 2617 197220 45220 0 0 197220 45220 2617 2126 0 0 10124 8800 0 0 15907 12374 0 0 2617 2245 0 0 89637 8605 0 0 76318 11070 0 0 2617 0 0 931 987 1296 8461 0 0 4.38728 4.38728 -146.96 -4.38728 0 0 701300. 2426.64 0.26 0.06 0.09 -1 -1 0.26 0.0204544 0.0187843 150 60 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 6.16 vpr 63.55 MiB -1 -1 0.12 20776 1 0.01 -1 -1 33304 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65076 32 32 331 280 1 175 82 17 17 289 -1 unnamed_device 25.1 MiB 0.89 888 63.6 MiB 0.07 0.00 3.4165 -105.247 -3.4165 3.4165 0.75 0.000159213 0.000125301 0.0126518 0.0104161 32 2271 23 6.65987e+06 228204 554710. 1919.41 2.50 0.0757567 0.0643513 22834 132086 -1 1828 19 1293 1857 125020 29803 0 0 125020 29803 1857 1496 0 0 6902 5841 0 0 10189 7865 0 0 1857 1614 0 0 51479 6756 0 0 52736 6231 0 0 1857 0 0 564 602 578 4821 0 0 3.47037 3.47037 -127.285 -3.47037 0 0 701300. 2426.64 0.27 0.04 0.09 -1 -1 0.27 0.0115849 0.0104222 126 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 5.49 vpr 63.54 MiB -1 -1 0.12 21088 1 0.02 -1 -1 32972 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65068 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 25.0 MiB 0.13 1020 63.5 MiB 0.09 0.00 3.7622 -101.594 -3.7622 3.7622 0.82 0.000155042 0.000126273 0.0153961 0.0127236 32 2388 23 6.65987e+06 380340 554710. 1919.41 2.42 0.126676 0.115582 22834 132086 -1 1994 17 1065 1676 126203 28903 0 0 126203 28903 1676 1246 0 0 6435 5436 0 0 9810 7772 0 0 1676 1383 0 0 54020 6499 0 0 52586 6567 0 0 1676 0 0 611 634 631 5136 0 0 3.60725 3.60725 -116.141 -3.60725 0 0 701300. 2426.64 0.24 0.03 0.08 -1 -1 0.24 0.0105068 0.00948305 126 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 3.61 vpr 63.66 MiB -1 -1 0.13 20884 1 0.02 -1 -1 33256 -1 -1 33 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65192 31 32 373 294 1 196 96 17 17 289 -1 unnamed_device 25.1 MiB 0.19 1052 63.7 MiB 0.06 0.00 3.77855 -110.561 -3.77855 3.77855 0.73 0.000172849 0.00014195 0.00985663 0.00827037 26 2489 23 6.65987e+06 418374 477104. 1650.88 0.69 0.0435553 0.0374798 21682 110474 -1 2215 22 1634 2652 177681 42366 0 0 177681 42366 2652 1972 0 0 9994 8160 0 0 14818 11791 0 0 2652 2125 0 0 74726 9181 0 0 72839 9137 0 0 2652 0 0 1018 1418 1657 10419 0 0 3.71951 3.71951 -128.145 -3.71951 0 0 585099. 2024.56 0.21 0.05 0.07 -1 -1 0.21 0.0141716 0.0126447 144 46 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 5.32 vpr 63.42 MiB -1 -1 0.11 20808 1 0.01 -1 -1 33200 -1 -1 31 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64940 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 25.0 MiB 0.12 1006 63.4 MiB 0.07 0.00 2.8321 -88.9367 -2.8321 2.8321 0.82 0.000168813 0.000139474 0.0112842 0.0094968 30 2256 23 6.65987e+06 393018 526063. 1820.29 2.09 0.0716106 0.0605586 22546 126617 -1 1903 18 1002 1730 117242 25476 0 0 117242 25476 1730 1264 0 0 5844 4696 0 0 7689 6197 0 0 1730 1335 0 0 51972 5802 0 0 48277 6182 0 0 1730 0 0 728 813 784 6239 0 0 2.67545 2.67545 -99.4573 -2.67545 0 0 666494. 2306.21 0.60 0.05 0.09 -1 -1 0.60 0.0162329 0.0151452 124 46 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 5.67 vpr 63.93 MiB -1 -1 0.11 20860 1 0.01 -1 -1 33216 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65460 32 32 350 275 1 214 88 17 17 289 -1 unnamed_device 25.2 MiB 0.13 1406 63.9 MiB 0.18 0.00 3.8505 -125.328 -3.8505 3.8505 0.99 0.000202459 0.000170729 0.0361419 0.0337562 30 2920 23 6.65987e+06 304272 526063. 1820.29 2.32 0.106279 0.0942613 22546 126617 -1 2563 20 1589 2419 168681 36028 0 0 168681 36028 2419 1796 0 0 8202 6637 0 0 11205 8895 0 0 2419 2000 0 0 71192 8767 0 0 73244 7933 0 0 2419 0 0 830 869 824 6602 0 0 3.85257 3.85257 -140.414 -3.85257 0 0 666494. 2306.21 0.39 0.06 0.08 -1 -1 0.39 0.0233129 0.022049 147 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 5.95 vpr 63.75 MiB -1 -1 0.13 20876 1 0.01 -1 -1 33104 -1 -1 34 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65276 32 32 386 307 1 195 98 17 17 289 -1 unnamed_device 25.2 MiB 0.38 1103 63.7 MiB 0.11 0.00 3.71641 -117.038 -3.71641 3.71641 1.05 0.000232347 0.000196737 0.0146347 0.0122777 26 2916 28 6.65987e+06 431052 477104. 1650.88 2.15 0.0959055 0.0821114 21682 110474 -1 2309 23 1509 2334 222913 54186 0 0 222913 54186 2334 1844 0 0 8893 7317 0 0 13674 10870 0 0 2334 1930 0 0 101780 15971 0 0 93898 16254 0 0 2334 0 0 825 986 1127 7428 0 0 3.61237 3.61237 -134.789 -3.61237 0 0 585099. 2024.56 0.21 0.16 0.07 -1 -1 0.21 0.0251279 0.0229562 143 59 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 3.72 vpr 63.14 MiB -1 -1 0.11 20496 1 0.01 -1 -1 33440 -1 -1 17 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64660 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 24.7 MiB 0.11 631 63.1 MiB 0.07 0.00 2.88681 -85.1602 -2.88681 2.88681 0.92 0.000144431 0.000119737 0.0114919 0.00961426 32 1365 20 6.65987e+06 215526 554710. 1919.41 0.84 0.0339766 0.0291104 22834 132086 -1 1260 20 886 1247 92539 22318 0 0 92539 22318 1247 988 0 0 4847 4281 0 0 8499 6718 0 0 1247 1063 0 0 37466 4731 0 0 39233 4537 0 0 1247 0 0 361 387 351 3122 0 0 2.68177 2.68177 -92.9771 -2.68177 0 0 701300. 2426.64 0.25 0.03 0.09 -1 -1 0.25 0.00926302 0.00803227 92 28 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.10 vpr 63.50 MiB -1 -1 0.11 20840 1 0.01 -1 -1 32916 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65028 32 32 310 266 1 175 84 17 17 289 -1 unnamed_device 25.0 MiB 0.24 876 63.5 MiB 0.05 0.00 3.1971 -103.02 -3.1971 3.1971 0.85 0.000151257 0.000123596 0.00900972 0.00747947 28 2256 21 6.65987e+06 253560 500653. 1732.36 0.71 0.0387523 0.0333532 21970 115934 -1 1902 21 1410 1877 139750 32932 0 0 139750 32932 1877 1646 0 0 7015 5889 0 0 10184 8295 0 0 1877 1769 0 0 57875 7848 0 0 60922 7485 0 0 1877 0 0 467 434 465 4177 0 0 3.20477 3.20477 -119.237 -3.20477 0 0 612192. 2118.31 0.45 0.04 0.07 -1 -1 0.45 0.0108928 0.00974336 116 55 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 5.74 vpr 63.59 MiB -1 -1 0.11 20776 1 0.01 -1 -1 33268 -1 -1 37 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65120 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 25.0 MiB 0.08 900 63.6 MiB 0.10 0.01 3.68941 -99.0075 -3.68941 3.68941 1.07 0.000190828 0.000158658 0.00907175 0.00750949 30 2211 21 6.65987e+06 469086 526063. 1820.29 2.58 0.0817017 0.0697064 22546 126617 -1 1916 20 1124 1966 115507 26347 0 0 115507 26347 1966 1366 0 0 6549 5002 0 0 8533 6860 0 0 1966 1522 0 0 47624 5936 0 0 48869 5661 0 0 1966 0 0 842 1020 1123 7893 0 0 3.37811 3.37811 -115.41 -3.37811 0 0 666494. 2306.21 0.23 0.03 0.08 -1 -1 0.23 0.0109172 0.00979095 129 29 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 5.18 vpr 63.16 MiB -1 -1 0.11 20824 1 0.01 -1 -1 33260 -1 -1 21 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64672 29 32 262 224 1 168 82 17 17 289 -1 unnamed_device 24.5 MiB 0.19 859 63.2 MiB 0.04 0.00 3.18595 -87.8969 -3.18595 3.18595 0.79 0.000131952 0.000109082 0.00679071 0.00572664 32 1955 21 6.65987e+06 266238 554710. 1919.41 2.38 0.0819939 0.059227 22834 132086 -1 1733 20 1151 1495 108004 26371 0 0 108004 26371 1495 1280 0 0 5838 4946 0 0 10042 7700 0 0 1495 1343 0 0 44441 5659 0 0 44693 5443 0 0 1495 0 0 344 330 284 3153 0 0 2.85531 2.85531 -99.5951 -2.85531 0 0 701300. 2426.64 0.26 0.03 0.09 -1 -1 0.26 0.00958391 0.00862679 110 25 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 3.63 vpr 63.20 MiB -1 -1 0.11 20484 1 0.01 -1 -1 33176 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64720 32 32 278 238 1 149 80 17 17 289 -1 unnamed_device 24.7 MiB 0.17 904 63.2 MiB 0.05 0.00 2.78249 -92.7899 -2.78249 2.78249 0.90 0.000188369 0.000162324 0.0122884 0.0109725 30 1818 23 6.65987e+06 202848 526063. 1820.29 0.76 0.0436941 0.0384207 22546 126617 -1 1607 21 991 1677 90935 21919 0 0 90935 21919 1677 1123 0 0 5718 4628 0 0 7539 6121 0 0 1677 1258 0 0 38267 4214 0 0 36057 4575 0 0 1677 0 0 686 674 729 5406 0 0 2.56625 2.56625 -100.614 -2.56625 0 0 666494. 2306.21 0.25 0.03 0.08 -1 -1 0.25 0.010089 0.00902285 109 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 6.47 vpr 63.64 MiB -1 -1 0.12 20992 1 0.01 -1 -1 33216 -1 -1 35 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65164 31 32 373 300 1 181 98 17 17 289 -1 unnamed_device 25.3 MiB 0.21 913 63.6 MiB 0.07 0.00 3.33161 -98.3149 -3.33161 3.33161 1.17 0.000174072 0.000143144 0.0132546 0.0113687 32 2053 22 6.65987e+06 443730 554710. 1919.41 2.97 0.116148 0.0962762 22834 132086 -1 1732 23 1525 2258 142391 34490 0 0 142391 34490 2258 1632 0 0 8451 6970 0 0 12728 9811 0 0 2258 1828 0 0 60069 6909 0 0 56627 7340 0 0 2258 0 0 733 818 958 6985 0 0 3.00816 3.00816 -108.43 -3.00816 0 0 701300. 2426.64 0.26 0.04 0.09 -1 -1 0.26 0.0134044 0.0119626 135 60 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 6.39 vpr 63.23 MiB -1 -1 0.12 20820 1 0.01 -1 -1 33332 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64744 31 32 265 230 1 162 82 17 17 289 -1 unnamed_device 24.7 MiB 0.14 909 63.2 MiB 0.06 0.00 3.0359 -98.1422 -3.0359 3.0359 1.11 0.000153729 0.000127099 0.0105031 0.00806861 32 2155 21 6.65987e+06 240882 554710. 1919.41 3.11 0.117399 0.103168 22834 132086 -1 1840 22 1263 1837 141559 32742 0 0 141559 32742 1837 1486 0 0 7309 6270 0 0 11274 9015 0 0 1837 1569 0 0 57658 7628 0 0 61644 6774 0 0 1837 0 0 574 527 563 4649 0 0 3.22997 3.22997 -117.572 -3.22997 0 0 701300. 2426.64 0.28 0.04 0.09 -1 -1 0.28 0.0118652 0.0106688 108 30 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 6.37 vpr 63.59 MiB -1 -1 0.11 20788 1 0.01 -1 -1 33116 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65120 32 32 349 286 1 171 95 17 17 289 -1 unnamed_device 25.0 MiB 0.26 864 63.6 MiB 0.14 0.00 2.92995 -93.2427 -2.92995 2.92995 0.92 0.000274643 0.000237458 0.0224863 0.0195626 30 2128 19 6.65987e+06 393018 526063. 1820.29 2.89 0.10301 0.0889879 22546 126617 -1 1722 16 995 1758 108595 25057 0 0 108595 25057 1758 1163 0 0 5999 4760 0 0 7898 6377 0 0 1758 1291 0 0 46205 5626 0 0 44977 5840 0 0 1758 0 0 763 1047 1233 7915 0 0 2.51631 2.51631 -100.858 -2.51631 0 0 666494. 2306.21 0.35 0.04 0.11 -1 -1 0.35 0.0106392 0.00924737 126 54 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.48 vpr 63.61 MiB -1 -1 0.12 20876 1 0.02 -1 -1 33372 -1 -1 32 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65132 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 25.2 MiB 0.89 890 63.6 MiB 0.08 0.00 3.3843 -107.194 -3.3843 3.3843 0.87 0.000192536 0.000160627 0.0144661 0.0122346 32 2136 22 6.65987e+06 405696 554710. 1919.41 0.71 0.0483422 0.0416087 22834 132086 -1 1911 21 1571 2180 144508 35244 0 0 144508 35244 2180 1752 0 0 8302 7140 0 0 13195 10070 0 0 2180 1908 0 0 62221 6850 0 0 56430 7524 0 0 2180 0 0 609 796 706 5981 0 0 3.29983 3.29983 -127.331 -3.29983 0 0 701300. 2426.64 0.28 0.04 0.09 -1 -1 0.28 0.0147574 0.0132364 138 87 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 5.54 vpr 63.40 MiB -1 -1 0.10 20764 1 0.01 -1 -1 33292 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64924 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 24.8 MiB 0.21 861 63.4 MiB 0.06 0.00 2.54264 -84.4966 -2.54264 2.54264 0.87 0.000254573 0.00022402 0.0108222 0.00908885 32 2003 35 6.65987e+06 215526 554710. 1919.41 2.57 0.0933733 0.0794513 22834 132086 -1 1789 18 931 1448 111970 25860 0 0 111970 25860 1448 1153 0 0 5604 4844 0 0 8731 6856 0 0 1448 1344 0 0 49895 5574 0 0 44844 6089 0 0 1448 0 0 517 417 423 3919 0 0 2.63651 2.63651 -101.106 -2.63651 0 0 701300. 2426.64 0.27 0.03 0.09 -1 -1 0.27 0.0112545 0.010251 104 54 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 3.60 vpr 63.37 MiB -1 -1 0.10 20744 1 0.01 -1 -1 33108 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64892 32 32 290 244 1 175 83 17 17 289 -1 unnamed_device 25.0 MiB 0.12 890 63.4 MiB 0.06 0.00 3.26875 -101.502 -3.26875 3.26875 0.79 0.000150237 0.000123066 0.00936259 0.00789646 32 2147 21 6.65987e+06 240882 554710. 1919.41 0.75 0.0389492 0.0339123 22834 132086 -1 1804 17 1111 1705 116118 29035 0 0 116118 29035 1705 1482 0 0 6419 5402 0 0 9393 7414 0 0 1705 1554 0 0 49227 6453 0 0 47669 6730 0 0 1705 0 0 594 495 578 4560 0 0 3.14551 3.14551 -117.139 -3.14551 0 0 701300. 2426.64 0.30 0.03 0.10 -1 -1 0.30 0.00936359 0.00842777 115 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 6.26 vpr 63.57 MiB -1 -1 0.11 20848 1 0.01 -1 -1 33152 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65096 32 32 318 257 1 194 86 17 17 289 -1 unnamed_device 25.2 MiB 0.11 1061 63.6 MiB 0.06 0.00 3.8213 -118.261 -3.8213 3.8213 1.31 0.000173506 0.000143909 0.0103624 0.00871629 32 2518 20 6.65987e+06 278916 554710. 1919.41 2.66 0.0811372 0.0700226 22834 132086 -1 2167 23 1500 2119 148606 35862 0 0 148606 35862 2119 1756 0 0 8302 6827 0 0 12810 10159 0 0 2119 1884 0 0 61948 7674 0 0 61308 7562 0 0 2119 0 0 619 635 614 5275 0 0 3.69471 3.69471 -127.674 -3.69471 0 0 701300. 2426.64 0.29 0.05 0.09 -1 -1 0.29 0.0153489 0.0139064 130 27 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 5.78 vpr 63.50 MiB -1 -1 0.12 20764 1 0.02 -1 -1 33360 -1 -1 28 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65020 29 32 324 268 1 168 89 17 17 289 -1 unnamed_device 25.0 MiB 0.46 981 63.5 MiB 0.37 0.00 3.80467 -100.309 -3.80467 3.80467 1.40 0.000226787 0.000194352 0.0658978 0.0386767 26 2236 20 6.65987e+06 354984 477104. 1650.88 1.23 0.101636 0.0700073 21682 110474 -1 1981 19 956 1578 112034 26429 0 0 112034 26429 1578 1154 0 0 5995 4957 0 0 8879 7133 0 0 1578 1227 0 0 47292 6016 0 0 46712 5942 0 0 1578 0 0 622 759 879 6266 0 0 3.44903 3.44903 -117.5 -3.44903 0 0 585099. 2024.56 0.24 0.04 0.08 -1 -1 0.24 0.0117573 0.0105772 121 49 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 7.84 vpr 63.49 MiB -1 -1 0.12 20768 1 0.01 -1 -1 33204 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65016 32 32 393 312 1 213 87 17 17 289 -1 unnamed_device 25.3 MiB 0.40 1044 63.5 MiB 0.10 0.00 3.94486 -124.626 -3.94486 3.94486 1.22 0.00020927 0.000174466 0.0196056 0.0175014 28 2680 29 6.65987e+06 291594 500653. 1732.36 3.97 0.131878 0.114583 21970 115934 -1 2241 21 1664 2450 161962 40104 0 0 161962 40104 2450 1962 0 0 8815 7093 0 0 12801 10145 0 0 2450 2080 0 0 69546 9279 0 0 65900 9545 0 0 2450 0 0 786 778 976 7074 0 0 4.09325 4.09325 -149.406 -4.09325 0 0 612192. 2118.31 0.23 0.05 0.07 -1 -1 0.23 0.0146584 0.0131269 153 62 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 5.51 vpr 62.95 MiB -1 -1 0.11 20496 1 0.01 -1 -1 33032 -1 -1 18 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64464 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 24.3 MiB 0.08 786 63.0 MiB 0.05 0.00 2.91224 -81.8808 -2.91224 2.91224 0.88 0.000122551 0.000100779 0.00940594 0.00780856 32 1659 19 6.65987e+06 228204 554710. 1919.41 2.36 0.0624401 0.0533525 22834 132086 -1 1560 22 821 1349 99282 23871 0 0 99282 23871 1349 1035 0 0 5448 4659 0 0 8684 7067 0 0 1349 1183 0 0 41577 5011 0 0 40875 4916 0 0 1349 0 0 528 559 424 4096 0 0 2.72871 2.72871 -98.0314 -2.72871 0 0 701300. 2426.64 0.34 0.04 0.10 -1 -1 0.34 0.0128547 0.0118017 96 -1 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 6.50 vpr 63.71 MiB -1 -1 0.12 20884 1 0.01 -1 -1 33176 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65244 32 32 412 334 1 190 97 17 17 289 -1 unnamed_device 25.1 MiB 0.42 1037 63.7 MiB 0.10 0.00 3.3113 -113.537 -3.3113 3.3113 0.81 0.000197352 0.000163287 0.0178907 0.0151687 26 3001 36 6.65987e+06 418374 477104. 1650.88 2.91 0.119791 0.0885261 21682 110474 -1 2281 21 1661 2353 189434 43266 0 0 189434 43266 2353 1977 0 0 9020 7356 0 0 13340 10603 0 0 2353 2109 0 0 83031 10587 0 0 79337 10634 0 0 2353 0 0 692 661 843 6321 0 0 3.83077 3.83077 -141.41 -3.83077 0 0 585099. 2024.56 0.33 0.07 0.12 -1 -1 0.33 0.0201168 0.018502 144 87 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 5.07 vpr 63.43 MiB -1 -1 0.13 20788 1 0.00 -1 -1 33376 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64956 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 24.9 MiB 0.25 785 63.4 MiB 0.06 0.00 2.8021 -99.434 -2.8021 2.8021 0.91 0.000166087 0.000136334 0.0124983 0.0104699 30 1771 19 6.65987e+06 202848 526063. 1820.29 1.75 0.074702 0.0642689 22546 126617 -1 1537 18 1064 1505 95194 22116 0 0 95194 22116 1505 1313 0 0 5042 3985 0 0 6497 5248 0 0 1505 1396 0 0 43369 4958 0 0 37276 5216 0 0 1505 0 0 441 354 339 3597 0 0 2.76777 2.76777 -112.968 -2.76777 0 0 666494. 2306.21 0.26 0.04 0.09 -1 -1 0.26 0.0114194 0.0102806 115 93 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 5.82 vpr 63.84 MiB -1 -1 0.12 20852 1 0.02 -1 -1 33224 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65372 32 32 360 293 1 179 95 17 17 289 -1 unnamed_device 25.4 MiB 0.39 1063 63.8 MiB 0.09 0.00 3.33475 -107.709 -3.33475 3.33475 0.81 0.000169339 0.000139384 0.0157389 0.013212 32 2252 23 6.65987e+06 393018 554710. 1919.41 2.17 0.0865309 0.073809 22834 132086 -1 2022 18 1000 1433 100926 23667 0 0 100926 23667 1433 1118 0 0 5559 4649 0 0 8368 6512 0 0 1433 1164 0 0 42796 5068 0 0 41337 5156 0 0 1433 0 0 433 530 556 4538 0 0 3.19951 3.19951 -118.578 -3.19951 0 0 701300. 2426.64 0.37 0.04 0.09 -1 -1 0.37 0.0124233 0.0112971 130 57 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 7.45 vpr 63.77 MiB -1 -1 0.12 21016 1 0.02 -1 -1 33336 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65304 32 32 396 299 1 236 89 17 17 289 -1 unnamed_device 25.5 MiB 0.27 1370 63.8 MiB 0.07 0.00 5.00309 -153.912 -5.00309 5.00309 0.82 0.000221452 0.000185497 0.0129234 0.0109093 28 3877 46 6.65987e+06 316950 500653. 1732.36 4.52 0.12075 0.103598 21970 115934 -1 2936 22 2036 2815 225651 50149 0 0 225651 50149 2815 2377 0 0 10178 8289 0 0 15108 11994 0 0 2815 2475 0 0 94234 12855 0 0 100501 12159 0 0 2815 0 0 779 1184 1087 7893 0 0 5.74674 5.74674 -187.412 -5.74674 0 0 612192. 2118.31 0.23 0.06 0.08 -1 -1 0.23 0.0164915 0.0149383 168 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.23 vpr 63.25 MiB -1 -1 0.11 20340 1 0.01 -1 -1 33156 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64764 30 32 224 207 1 137 79 17 17 289 -1 unnamed_device 24.6 MiB 0.20 641 63.2 MiB 0.04 0.00 2.57364 -80.2125 -2.57364 2.57364 1.03 0.000113561 9.2754e-05 0.00879793 0.00772215 26 1708 19 6.65987e+06 215526 477104. 1650.88 0.92 0.0304461 0.0263805 21682 110474 -1 1501 22 905 1148 149570 44591 0 0 149570 44591 1148 1010 0 0 4561 3868 0 0 8266 6411 0 0 1148 1077 0 0 66379 16033 0 0 68068 16192 0 0 1148 0 0 243 212 254 2343 0 0 2.27591 2.27591 -91.1118 -2.27591 0 0 585099. 2024.56 0.27 0.07 0.08 -1 -1 0.27 0.0109458 0.00981964 86 29 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 7.01 vpr 63.30 MiB -1 -1 0.12 20692 1 0.02 -1 -1 33140 -1 -1 16 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64820 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 24.8 MiB 0.20 633 63.3 MiB 0.18 0.03 3.13515 -90.5807 -3.13515 3.13515 1.09 0.000135678 0.000110935 0.0144847 0.0123112 26 1814 23 6.65987e+06 202848 477104. 1650.88 2.88 0.0718227 0.0618412 21682 110474 -1 1486 20 1037 1576 118249 28098 0 0 118249 28098 1576 1312 0 0 6045 5106 0 0 9216 7293 0 0 1576 1367 0 0 50256 6691 0 0 49580 6329 0 0 1576 0 0 539 637 558 4656 0 0 2.79977 2.79977 -103.499 -2.79977 0 0 585099. 2024.56 0.30 0.12 0.07 -1 -1 0.30 0.012909 0.0116992 92 29 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.51 vpr 63.25 MiB -1 -1 0.11 20868 1 0.01 -1 -1 33256 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64768 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 24.9 MiB 0.05 702 63.2 MiB 0.04 0.00 2.77684 -87.1649 -2.77684 2.77684 1.42 0.000148091 0.000122215 0.00881117 0.00747269 32 2239 26 6.65987e+06 266238 554710. 1919.41 0.85 0.039864 0.0345552 22834 132086 -1 1778 20 1322 2288 176416 43694 0 0 176416 43694 2288 1842 0 0 8824 7710 0 0 14688 11194 0 0 2288 1954 0 0 69584 10618 0 0 78744 10376 0 0 2288 0 0 966 1281 1080 8157 0 0 2.97391 2.97391 -112.991 -2.97391 0 0 701300. 2426.64 0.28 0.04 0.09 -1 -1 0.28 0.0104107 0.00930149 115 31 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 6.89 vpr 62.88 MiB -1 -1 0.09 20500 1 0.01 -1 -1 33260 -1 -1 27 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64388 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 24.4 MiB 0.12 498 62.9 MiB 0.10 0.00 2.55958 -61.0518 -2.55958 2.55958 1.34 0.000135197 0.000107484 0.0354586 0.0179118 30 1325 23 6.65987e+06 342306 526063. 1820.29 2.64 0.0840261 0.0593231 22546 126617 -1 980 21 608 979 49166 13264 0 0 49166 13264 979 674 0 0 3411 2776 0 0 4679 3767 0 0 979 711 0 0 17996 2850 0 0 21122 2486 0 0 979 0 0 371 381 396 3399 0 0 2.30005 2.30005 -65.8531 -2.30005 0 0 666494. 2306.21 0.32 0.03 0.16 -1 -1 0.32 0.00926663 0.00768604 89 19 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 5.67 vpr 63.75 MiB -1 -1 0.12 21092 1 0.02 -1 -1 33156 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65276 32 32 376 307 1 185 84 17 17 289 -1 unnamed_device 25.3 MiB 0.21 1064 63.7 MiB 0.12 0.01 3.13278 -106.341 -3.13278 3.13278 1.30 0.000213891 0.000178873 0.0194512 0.0166926 32 2830 23 6.65987e+06 253560 554710. 1919.41 1.42 0.0853746 0.0718232 22834 132086 -1 2375 20 1515 2750 199184 46183 0 0 199184 46183 2750 2125 0 0 10236 8589 0 0 16619 12708 0 0 2750 2260 0 0 82286 10549 0 0 84543 9952 0 0 2750 0 0 1235 1361 1267 9511 0 0 3.53125 3.53125 -123.42 -3.53125 0 0 701300. 2426.64 0.51 0.07 0.11 -1 -1 0.51 0.0169125 0.0154226 135 69 -1 -1 -1 -1 - fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 6.61 vpr 63.93 MiB -1 -1 0.13 21156 1 0.01 -1 -1 33380 -1 -1 33 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65468 31 32 409 331 1 191 96 17 17 289 -1 unnamed_device 25.2 MiB 0.34 855 63.9 MiB 0.07 0.00 3.36335 -108.866 -3.36335 3.36335 0.84 0.000296412 0.000250216 0.0122788 0.0105352 30 2241 19 6.65987e+06 418374 526063. 1820.29 3.14 0.0948242 0.0802575 22546 126617 -1 1851 22 1310 2044 107470 26866 0 0 107470 26866 2044 1629 0 0 6773 5343 0 0 8796 7035 0 0 2044 1759 0 0 41996 5670 0 0 45817 5430 0 0 2044 0 0 734 830 818 6240 0 0 3.11991 3.11991 -119.621 -3.11991 0 0 666494. 2306.21 0.51 0.06 0.11 -1 -1 0.51 0.0244965 0.0226011 142 86 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 12.28 vpr 64.40 MiB -1 -1 0.13 20856 1 0.01 -1 -1 33292 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65948 32 32 354 285 1 193 77 17 17 289 -1 unnamed_device 25.8 MiB 2.44 794 64.4 MiB 0.09 0.00 4.4212 -127.216 -4.4212 4.4212 1.44 0.000192711 0.000161367 0.0189075 0.0153382 54 2354 27 6.95648e+06 188184 949917. 3286.91 5.70 0.154627 0.132995 29506 232905 -1 1793 22 1575 2348 171538 39455 0 0 171538 39455 2348 1887 0 0 7617 6852 0 0 13929 9029 0 0 2348 2051 0 0 74458 9405 0 0 70838 10231 0 0 2348 0 0 773 823 827 6835 0 0 4.62216 4.62216 -149.51 -4.62216 0 0 1.17392e+06 4061.99 0.45 0.05 0.16 -1 -1 0.45 0.0144696 0.0129666 81 47 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 20.43 vpr 64.26 MiB -1 -1 0.13 21156 1 0.01 -1 -1 33196 -1 -1 15 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65804 30 32 363 293 1 187 77 17 17 289 -1 unnamed_device 25.7 MiB 2.27 838 64.3 MiB 0.14 0.00 3.66177 -114.959 -3.66177 3.66177 1.18 0.000329905 0.000283678 0.0441664 0.0352828 38 2667 39 6.95648e+06 217135 678818. 2348.85 14.52 0.202726 0.172607 26626 170182 -1 2126 24 2022 2865 234393 50183 0 0 234393 50183 2865 2576 0 0 8842 7856 0 0 14561 9731 0 0 2865 2712 0 0 106223 12952 0 0 99037 14356 0 0 2865 0 0 843 887 817 7098 0 0 4.36702 4.36702 -147.434 -4.36702 0 0 902133. 3121.57 0.41 0.07 0.11 -1 -1 0.41 0.0166622 0.0151344 80 58 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 8.82 vpr 64.24 MiB -1 -1 0.11 20492 1 0.01 -1 -1 33212 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65784 32 32 299 247 1 182 79 17 17 289 -1 unnamed_device 25.8 MiB 1.45 993 64.2 MiB 0.09 0.00 3.10314 -103.161 -3.10314 3.10314 0.96 0.000147771 0.000121781 0.0225342 0.0199892 38 2600 42 6.95648e+06 217135 678818. 2348.85 3.71 0.129058 0.102086 26626 170182 -1 2071 20 1280 1705 132414 27935 0 0 132414 27935 1705 1399 0 0 5589 4826 0 0 8722 6136 0 0 1705 1456 0 0 58784 7129 0 0 55909 6989 0 0 1705 0 0 425 387 490 4075 0 0 3.51522 3.51522 -126.514 -3.51522 0 0 902133. 3121.57 0.55 0.05 0.28 -1 -1 0.55 0.0153008 0.0139982 76 26 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 7.37 vpr 64.27 MiB -1 -1 0.11 20424 1 0.01 -1 -1 33256 -1 -1 19 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65808 29 32 308 248 1 162 80 17 17 289 -1 unnamed_device 25.7 MiB 0.31 698 64.3 MiB 0.05 0.00 3.50318 -98.6968 -3.50318 3.50318 0.78 0.000143684 0.000117109 0.0106341 0.00889546 40 2365 27 6.95648e+06 275038 706193. 2443.58 4.00 0.0914902 0.0780933 26914 176310 -1 1939 21 1634 2645 340242 99620 0 0 340242 99620 2645 2090 0 0 8853 7608 0 0 16609 10650 0 0 2645 2245 0 0 156985 38798 0 0 152505 38229 0 0 2645 0 0 1011 1246 1285 8939 0 0 3.78576 3.78576 -122.36 -3.78576 0 0 926341. 3205.33 0.59 0.09 0.16 -1 -1 0.59 0.0138794 0.0124891 71 25 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 6.33 vpr 64.17 MiB -1 -1 0.11 20952 1 0.01 -1 -1 33224 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65708 32 32 336 268 1 172 80 17 17 289 -1 unnamed_device 25.6 MiB 0.73 764 64.2 MiB 0.06 0.00 3.67069 -107.059 -3.67069 3.67069 0.77 0.00015809 0.000129032 0.0133289 0.0111584 46 2390 32 6.95648e+06 231611 828058. 2865.25 2.79 0.0720027 0.0621116 28066 200906 -1 1871 24 1370 2330 193175 42384 0 0 193175 42384 2330 1800 0 0 7448 6716 0 0 13278 8585 0 0 2330 1902 0 0 79407 12315 0 0 88382 11066 0 0 2330 0 0 960 1095 969 7766 0 0 4.16826 4.16826 -130.288 -4.16826 0 0 1.01997e+06 3529.29 0.43 0.07 0.13 -1 -1 0.43 0.0192462 0.017759 73 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 7.77 vpr 64.47 MiB -1 -1 0.11 20792 1 0.01 -1 -1 33200 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66020 32 32 366 295 1 182 85 17 17 289 -1 unnamed_device 25.9 MiB 1.03 826 64.5 MiB 0.07 0.00 2.5924 -96.4327 -2.5924 2.5924 0.82 0.000162798 0.000132672 0.0178471 0.0151335 44 2347 22 6.95648e+06 303989 787024. 2723.27 3.62 0.106608 0.090538 27778 195446 -1 1960 20 1458 2200 180506 37897 0 0 180506 37897 2200 1675 0 0 7105 6214 0 0 11808 8161 0 0 2200 1816 0 0 81426 9391 0 0 75767 10640 0 0 2200 0 0 742 829 863 6754 0 0 3.19967 3.19967 -119.368 -3.19967 0 0 997811. 3452.63 0.53 0.07 0.12 -1 -1 0.53 0.0214786 0.0200456 79 55 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 11.88 vpr 63.85 MiB -1 -1 0.11 20604 1 0.01 -1 -1 33224 -1 -1 13 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65384 27 32 259 221 1 125 72 17 17 289 -1 unnamed_device 25.3 MiB 4.72 521 63.9 MiB 0.04 0.00 2.92458 -77.4796 -2.92458 2.92458 0.84 0.000122164 9.9111e-05 0.00871696 0.00726051 38 1630 27 6.95648e+06 188184 678818. 2348.85 4.11 0.0683402 0.0586137 26626 170182 -1 1244 21 838 1289 104138 23273 0 0 104138 23273 1289 1053 0 0 4194 3678 0 0 7149 4876 0 0 1289 1083 0 0 45167 6194 0 0 45050 6389 0 0 1289 0 0 451 261 549 3648 0 0 3.09302 3.09302 -95.6084 -3.09302 0 0 902133. 3121.57 0.43 0.04 0.14 -1 -1 0.43 0.0113728 0.0103144 52 26 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 8.23 vpr 64.20 MiB -1 -1 0.12 20452 1 0.01 -1 -1 33384 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65744 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 25.7 MiB 0.39 679 64.2 MiB 0.06 0.00 2.5154 -76.9069 -2.5154 2.5154 0.91 0.000167346 0.000133558 0.0117618 0.00980848 44 1890 28 6.95648e+06 361892 787024. 2723.27 4.90 0.144871 0.131385 27778 195446 -1 1494 21 962 1653 109412 25706 0 0 109412 25706 1653 1170 0 0 5552 4751 0 0 9042 6576 0 0 1653 1300 0 0 45607 5741 0 0 45905 6168 0 0 1653 0 0 691 977 1105 6952 0 0 2.88052 2.88052 -97.888 -2.88052 0 0 997811. 3452.63 0.32 0.03 0.12 -1 -1 0.32 0.0100921 0.00905145 69 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 9.74 vpr 64.31 MiB -1 -1 0.12 20892 1 0.01 -1 -1 33012 -1 -1 11 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65856 31 32 317 271 1 163 74 17 17 289 -1 unnamed_device 25.7 MiB 2.75 653 64.3 MiB 0.05 0.00 2.76819 -94.7229 -2.76819 2.76819 2.23 0.000157297 0.000131064 0.0124481 0.0104945 38 2241 30 6.95648e+06 159232 678818. 2348.85 2.39 0.0641545 0.0550356 26626 170182 -1 1634 20 1319 1850 153266 34753 0 0 153266 34753 1850 1553 0 0 5882 5230 0 0 9647 6559 0 0 1850 1570 0 0 63614 10522 0 0 70423 9319 0 0 1850 0 0 531 533 376 4352 0 0 3.53556 3.53556 -122.843 -3.53556 0 0 902133. 3121.57 0.29 0.04 0.11 -1 -1 0.29 0.0105812 0.0094877 66 60 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 12.63 vpr 64.23 MiB -1 -1 0.11 20852 1 0.01 -1 -1 33116 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65776 32 32 298 248 1 150 74 17 17 289 -1 unnamed_device 25.7 MiB 1.40 628 64.2 MiB 0.06 0.00 2.66488 -91.5447 -2.66488 2.66488 1.64 0.000193026 0.000163333 0.0111048 0.00949575 38 1893 46 6.95648e+06 144757 678818. 2348.85 6.50 0.120812 0.107525 26626 170182 -1 1504 23 1265 1808 141711 31809 0 0 141711 31809 1808 1501 0 0 5671 4950 0 0 9179 6239 0 0 1808 1569 0 0 63482 8310 0 0 59763 9240 0 0 1808 0 0 543 527 636 4974 0 0 3.24832 3.24832 -122.525 -3.24832 0 0 902133. 3121.57 0.46 0.04 0.19 -1 -1 0.46 0.0128848 0.0115347 59 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 8.46 vpr 63.95 MiB -1 -1 0.11 20724 1 0.01 -1 -1 33148 -1 -1 12 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65480 30 32 303 262 1 137 74 17 17 289 -1 unnamed_device 25.4 MiB 1.62 505 63.9 MiB 0.05 0.00 2.79013 -84.2419 -2.79013 2.79013 1.00 0.000137999 0.000111813 0.0111816 0.00928401 40 1533 29 6.95648e+06 173708 706193. 2443.58 3.69 0.0888286 0.0758903 26914 176310 -1 1338 20 1063 1473 122580 33194 0 0 122580 33194 1473 1294 0 0 5218 4559 0 0 8691 6142 0 0 1473 1349 0 0 50195 9943 0 0 55530 9907 0 0 1473 0 0 410 496 608 4085 0 0 3.40042 3.40042 -108.599 -3.40042 0 0 926341. 3205.33 0.38 0.04 0.12 -1 -1 0.38 0.0125724 0.0112464 55 58 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 12.63 vpr 64.01 MiB -1 -1 0.12 20576 1 0.00 -1 -1 32980 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65548 32 32 276 237 1 160 74 17 17 289 -1 unnamed_device 25.5 MiB 1.55 619 64.0 MiB 0.04 0.00 2.85923 -96.1121 -2.85923 2.85923 0.78 0.000128807 0.000104817 0.0107391 0.00893067 48 1953 50 6.95648e+06 144757 865456. 2994.66 6.73 0.143981 0.12677 28354 207349 -1 1578 21 1174 1490 135567 33000 0 0 135567 33000 1490 1298 0 0 5234 4577 0 0 9125 6542 0 0 1490 1345 0 0 55643 9829 0 0 62585 9409 0 0 1490 0 0 316 297 300 3035 0 0 3.22647 3.22647 -124.959 -3.22647 0 0 1.05005e+06 3633.38 1.32 0.06 0.25 -1 -1 1.32 0.020451 0.0191349 62 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 21.83 vpr 64.29 MiB -1 -1 0.11 21052 1 0.00 -1 -1 33252 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65832 32 32 344 272 1 194 79 17 17 289 -1 unnamed_device 25.8 MiB 1.62 1075 64.3 MiB 0.06 0.00 3.17248 -111.603 -3.17248 3.17248 0.74 0.000157864 0.000129154 0.0144472 0.0117044 40 2791 23 6.95648e+06 217135 706193. 2443.58 16.30 0.250165 0.212696 26914 176310 -1 2449 26 2090 3148 333172 64937 0 0 333172 64937 3148 2782 0 0 10155 8956 0 0 20282 12090 0 0 3148 3024 0 0 152054 18383 0 0 144385 19702 0 0 3148 0 0 1058 1202 1098 8674 0 0 3.73437 3.73437 -138.942 -3.73437 0 0 926341. 3205.33 0.72 0.13 0.19 -1 -1 0.72 0.0393571 0.0345091 83 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 11.24 vpr 64.41 MiB -1 -1 0.11 20868 1 0.02 -1 -1 33164 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65952 32 32 363 295 1 174 86 17 17 289 -1 unnamed_device 25.9 MiB 0.73 788 64.4 MiB 0.04 0.00 3.72883 -113.103 -3.72883 3.72883 0.76 0.000293007 0.000261621 0.00987948 0.00829327 36 3338 48 6.95648e+06 318465 648988. 2245.63 7.57 0.0870925 0.0750967 26050 158493 -1 2230 28 2308 3355 439415 139560 0 0 439415 139560 3355 2865 0 0 9995 8477 0 0 18665 11497 0 0 3355 3041 0 0 206066 57631 0 0 197979 56049 0 0 3355 0 0 1047 1378 1498 9906 0 0 4.13172 4.13172 -142.816 -4.13172 0 0 828058. 2865.25 0.40 0.14 0.13 -1 -1 0.40 0.0254857 0.0235369 75 58 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 5.70 vpr 63.76 MiB -1 -1 0.10 20564 1 0.01 -1 -1 33152 -1 -1 13 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65292 29 32 248 215 1 136 74 17 17 289 -1 unnamed_device 25.2 MiB 1.12 641 63.8 MiB 0.03 0.00 2.60155 -73.105 -2.60155 2.60155 0.75 0.000121442 9.8881e-05 0.00782061 0.00651969 36 2412 45 6.95648e+06 188184 648988. 2245.63 1.87 0.0508412 0.043681 26050 158493 -1 1603 21 1201 1804 183297 41490 0 0 183297 41490 1804 1625 0 0 5516 4710 0 0 9881 6229 0 0 1804 1701 0 0 81432 13612 0 0 82860 13613 0 0 1804 0 0 603 679 699 5051 0 0 3.21527 3.21527 -105.42 -3.21527 0 0 828058. 2865.25 0.34 0.05 0.16 -1 -1 0.34 0.0113704 0.00929802 55 21 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 9.81 vpr 64.40 MiB -1 -1 0.10 20776 1 0.01 -1 -1 33396 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65948 32 32 370 297 1 180 81 17 17 289 -1 unnamed_device 25.9 MiB 0.94 766 64.4 MiB 0.05 0.00 2.6254 -93.1189 -2.6254 2.6254 0.75 0.000169795 0.000138463 0.012568 0.0104629 48 2451 38 6.95648e+06 246087 865456. 2994.66 6.20 0.131147 0.113143 28354 207349 -1 1813 25 1675 2662 296784 68934 0 0 296784 68934 2662 2054 0 0 8972 7830 0 0 16693 10974 0 0 2662 2193 0 0 132423 22543 0 0 133372 23340 0 0 2662 0 0 987 1178 1194 8681 0 0 3.38757 3.38757 -120.673 -3.38757 0 0 1.05005e+06 3633.38 0.34 0.08 0.13 -1 -1 0.34 0.0340166 0.0324054 76 55 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 6.11 vpr 64.30 MiB -1 -1 0.11 20844 1 0.01 -1 -1 33144 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65848 32 32 338 269 1 190 78 17 17 289 -1 unnamed_device 25.8 MiB 1.67 824 64.3 MiB 0.07 0.00 3.53151 -112.935 -3.53151 3.53151 0.77 0.000155388 0.000127209 0.0150928 0.0116656 38 3074 41 6.95648e+06 202660 678818. 2348.85 1.86 0.0775139 0.0662367 26626 170182 -1 2083 20 1556 2118 179966 38975 0 0 179966 38975 2118 1907 0 0 6711 5791 0 0 10748 7403 0 0 2118 1946 0 0 77350 11093 0 0 80921 10835 0 0 2118 0 0 562 613 542 4959 0 0 3.85502 3.85502 -139.123 -3.85502 0 0 902133. 3121.57 0.29 0.05 0.11 -1 -1 0.29 0.012427 0.0111815 79 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 4.52 vpr 64.22 MiB -1 -1 0.11 20512 1 0.01 -1 -1 33156 -1 -1 9 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65764 32 32 323 276 1 148 73 17 17 289 -1 unnamed_device 25.6 MiB 0.65 926 64.2 MiB 0.05 0.00 1.91376 -83.6912 -1.91376 1.91376 0.83 0.000148896 0.000121377 0.0113942 0.00939914 38 2160 23 6.95648e+06 130281 678818. 2348.85 1.27 0.0475907 0.0404808 26626 170182 -1 1946 20 1211 1759 166433 32412 0 0 166433 32412 1759 1523 0 0 5436 4812 0 0 9106 5905 0 0 1759 1605 0 0 75192 9082 0 0 73181 9485 0 0 1759 0 0 548 852 884 5587 0 0 2.36013 2.36013 -108.678 -2.36013 0 0 902133. 3121.57 0.28 0.04 0.11 -1 -1 0.28 0.0106338 0.00949456 57 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 4.38 vpr 63.50 MiB -1 -1 0.10 20804 1 0.01 -1 -1 33304 -1 -1 9 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65028 30 32 222 206 1 116 71 17 17 289 -1 unnamed_device 25.2 MiB 0.31 463 63.5 MiB 0.03 0.00 1.85256 -62.2361 -1.85256 1.85256 0.74 0.000109726 8.8254e-05 0.0069722 0.00577116 40 1303 33 6.95648e+06 130281 706193. 2443.58 1.61 0.0444899 0.0377373 26914 176310 -1 1041 18 738 948 95793 21178 0 0 95793 21178 948 906 0 0 3238 2779 0 0 5609 3837 0 0 948 909 0 0 41961 6364 0 0 43089 6383 0 0 948 0 0 210 273 256 2107 0 0 2.39128 2.39128 -83.548 -2.39128 0 0 926341. 3205.33 0.31 0.03 0.11 -1 -1 0.31 0.00729975 0.00653932 43 29 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 8.19 vpr 63.96 MiB -1 -1 0.10 20448 1 0.02 -1 -1 33296 -1 -1 12 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65492 31 32 291 243 1 169 75 17 17 289 -1 unnamed_device 25.4 MiB 2.06 903 64.0 MiB 0.04 0.00 3.36378 -112.731 -3.36378 3.36378 0.74 0.000150549 0.000125549 0.0104579 0.00888115 44 2178 28 6.95648e+06 173708 787024. 2723.27 3.57 0.0819379 0.0703889 27778 195446 -1 1879 19 1428 1883 169692 34539 0 0 169692 34539 1883 1756 0 0 6107 5385 0 0 10679 7088 0 0 1883 1770 0 0 77828 8351 0 0 71312 10189 0 0 1883 0 0 455 241 464 3991 0 0 3.88817 3.88817 -139.758 -3.88817 0 0 997811. 3452.63 0.32 0.04 0.12 -1 -1 0.32 0.0100877 0.0090699 69 30 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 11.05 vpr 64.22 MiB -1 -1 0.11 21184 1 0.01 -1 -1 33468 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65760 32 32 342 271 1 173 84 17 17 289 -1 unnamed_device 25.7 MiB 0.64 702 64.2 MiB 0.06 0.00 3.07689 -100.888 -3.07689 3.07689 0.86 0.000170724 0.000142075 0.0139238 0.0116109 38 2433 28 6.95648e+06 289514 678818. 2348.85 7.70 0.122443 0.105302 26626 170182 -1 1811 23 1528 2188 174581 37954 0 0 174581 37954 2188 1821 0 0 6715 5789 0 0 10867 7322 0 0 2188 1891 0 0 79899 9724 0 0 72724 11407 0 0 2188 0 0 660 846 708 6382 0 0 3.97236 3.97236 -136.064 -3.97236 0 0 902133. 3121.57 0.29 0.04 0.10 -1 -1 0.29 0.0121425 0.0108138 75 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 6.89 vpr 64.51 MiB -1 -1 0.11 20700 1 0.01 -1 -1 33216 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66056 32 32 372 300 1 197 78 17 17 289 -1 unnamed_device 26.1 MiB 1.24 847 64.5 MiB 0.07 0.00 3.8447 -111.917 -3.8447 3.8447 0.87 0.000164757 0.000134763 0.0181508 0.0152152 54 2427 47 6.95648e+06 202660 949917. 3286.91 2.76 0.0927142 0.0793263 29506 232905 -1 1734 22 1437 2269 206287 44374 0 0 206287 44374 2269 1762 0 0 7175 6356 0 0 13230 8344 0 0 2269 1895 0 0 92961 12687 0 0 88383 13330 0 0 2269 0 0 832 909 796 6908 0 0 4.16372 4.16372 -133.012 -4.16372 0 0 1.17392e+06 4061.99 0.39 0.05 0.16 -1 -1 0.39 0.013248 0.0118523 82 59 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 6.39 vpr 63.64 MiB -1 -1 0.09 20620 1 0.01 -1 -1 33320 -1 -1 13 26 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65168 26 32 190 182 1 104 71 17 17 289 -1 unnamed_device 25.1 MiB 0.79 313 63.6 MiB 0.03 0.00 1.86056 -53.5017 -1.86056 1.86056 0.76 9.4581e-05 7.6265e-05 0.00759014 0.00628647 38 1009 24 6.95648e+06 188184 678818. 2348.85 3.17 0.0459376 0.0386786 26626 170182 -1 747 20 549 650 46367 12158 0 0 46367 12158 650 591 0 0 2103 1860 0 0 3297 2317 0 0 650 591 0 0 19258 3492 0 0 20409 3307 0 0 650 0 0 101 58 55 1090 0 0 1.97423 1.97423 -65.1302 -1.97423 0 0 902133. 3121.57 0.28 0.02 0.10 -1 -1 0.28 0.00650547 0.0057983 44 21 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 5.61 vpr 64.27 MiB -1 -1 0.10 20776 1 0.01 -1 -1 33124 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65816 32 32 285 227 1 161 79 17 17 289 -1 unnamed_device 25.8 MiB 0.73 660 64.3 MiB 0.04 0.00 3.81446 -97.2097 -3.81446 3.81446 0.75 0.000150374 0.000124817 0.00944547 0.00805946 46 1733 28 6.95648e+06 217135 828058. 2865.25 2.31 0.0586858 0.0504788 28066 200906 -1 1265 24 1177 1929 123864 32253 0 0 123864 32253 1929 1505 0 0 6324 5481 0 0 11020 7473 0 0 1929 1623 0 0 49573 8491 0 0 53089 7680 0 0 1929 0 0 752 1014 751 6576 0 0 3.72272 3.72272 -115.79 -3.72272 0 0 1.01997e+06 3529.29 0.35 0.04 0.13 -1 -1 0.35 0.0118185 0.0105747 66 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 5.91 vpr 63.68 MiB -1 -1 0.10 20224 1 0.01 -1 -1 33020 -1 -1 8 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65204 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 25.2 MiB 0.26 371 63.7 MiB 0.03 0.00 1.77736 -57.0815 -1.77736 1.77736 0.80 9.7217e-05 7.8476e-05 0.00748534 0.00626965 36 1204 41 6.95648e+06 115805 648988. 2245.63 3.07 0.0505986 0.0430516 26050 158493 -1 943 21 681 796 72255 17792 0 0 72255 17792 796 762 0 0 2825 2505 0 0 4482 3245 0 0 796 771 0 0 30778 5184 0 0 32578 5325 0 0 796 0 0 115 69 127 1337 0 0 1.93388 1.93388 -74.6492 -1.93388 0 0 828058. 2865.25 0.26 0.02 0.10 -1 -1 0.26 0.00670408 0.00594475 42 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 8.43 vpr 64.27 MiB -1 -1 0.13 20596 1 0.01 -1 -1 32948 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65812 32 32 300 245 1 165 79 17 17 289 -1 unnamed_device 25.7 MiB 1.30 957 64.3 MiB 0.06 0.00 3.51735 -103.645 -3.51735 3.51735 0.79 0.000139679 0.000114349 0.0153011 0.0128007 36 2609 38 6.95648e+06 217135 648988. 2245.63 4.05 0.0739621 0.0633156 26050 158493 -1 2128 20 1309 2069 203183 39776 0 0 203183 39776 2069 1759 0 0 6602 5683 0 0 11279 7442 0 0 2069 1846 0 0 92275 11348 0 0 88889 11698 0 0 2069 0 0 760 934 987 6964 0 0 3.83912 3.83912 -129.339 -3.83912 0 0 828058. 2865.25 0.26 0.05 0.10 -1 -1 0.26 0.0114354 0.0102888 68 21 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 8.79 vpr 64.20 MiB -1 -1 0.11 20744 1 0.02 -1 -1 33108 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65744 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 25.6 MiB 0.95 712 64.2 MiB 0.08 0.00 2.4561 -81.7184 -2.4561 2.4561 1.02 0.000153508 0.000126254 0.0143173 0.0123676 40 2531 41 6.95648e+06 303989 706193. 2443.58 3.98 0.11715 0.102417 26914 176310 -1 1940 22 1477 2244 216983 50601 0 0 216983 50601 2244 1836 0 0 7868 6760 0 0 14757 9815 0 0 2244 1910 0 0 94109 15455 0 0 95761 14825 0 0 2244 0 0 767 991 1082 7516 0 0 3.51112 3.51112 -119.124 -3.51112 0 0 926341. 3205.33 0.30 0.05 0.11 -1 -1 0.30 0.011553 0.0103484 74 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 14.66 vpr 64.41 MiB -1 -1 0.12 20788 1 0.01 -1 -1 33380 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65960 32 32 338 277 1 172 83 17 17 289 -1 unnamed_device 25.9 MiB 1.15 829 64.4 MiB 0.16 0.00 3.60953 -107.489 -3.60953 3.60953 1.28 0.00273262 0.0026942 0.0306856 0.0276438 44 2596 23 6.95648e+06 275038 787024. 2723.27 9.47 0.15635 0.128589 27778 195446 -1 1840 21 1268 2077 160148 35902 0 0 160148 35902 2077 1656 0 0 6724 5878 0 0 11973 8005 0 0 2077 1773 0 0 66441 9418 0 0 70856 9172 0 0 2077 0 0 809 1022 880 6866 0 0 4.06771 4.06771 -131.904 -4.06771 0 0 997811. 3452.63 0.33 0.04 0.12 -1 -1 0.33 0.0124285 0.0111188 72 47 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 9.74 vpr 63.98 MiB -1 -1 0.10 20500 1 0.01 -1 -1 33372 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65512 32 32 284 241 1 141 74 17 17 289 -1 unnamed_device 25.6 MiB 1.10 564 64.0 MiB 0.05 0.00 2.58755 -78.0095 -2.58755 2.58755 1.12 0.000134199 0.000109092 0.0135852 0.0114936 42 2076 47 6.95648e+06 144757 744469. 2576.02 5.27 0.131678 0.114471 27202 183097 -1 1521 27 1132 1737 165244 46618 0 0 165244 46618 1737 1470 0 0 5943 5281 0 0 10931 7392 0 0 1737 1561 0 0 70109 15313 0 0 74787 15601 0 0 1737 0 0 605 701 596 4981 0 0 3.36882 3.36882 -110.656 -3.36882 0 0 949917. 3286.91 0.33 0.05 0.12 -1 -1 0.33 0.013558 0.0122028 55 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 6.79 vpr 63.95 MiB -1 -1 0.12 20524 1 0.01 -1 -1 33356 -1 -1 18 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65484 30 32 262 227 1 134 80 17 17 289 -1 unnamed_device 25.4 MiB 0.19 509 63.9 MiB 0.04 0.00 2.86043 -79.3085 -2.86043 2.86043 0.83 0.000127007 0.000103053 0.00965087 0.00796987 46 1182 27 6.95648e+06 260562 828058. 2865.25 3.82 0.0799212 0.0681963 28066 200906 -1 940 18 662 954 58099 16182 0 0 58099 16182 954 723 0 0 3217 2759 0 0 5071 3538 0 0 954 799 0 0 22589 4375 0 0 25314 3988 0 0 954 0 0 292 350 236 2610 0 0 2.61412 2.61412 -89.1578 -2.61412 0 0 1.01997e+06 3529.29 0.38 0.03 0.13 -1 -1 0.38 0.00941525 0.00847552 57 29 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 13.11 vpr 63.88 MiB -1 -1 0.11 20608 1 0.01 -1 -1 32900 -1 -1 16 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65408 28 32 260 223 1 135 76 17 17 289 -1 unnamed_device 25.3 MiB 0.53 454 63.9 MiB 0.06 0.00 2.5594 -74.4713 -2.5594 2.5594 1.08 0.000126408 0.000103015 0.0137126 0.0119085 40 2146 48 6.95648e+06 231611 706193. 2443.58 8.66 0.137527 0.119019 26914 176310 -1 1584 23 1225 1845 170110 43917 0 0 170110 43917 1845 1617 0 0 6245 5527 0 0 11859 7924 0 0 1845 1656 0 0 72604 13513 0 0 75712 13680 0 0 1845 0 0 620 629 709 5231 0 0 3.53622 3.53622 -110.508 -3.53622 0 0 926341. 3205.33 0.61 0.15 0.23 -1 -1 0.61 0.045146 0.0338922 57 27 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 9.45 vpr 63.87 MiB -1 -1 0.11 20692 1 0.01 -1 -1 33112 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65400 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 25.3 MiB 0.40 547 63.9 MiB 0.04 0.00 2.79923 -88.9447 -2.79923 2.79923 1.02 0.00013126 0.000107429 0.00937881 0.00795699 46 1597 32 6.95648e+06 144757 828058. 2865.25 5.62 0.114839 0.100563 28066 200906 -1 1302 21 1109 1594 119561 28698 0 0 119561 28698 1594 1310 0 0 5175 4592 0 0 8346 5870 0 0 1594 1371 0 0 51508 7075 0 0 51344 8480 0 0 1594 0 0 485 446 395 3978 0 0 2.98687 2.98687 -108.645 -2.98687 0 0 1.01997e+06 3529.29 0.55 0.04 0.17 -1 -1 0.55 0.0107414 0.00958594 58 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 15.81 vpr 63.91 MiB -1 -1 0.10 20756 1 0.01 -1 -1 33192 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65440 31 32 271 231 1 143 82 17 17 289 -1 unnamed_device 25.5 MiB 0.44 621 63.9 MiB 0.06 0.00 2.57678 -82.6789 -2.57678 2.57678 1.08 0.000477576 0.000443891 0.0121931 0.010553 38 2139 28 6.95648e+06 275038 678818. 2348.85 11.60 0.16945 0.150243 26626 170182 -1 1583 22 1119 1721 129396 28654 0 0 129396 28654 1721 1357 0 0 5269 4630 0 0 8762 5790 0 0 1721 1448 0 0 52571 8095 0 0 59352 7334 0 0 1721 0 0 602 747 700 5504 0 0 3.09627 3.09627 -105.576 -3.09627 0 0 902133. 3121.57 0.68 0.06 0.14 -1 -1 0.68 0.0164483 0.0148347 61 26 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 8.42 vpr 64.14 MiB -1 -1 0.11 20516 1 0.01 -1 -1 32944 -1 -1 12 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65684 29 32 291 250 1 148 73 17 17 289 -1 unnamed_device 25.6 MiB 1.04 651 64.1 MiB 0.07 0.00 2.4721 -85.1338 -2.4721 2.4721 1.01 0.000137513 0.000112412 0.0164695 0.0140951 44 1767 22 6.95648e+06 173708 787024. 2723.27 4.19 0.100519 0.0849944 27778 195446 -1 1457 19 929 1268 93404 21292 0 0 93404 21292 1268 1049 0 0 4213 3730 0 0 7012 5001 0 0 1268 1107 0 0 41728 4890 0 0 37915 5515 0 0 1268 0 0 339 328 361 3176 0 0 2.46847 2.46847 -96.7649 -2.46847 0 0 997811. 3452.63 0.36 0.03 0.13 -1 -1 0.36 0.0108102 0.00966391 61 48 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 8.77 vpr 64.57 MiB -1 -1 0.12 20792 1 0.01 -1 -1 33036 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66120 32 32 367 282 1 193 85 17 17 289 -1 unnamed_device 26.2 MiB 0.99 809 64.6 MiB 0.08 0.00 3.52658 -102.24 -3.52658 3.52658 1.04 0.000174052 0.000143232 0.0161197 0.01359 46 2584 32 6.95648e+06 303989 828058. 2865.25 4.43 0.129722 0.11188 28066 200906 -1 1952 22 1577 2572 175846 39515 0 0 175846 39515 2572 1799 0 0 7993 6963 0 0 13451 8927 0 0 2572 1946 0 0 72867 9803 0 0 76391 10077 0 0 2572 0 0 995 1200 1510 10099 0 0 3.65581 3.65581 -123.14 -3.65581 0 0 1.01997e+06 3529.29 0.40 0.05 0.13 -1 -1 0.40 0.0158745 0.0142729 84 26 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 8.70 vpr 64.35 MiB -1 -1 0.11 20736 1 0.01 -1 -1 33012 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65892 32 32 391 311 1 184 88 17 17 289 -1 unnamed_device 26.0 MiB 1.35 750 64.3 MiB 0.08 0.00 2.75098 -96.7793 -2.75098 2.75098 0.99 0.000239543 0.000198825 0.0265279 0.0234207 44 2357 40 6.95648e+06 347416 787024. 2723.27 2.75 0.0966998 0.0836411 27778 195446 -1 1795 23 1787 2487 215742 46747 0 0 215742 46747 2487 1951 0 0 7608 6672 0 0 13847 8872 0 0 2487 2147 0 0 90111 13983 0 0 99202 13122 0 0 2487 0 0 700 819 849 6707 0 0 3.39997 3.39997 -121.005 -3.39997 0 0 997811. 3452.63 0.48 0.06 0.16 -1 -1 0.48 0.0168762 0.0150733 82 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 13.45 vpr 64.09 MiB -1 -1 0.11 20840 1 0.01 -1 -1 33408 -1 -1 11 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65624 31 32 279 237 1 153 74 17 17 289 -1 unnamed_device 25.6 MiB 1.78 804 64.1 MiB 0.09 0.00 3.28867 -109.886 -3.28867 3.28867 1.18 0.000193989 0.000160548 0.0193677 0.0175731 36 2296 24 6.95648e+06 159232 648988. 2245.63 8.12 0.144798 0.109405 26050 158493 -1 1997 20 1268 1760 168219 33846 0 0 168219 33846 1760 1580 0 0 5518 4749 0 0 9658 6216 0 0 1760 1602 0 0 72891 10209 0 0 76632 9490 0 0 1760 0 0 492 535 599 4784 0 0 3.69652 3.69652 -137.059 -3.69652 0 0 828058. 2865.25 0.34 0.05 0.12 -1 -1 0.34 0.0114381 0.0103328 63 30 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 14.09 vpr 64.32 MiB -1 -1 0.12 20800 1 0.02 -1 -1 33280 -1 -1 16 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65868 31 32 370 297 1 179 79 17 17 289 -1 unnamed_device 25.7 MiB 1.26 782 64.3 MiB 0.08 0.00 3.10309 -100.182 -3.10309 3.10309 1.15 0.000212894 0.000180819 0.0224734 0.0195166 56 2116 45 6.95648e+06 231611 973134. 3367.25 8.39 0.170048 0.149046 29794 239141 -1 1625 21 1454 2185 177367 40502 0 0 177367 40502 2185 1711 0 0 7255 6081 0 0 12655 8585 0 0 2185 2012 0 0 70228 11558 0 0 82859 10555 0 0 2185 0 0 731 724 748 6116 0 0 3.02467 3.02467 -114.707 -3.02467 0 0 1.19926e+06 4149.71 0.42 0.05 0.15 -1 -1 0.42 0.0143121 0.0128617 76 57 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 14.46 vpr 64.34 MiB -1 -1 0.12 20848 1 0.02 -1 -1 33264 -1 -1 16 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65880 31 32 377 302 1 225 79 17 17 289 -1 unnamed_device 25.9 MiB 1.91 1038 64.3 MiB 0.07 0.00 4.49406 -141.673 -4.49406 4.49406 0.94 0.000222915 0.000188333 0.0169237 0.0143039 46 3055 26 6.95648e+06 231611 828058. 2865.25 8.00 0.226285 0.206065 28066 200906 -1 2366 23 2128 3078 283733 58027 0 0 283733 58027 3078 2789 0 0 9928 8989 0 0 18196 11815 0 0 3078 2872 0 0 121558 16027 0 0 127895 15535 0 0 3078 0 0 950 850 789 7567 0 0 5.0959 5.0959 -172.092 -5.0959 0 0 1.01997e+06 3529.29 1.07 0.11 0.47 -1 -1 1.07 0.0285514 0.0266177 97 60 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 11.90 vpr 64.36 MiB -1 -1 0.12 20924 1 0.01 -1 -1 33096 -1 -1 16 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65904 31 32 383 305 1 204 79 17 17 289 -1 unnamed_device 25.9 MiB 2.33 864 64.4 MiB 0.07 0.00 3.71649 -118.457 -3.71649 3.71649 0.78 0.000202347 0.00016909 0.0183056 0.0153709 46 2754 25 6.95648e+06 231611 828058. 2865.25 6.34 0.207314 0.183218 28066 200906 -1 2011 25 1856 2707 223970 47801 0 0 223970 47801 2707 2212 0 0 8325 7400 0 0 14396 9538 0 0 2707 2338 0 0 100070 12881 0 0 95765 13432 0 0 2707 0 0 851 734 825 6867 0 0 4.29531 4.29531 -151.324 -4.29531 0 0 1.01997e+06 3529.29 0.57 0.09 0.22 -1 -1 0.57 0.0299148 0.0275316 88 60 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 9.23 vpr 64.39 MiB -1 -1 0.11 21168 1 0.01 -1 -1 33188 -1 -1 22 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65936 31 32 352 285 1 177 85 17 17 289 -1 unnamed_device 25.8 MiB 1.12 785 64.4 MiB 0.07 0.00 3.35282 -107.681 -3.35282 3.35282 0.80 0.000158119 0.000128611 0.0152839 0.0127876 44 2195 23 6.95648e+06 318465 787024. 2723.27 4.42 0.120875 0.10346 27778 195446 -1 1668 22 1308 1931 133965 30371 0 0 133965 30371 1931 1556 0 0 6353 5576 0 0 10354 7354 0 0 1931 1634 0 0 56249 7025 0 0 57147 7226 0 0 1931 0 0 623 599 616 5331 0 0 3.57616 3.57616 -123.238 -3.57616 0 0 997811. 3452.63 0.68 0.04 0.28 -1 -1 0.68 0.0212199 0.0198472 78 51 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 13.79 vpr 64.28 MiB -1 -1 0.11 20568 1 0.01 -1 -1 33192 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65824 32 32 291 242 1 173 78 17 17 289 -1 unnamed_device 25.7 MiB 1.15 790 64.3 MiB 0.05 0.00 3.40898 -95.5313 -3.40898 3.40898 0.81 0.000139002 0.000113782 0.0123945 0.0102812 44 2210 25 6.95648e+06 202660 787024. 2723.27 8.95 0.115979 0.0986079 27778 195446 -1 1818 22 1208 1693 150638 33019 0 0 150638 33019 1693 1474 0 0 5508 4813 0 0 9657 6487 0 0 1693 1559 0 0 65304 9463 0 0 66783 9223 0 0 1693 0 0 485 493 442 4159 0 0 3.64972 3.64972 -118.343 -3.64972 0 0 997811. 3452.63 0.74 0.10 0.33 -1 -1 0.74 0.0159276 0.0143619 71 24 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 7.18 vpr 65.04 MiB -1 -1 0.13 21200 1 0.01 -1 -1 33384 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66596 32 32 457 356 1 214 86 17 17 289 -1 unnamed_device 26.4 MiB 1.51 906 65.0 MiB 0.09 0.00 3.98456 -128.516 -3.98456 3.98456 0.89 0.000221386 0.000183941 0.0215231 0.0177509 44 3159 49 6.95648e+06 318465 787024. 2723.27 2.64 0.113981 0.096907 27778 195446 -1 2170 23 1935 2789 209447 47044 0 0 209447 47044 2789 2260 0 0 8720 7662 0 0 15168 10298 0 0 2789 2389 0 0 95077 10873 0 0 84904 13562 0 0 2789 0 0 854 1124 944 8323 0 0 4.59581 4.59581 -158.047 -4.59581 0 0 997811. 3452.63 0.44 0.05 0.14 -1 -1 0.44 0.0164583 0.014655 93 84 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 6.95 vpr 63.96 MiB -1 -1 0.09 20820 1 0.01 -1 -1 33348 -1 -1 15 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65492 31 32 261 225 1 137 78 17 17 289 -1 unnamed_device 25.3 MiB 0.77 472 64.0 MiB 0.04 0.00 2.86325 -81.3593 -2.86325 2.86325 0.76 0.000137772 0.000114769 0.0100372 0.00832414 42 1558 26 6.95648e+06 217135 744469. 2576.02 3.40 0.0837844 0.0703899 27202 183097 -1 1249 17 1002 1377 98573 25685 0 0 98573 25685 1377 1190 0 0 4810 4158 0 0 8269 5947 0 0 1377 1244 0 0 38438 6743 0 0 44302 6403 0 0 1377 0 0 375 381 331 3320 0 0 3.34677 3.34677 -103.288 -3.34677 0 0 949917. 3286.91 0.30 0.03 0.11 -1 -1 0.30 0.00837094 0.00752595 56 24 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 12.05 vpr 64.54 MiB -1 -1 0.12 21032 1 0.02 -1 -1 33216 -1 -1 15 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66088 31 32 337 267 1 199 78 17 17 289 -1 unnamed_device 26.0 MiB 1.18 931 64.5 MiB 0.07 0.00 3.94062 -123.085 -3.94062 3.94062 0.75 0.000155772 0.000127415 0.0153434 0.0128757 48 2558 27 6.95648e+06 217135 865456. 2994.66 8.14 0.138416 0.118948 28354 207349 -1 2079 25 1817 2586 253359 51768 0 0 253359 51768 2586 2300 0 0 8399 7409 0 0 16763 10177 0 0 2586 2388 0 0 113185 14509 0 0 109840 14985 0 0 2586 0 0 769 888 836 7021 0 0 4.51986 4.51986 -149.743 -4.51986 0 0 1.05005e+06 3633.38 0.36 0.06 0.13 -1 -1 0.36 0.0154175 0.0137848 84 30 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 6.00 vpr 64.34 MiB -1 -1 0.11 20924 1 0.01 -1 -1 33132 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65884 32 32 349 284 1 175 81 17 17 289 -1 unnamed_device 25.8 MiB 0.96 873 64.3 MiB 0.07 0.00 2.70675 -94.7044 -2.70675 2.70675 0.80 0.000159785 0.00013134 0.0176401 0.0148546 44 2498 23 6.95648e+06 246087 787024. 2723.27 2.05 0.073726 0.063482 27778 195446 -1 1852 22 1594 2605 199669 42719 0 0 199669 42719 2605 1997 0 0 8376 7582 0 0 15230 10059 0 0 2605 2216 0 0 85407 10667 0 0 85446 10198 0 0 2605 0 0 1011 1062 1100 8450 0 0 3.15412 3.15412 -114.237 -3.15412 0 0 997811. 3452.63 0.40 0.05 0.22 -1 -1 0.40 0.0129063 0.0115051 73 50 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 6.00 vpr 64.20 MiB -1 -1 0.11 20664 1 0.01 -1 -1 33096 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65740 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 25.6 MiB 0.97 702 64.2 MiB 0.04 0.00 3.85208 -99.8778 -3.85208 3.85208 0.99 0.000143262 0.000115657 0.00978829 0.00820063 46 2189 22 6.95648e+06 231611 828058. 2865.25 1.71 0.0529791 0.0460723 28066 200906 -1 1593 23 1125 1949 172385 40630 0 0 172385 40630 1949 1490 0 0 6188 5463 0 0 11850 7353 0 0 1949 1629 0 0 76020 11902 0 0 74429 12793 0 0 1949 0 0 824 1178 1002 7495 0 0 3.96632 3.96632 -124.931 -3.96632 0 0 1.01997e+06 3529.29 0.53 0.08 0.13 -1 -1 0.53 0.0255516 0.0236151 68 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 10.38 vpr 64.42 MiB -1 -1 0.12 20836 1 0.01 -1 -1 33264 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65964 32 32 353 287 1 185 78 17 17 289 -1 unnamed_device 25.8 MiB 2.76 810 64.4 MiB 0.06 0.00 3.53145 -110.258 -3.53145 3.53145 1.16 0.000185522 0.000151786 0.0138731 0.0116156 46 2354 24 6.95648e+06 202660 828058. 2865.25 4.25 0.0950124 0.0814485 28066 200906 -1 1809 20 1314 1785 120180 27921 0 0 120180 27921 1785 1527 0 0 5840 5147 0 0 9903 6682 0 0 1785 1585 0 0 50025 6398 0 0 50842 6582 0 0 1785 0 0 471 473 423 4310 0 0 3.78266 3.78266 -129.657 -3.78266 0 0 1.01997e+06 3529.29 0.36 0.04 0.13 -1 -1 0.36 0.0132179 0.011867 78 52 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 7.55 vpr 64.30 MiB -1 -1 0.12 20728 1 0.01 -1 -1 33108 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65840 32 32 361 291 1 179 81 17 17 289 -1 unnamed_device 25.8 MiB 1.84 761 64.3 MiB 0.05 0.00 2.6818 -92.9296 -2.6818 2.6818 0.91 0.000168816 0.000135799 0.0134665 0.010991 38 2744 39 6.95648e+06 246087 678818. 2348.85 2.21 0.07293 0.0621546 26626 170182 -1 2082 22 1565 2353 215930 48112 0 0 215930 48112 2353 1947 0 0 7389 6440 0 0 12471 8272 0 0 2353 2059 0 0 98075 14176 0 0 93289 15218 0 0 2353 0 0 788 1123 1104 7900 0 0 3.30467 3.30467 -122.831 -3.30467 0 0 902133. 3121.57 0.28 0.05 0.10 -1 -1 0.28 0.0131294 0.0117405 75 52 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 9.51 vpr 64.59 MiB -1 -1 0.13 21096 1 0.01 -1 -1 33132 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66140 32 32 382 305 1 184 90 17 17 289 -1 unnamed_device 26.2 MiB 1.22 912 64.6 MiB 0.13 0.00 3.54708 -116.83 -3.54708 3.54708 1.55 0.000173836 0.000142445 0.0502244 0.0240544 46 2387 49 6.95648e+06 376368 828058. 2865.25 3.46 0.147932 0.110888 28066 200906 -1 1908 21 1373 2000 149504 31666 0 0 149504 31666 2000 1450 0 0 6419 5675 0 0 10801 7191 0 0 2000 1657 0 0 63577 8206 0 0 64707 7487 0 0 2000 0 0 627 630 597 5422 0 0 3.49292 3.49292 -129.642 -3.49292 0 0 1.01997e+06 3529.29 0.37 0.05 0.15 -1 -1 0.37 0.0131221 0.0117259 83 59 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 9.11 vpr 64.24 MiB -1 -1 0.11 20544 1 0.01 -1 -1 33204 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65784 32 32 306 248 1 164 86 17 17 289 -1 unnamed_device 25.6 MiB 1.03 688 64.2 MiB 0.09 0.00 3.63883 -96.8071 -3.63883 3.63883 1.19 0.000144018 0.000117513 0.0124019 0.0103826 46 2277 34 6.95648e+06 318465 828058. 2865.25 3.97 0.122542 0.107252 28066 200906 -1 1681 21 1278 2023 138529 33372 0 0 138529 33372 2023 1589 0 0 6305 5434 0 0 10516 6981 0 0 2023 1730 0 0 56158 8905 0 0 61504 8733 0 0 2023 0 0 745 903 833 6666 0 0 3.80966 3.80966 -125.783 -3.80966 0 0 1.01997e+06 3529.29 0.52 0.13 0.13 -1 -1 0.52 0.0124336 0.0111488 69 21 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 11.18 vpr 64.11 MiB -1 -1 0.11 20944 1 0.02 -1 -1 32984 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65652 32 32 319 257 1 191 77 17 17 289 -1 unnamed_device 25.7 MiB 2.66 788 64.1 MiB 0.06 0.00 3.53127 -106.029 -3.53127 3.53127 1.42 0.000150895 0.000123056 0.014658 0.0127479 40 2745 41 6.95648e+06 188184 706193. 2443.58 3.83 0.0858996 0.0753663 26914 176310 -1 2133 20 1728 2302 201080 47324 0 0 201080 47324 2302 2050 0 0 7990 6950 0 0 13767 9601 0 0 2302 2102 0 0 88378 13192 0 0 86341 13429 0 0 2302 0 0 574 673 596 5311 0 0 4.60486 4.60486 -142.641 -4.60486 0 0 926341. 3205.33 0.72 0.14 0.15 -1 -1 0.72 0.0373893 0.0357242 79 26 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 22.98 vpr 64.71 MiB -1 -1 0.11 20872 1 0.01 -1 -1 33172 -1 -1 15 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66260 31 32 373 299 1 194 78 17 17 289 -1 unnamed_device 26.2 MiB 1.29 861 64.7 MiB 0.06 0.00 3.78527 -117.676 -3.78527 3.78527 0.81 0.000184751 0.000152101 0.01439 0.0122194 40 3186 48 6.95648e+06 217135 706193. 2443.58 18.74 0.178597 0.155885 26914 176310 -1 2635 24 2007 3175 366874 81134 0 0 366874 81134 3175 2880 0 0 10132 8970 0 0 20337 12202 0 0 3175 3016 0 0 166141 26935 0 0 163914 27131 0 0 3175 0 0 1168 1394 1619 10314 0 0 4.56272 4.56272 -151.701 -4.56272 0 0 926341. 3205.33 0.39 0.10 0.12 -1 -1 0.39 0.019899 0.0178283 85 58 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 10.11 vpr 64.52 MiB -1 -1 0.11 20848 1 0.01 -1 -1 33220 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66072 32 32 387 315 1 182 77 17 17 289 -1 unnamed_device 26.2 MiB 2.12 833 64.5 MiB 0.06 0.00 3.495 -109.002 -3.495 3.495 0.76 0.000169028 0.00013747 0.0149936 0.0125507 46 2855 31 6.95648e+06 188184 828058. 2865.25 5.19 0.138777 0.120195 28066 200906 -1 2192 20 1464 2432 185008 43114 0 0 185008 43114 2432 2040 0 0 7632 6805 0 0 12854 8598 0 0 2432 2216 0 0 78349 11496 0 0 81309 11959 0 0 2432 0 0 968 858 760 7028 0 0 4.43452 4.43452 -143.608 -4.43452 0 0 1.01997e+06 3529.29 0.41 0.05 0.18 -1 -1 0.41 0.0138341 0.012363 76 74 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 4.35 vpr 63.84 MiB -1 -1 0.10 20724 1 0.01 -1 -1 33216 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65372 32 32 251 219 1 136 82 17 17 289 -1 unnamed_device 25.3 MiB 0.22 615 63.8 MiB 0.05 0.00 2.50468 -77.473 -2.50468 2.50468 0.78 0.000123839 9.9955e-05 0.0104075 0.0085918 40 1793 23 6.95648e+06 260562 706193. 2443.58 1.55 0.0514253 0.0440671 26914 176310 -1 1591 21 1094 1668 157420 35511 0 0 157420 35511 1668 1357 0 0 5633 4750 0 0 10748 6772 0 0 1668 1471 0 0 68665 10558 0 0 69038 10603 0 0 1668 0 0 574 689 676 5147 0 0 3.28892 3.28892 -104.92 -3.28892 0 0 926341. 3205.33 0.29 0.04 0.11 -1 -1 0.29 0.0091986 0.00820545 57 20 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 5.72 vpr 64.37 MiB -1 -1 0.10 20720 1 0.01 -1 -1 33172 -1 -1 12 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65912 32 32 341 285 1 181 76 17 17 289 -1 unnamed_device 25.9 MiB 1.31 697 64.4 MiB 0.05 0.00 3.24955 -114.323 -3.24955 3.24955 0.80 0.000156362 0.000127135 0.010882 0.00910113 42 2876 36 6.95648e+06 173708 744469. 2576.02 1.66 0.0614484 0.0527454 27202 183097 -1 2037 21 1632 2293 213885 47395 0 0 213885 47395 2293 2074 0 0 7433 6766 0 0 13879 9065 0 0 2293 2098 0 0 90872 14032 0 0 97115 13360 0 0 2293 0 0 661 664 691 5631 0 0 3.94251 3.94251 -144.991 -3.94251 0 0 949917. 3286.91 0.35 0.05 0.12 -1 -1 0.35 0.0118925 0.0103925 76 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 7.92 vpr 64.30 MiB -1 -1 0.11 20784 1 0.01 -1 -1 33344 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65848 32 32 387 293 1 225 80 17 17 289 -1 unnamed_device 25.9 MiB 1.72 1301 64.3 MiB 0.07 0.00 4.02972 -131.543 -4.02972 4.02972 0.78 0.000192122 0.000160709 0.0154104 0.0130074 44 3590 39 6.95648e+06 231611 787024. 2723.27 3.08 0.0778468 0.0669411 27778 195446 -1 2796 24 2398 3679 417240 87731 0 0 417240 87731 3679 2981 0 0 11359 10141 0 0 22822 13761 0 0 3679 3228 0 0 191252 28388 0 0 184449 29232 0 0 3679 0 0 1281 1369 1453 10858 0 0 4.72146 4.72146 -163.055 -4.72146 0 0 997811. 3452.63 0.40 0.16 0.12 -1 -1 0.40 0.0380362 0.0347773 97 28 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 6.27 vpr 64.16 MiB -1 -1 0.11 20812 1 0.01 -1 -1 33212 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65704 32 32 340 270 1 175 81 17 17 289 -1 unnamed_device 25.7 MiB 0.80 697 64.2 MiB 0.07 0.00 3.78211 -117.569 -3.78211 3.78211 0.79 0.000162768 0.000133775 0.0187268 0.0160195 40 2312 46 6.95648e+06 246087 706193. 2443.58 2.74 0.0846341 0.0731236 26914 176310 -1 1918 26 1761 2344 328880 107100 0 0 328880 107100 2344 2052 0 0 8047 6940 0 0 15423 10132 0 0 2344 2111 0 0 149336 43383 0 0 151386 42482 0 0 2344 0 0 583 618 822 6005 0 0 3.60616 3.60616 -138.533 -3.60616 0 0 926341. 3205.33 0.30 0.08 0.11 -1 -1 0.30 0.015414 0.0137648 74 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 6.72 vpr 64.30 MiB -1 -1 0.10 20884 1 0.01 -1 -1 33112 -1 -1 20 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65840 30 32 278 235 1 143 82 17 17 289 -1 unnamed_device 25.8 MiB 0.47 641 64.3 MiB 0.05 0.00 2.44995 -80.8493 -2.44995 2.44995 0.81 0.00089417 0.000865007 0.0122408 0.0103081 46 1663 29 6.95648e+06 289514 828058. 2865.25 3.53 0.0822304 0.0704031 28066 200906 -1 1351 23 1165 1726 122168 27967 0 0 122168 27967 1726 1340 0 0 5577 4842 0 0 9319 6469 0 0 1726 1494 0 0 50343 6853 0 0 53477 6969 0 0 1726 0 0 561 701 581 5179 0 0 2.84232 2.84232 -99.9216 -2.84232 0 0 1.01997e+06 3529.29 0.32 0.03 0.13 -1 -1 0.32 0.00990663 0.00877004 62 29 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 8.22 vpr 64.79 MiB -1 -1 0.13 21112 1 0.01 -1 -1 33208 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66348 32 32 431 332 1 224 79 17 17 289 -1 unnamed_device 26.3 MiB 2.13 1093 64.8 MiB 0.08 0.00 4.99359 -148.63 -4.99359 4.99359 1.12 0.000199889 0.000165354 0.0207476 0.0175439 46 2866 27 6.95648e+06 217135 828058. 2865.25 2.70 0.106121 0.0865357 28066 200906 -1 2376 22 1980 2932 229342 47140 0 0 229342 47140 2932 2272 0 0 9025 7905 0 0 16408 10294 0 0 2932 2403 0 0 99398 12107 0 0 98647 12159 0 0 2932 0 0 952 1133 1077 8532 0 0 5.05225 5.05225 -167.912 -5.05225 0 0 1.01997e+06 3529.29 0.34 0.06 0.14 -1 -1 0.34 0.0174423 0.0157578 95 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 8.90 vpr 64.20 MiB -1 -1 0.10 20992 1 0.01 -1 -1 33188 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65740 32 32 336 268 1 169 87 17 17 289 -1 unnamed_device 25.7 MiB 1.09 728 64.2 MiB 0.12 0.00 3.8241 -107.1 -3.8241 3.8241 0.83 0.000155213 0.000126589 0.0314201 0.0295833 40 2055 26 6.95648e+06 332941 706193. 2443.58 4.90 0.154413 0.134315 26914 176310 -1 1763 24 1419 2127 202264 42986 0 0 202264 42986 2127 1696 0 0 7160 6181 0 0 13154 8380 0 0 2127 1820 0 0 88544 12179 0 0 89152 12730 0 0 2127 0 0 708 895 834 6982 0 0 3.91132 3.91132 -132.251 -3.91132 0 0 926341. 3205.33 0.39 0.09 0.12 -1 -1 0.39 0.0279198 0.0263174 74 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 6.97 vpr 63.70 MiB -1 -1 0.09 20452 1 0.02 -1 -1 33008 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65224 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 25.2 MiB 0.23 491 63.7 MiB 0.04 0.00 2.5344 -75.6616 -2.5344 2.5344 0.74 0.000129851 0.000108114 0.00922827 0.00767998 48 1340 50 6.95648e+06 188184 865456. 2994.66 4.12 0.0884061 0.0761242 28354 207349 -1 1052 32 1095 1654 244292 123273 0 0 244292 123273 1654 1477 0 0 5889 5044 0 0 11894 7574 0 0 1654 1535 0 0 116565 54478 0 0 106636 53165 0 0 1654 0 0 559 535 703 4951 0 0 2.68602 2.68602 -91.0542 -2.68602 0 0 1.05005e+06 3633.38 0.38 0.06 0.13 -1 -1 0.38 0.0116829 0.0103563 51 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 5.72 vpr 64.35 MiB -1 -1 0.11 21012 1 0.01 -1 -1 33284 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65896 32 32 349 273 1 184 88 17 17 289 -1 unnamed_device 25.8 MiB 0.47 1009 64.4 MiB 0.06 0.00 4.09207 -110.099 -4.09207 4.09207 0.77 0.000175089 0.00014579 0.0115684 0.00970436 38 2917 38 6.95648e+06 347416 678818. 2348.85 2.55 0.0693713 0.0597343 26626 170182 -1 2288 24 1654 3099 272495 52945 0 0 272495 52945 3099 2121 0 0 9018 7850 0 0 16884 10085 0 0 3099 2338 0 0 119923 15577 0 0 120472 14974 0 0 3099 0 0 1445 2653 2575 16032 0 0 4.61641 4.61641 -139.961 -4.61641 0 0 902133. 3121.57 0.36 0.08 0.11 -1 -1 0.36 0.01868 0.0167506 80 26 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 5.15 vpr 63.93 MiB -1 -1 0.10 20436 1 0.01 -1 -1 33256 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65468 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 25.3 MiB 0.97 494 63.9 MiB 0.04 0.00 2.6034 -82.9158 -2.6034 2.6034 0.80 0.000123588 0.000100613 0.0102614 0.00862488 42 1764 37 6.95648e+06 202660 744469. 2576.02 1.49 0.0500357 0.0431031 27202 183097 -1 1248 23 1219 1688 124065 30558 0 0 124065 30558 1688 1438 0 0 5684 4772 0 0 9820 6850 0 0 1688 1511 0 0 52342 7419 0 0 52843 8568 0 0 1688 0 0 469 523 542 4300 0 0 3.22157 3.22157 -104.452 -3.22157 0 0 949917. 3286.91 0.32 0.04 0.12 -1 -1 0.32 0.0102851 0.0091272 57 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 6.66 vpr 64.12 MiB -1 -1 0.11 20524 1 0.01 -1 -1 33388 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65656 30 32 278 235 1 144 79 17 17 289 -1 unnamed_device 25.7 MiB 0.81 563 64.1 MiB 0.05 0.00 2.93563 -88.1206 -2.93563 2.93563 0.91 0.000157225 0.000131397 0.0134951 0.0118361 38 1910 37 6.95648e+06 246087 678818. 2348.85 3.06 0.0663264 0.0570312 26626 170182 -1 1494 20 1052 1573 127554 27964 0 0 127554 27964 1573 1286 0 0 4955 4347 0 0 8554 5589 0 0 1573 1354 0 0 57137 7154 0 0 53762 8234 0 0 1573 0 0 521 564 484 4822 0 0 2.85027 2.85027 -104.019 -2.85027 0 0 902133. 3121.57 0.31 0.04 0.11 -1 -1 0.31 0.0109348 0.00978127 60 29 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 19.95 vpr 64.68 MiB -1 -1 0.12 20876 1 0.02 -1 -1 33224 -1 -1 16 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66232 29 32 355 287 1 186 77 17 17 289 -1 unnamed_device 26.1 MiB 1.36 837 64.7 MiB 0.06 0.00 3.16908 -99.2771 -3.16908 3.16908 0.75 0.000179186 0.000150972 0.0155466 0.0130437 40 2676 34 6.95648e+06 231611 706193. 2443.58 15.96 0.168222 0.147943 26914 176310 -1 2443 24 2092 3090 333908 69993 0 0 333908 69993 3090 2627 0 0 10246 9003 0 0 19802 12410 0 0 3090 2828 0 0 147304 22164 0 0 150376 20961 0 0 3090 0 0 998 1083 1044 8211 0 0 3.79376 3.79376 -133.678 -3.79376 0 0 926341. 3205.33 0.31 0.07 0.11 -1 -1 0.31 0.0144102 0.0128452 80 56 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 8.49 vpr 64.24 MiB -1 -1 0.11 20700 1 0.01 -1 -1 33092 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65780 32 32 358 289 1 173 80 17 17 289 -1 unnamed_device 25.8 MiB 1.20 606 64.2 MiB 0.07 0.00 3.91028 -109.378 -3.91028 3.91028 0.95 0.000182232 0.000149933 0.024368 0.0216 46 2142 38 6.95648e+06 231611 828058. 2865.25 4.33 0.16049 0.138621 28066 200906 -1 1576 37 2113 3041 187535 48170 0 0 187535 48170 3041 2594 0 0 8864 7819 0 0 16239 10017 0 0 3041 2762 0 0 73770 12656 0 0 82580 12322 0 0 3041 0 0 928 1131 984 8272 0 0 4.23706 4.23706 -137.95 -4.23706 0 0 1.01997e+06 3529.29 0.33 0.06 0.14 -1 -1 0.33 0.0187481 0.0164544 72 51 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 13.54 vpr 64.30 MiB -1 -1 0.11 20864 1 0.00 -1 -1 33144 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65844 32 32 353 285 1 178 78 17 17 289 -1 unnamed_device 25.8 MiB 1.74 726 64.3 MiB 0.12 0.01 3.66779 -114.583 -3.66779 3.66779 0.92 0.00193737 0.00189111 0.0225007 0.0194888 46 2389 23 6.95648e+06 202660 828058. 2865.25 8.82 0.17235 0.131538 28066 200906 -1 1847 22 1283 1946 135919 31383 0 0 135919 31383 1946 1653 0 0 6210 5430 0 0 10409 6975 0 0 1946 1731 0 0 53894 8268 0 0 61514 7326 0 0 1946 0 0 663 704 773 5756 0 0 4.32986 4.32986 -137.188 -4.32986 0 0 1.01997e+06 3529.29 0.33 0.04 0.15 -1 -1 0.33 0.0144937 0.0130881 73 48 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 9.13 vpr 63.91 MiB -1 -1 0.09 20608 1 0.00 -1 -1 33152 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65448 32 32 276 237 1 155 74 17 17 289 -1 unnamed_device 25.5 MiB 2.71 691 63.9 MiB 0.05 0.00 3.30448 -104.445 -3.30448 3.30448 0.76 0.000141902 0.000117721 0.0105041 0.00877777 46 1866 27 6.95648e+06 144757 828058. 2865.25 3.81 0.08725 0.0752011 28066 200906 -1 1436 21 1130 1469 105546 24266 0 0 105546 24266 1469 1332 0 0 4829 4247 0 0 7710 5434 0 0 1469 1380 0 0 40508 6551 0 0 49561 5322 0 0 1469 0 0 339 282 340 3142 0 0 3.73151 3.73151 -124.46 -3.73151 0 0 1.01997e+06 3529.29 0.41 0.03 0.13 -1 -1 0.41 0.00958649 0.00859111 61 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 13.15 vpr 64.07 MiB -1 -1 0.11 20872 1 0.01 -1 -1 33364 -1 -1 12 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65604 31 32 319 272 1 165 75 17 17 289 -1 unnamed_device 25.5 MiB 1.79 628 64.1 MiB 0.05 0.00 3.15532 -100.374 -3.15532 3.15532 0.75 0.000146511 0.000119377 0.0129832 0.010831 42 2381 41 6.95648e+06 173708 744469. 2576.02 8.83 0.113941 0.0957083 27202 183097 -1 1645 22 1347 1965 164385 36817 0 0 164385 36817 1965 1665 0 0 6482 5729 0 0 11409 7576 0 0 1965 1684 0 0 67430 10668 0 0 75134 9495 0 0 1965 0 0 618 635 559 5013 0 0 3.32487 3.32487 -123.573 -3.32487 0 0 949917. 3286.91 0.30 0.05 0.11 -1 -1 0.30 0.01162 0.0102194 68 60 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 6.58 vpr 64.19 MiB -1 -1 0.11 20600 1 0.02 -1 -1 33372 -1 -1 22 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65728 30 32 329 273 1 160 84 17 17 289 -1 unnamed_device 25.7 MiB 0.70 618 64.2 MiB 0.05 0.00 2.4971 -76.2077 -2.4971 2.4971 0.76 0.000162147 0.000134814 0.0114685 0.00936078 46 1762 22 6.95648e+06 318465 828058. 2865.25 3.10 0.0837286 0.0718042 28066 200906 -1 1381 20 1038 1595 104720 25430 0 0 104720 25430 1595 1279 0 0 5184 4334 0 0 8416 5828 0 0 1595 1373 0 0 42612 5962 0 0 45318 6654 0 0 1595 0 0 557 763 747 5496 0 0 3.07917 3.07917 -97.767 -3.07917 0 0 1.01997e+06 3529.29 0.32 0.03 0.13 -1 -1 0.32 0.0112178 0.0100102 71 52 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 6.19 vpr 64.13 MiB -1 -1 0.11 20752 1 0.01 -1 -1 33360 -1 -1 28 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65672 28 32 277 229 1 155 88 17 17 289 -1 unnamed_device 25.6 MiB 0.51 783 64.1 MiB 0.05 0.00 3.09514 -86.8271 -3.09514 3.09514 0.88 0.000187602 0.000156098 0.0107147 0.00906456 36 2233 40 6.95648e+06 405319 648988. 2245.63 3.01 0.0653894 0.0561525 26050 158493 -1 1832 22 1267 1989 181228 37189 0 0 181228 37189 1989 1471 0 0 6505 5589 0 0 11263 7567 0 0 1989 1592 0 0 81455 10322 0 0 78027 10648 0 0 1989 0 0 722 922 1021 7282 0 0 3.92206 3.92206 -113.225 -3.92206 0 0 828058. 2865.25 0.26 0.04 0.10 -1 -1 0.26 0.0102655 0.00913911 72 20 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 8.69 vpr 64.25 MiB -1 -1 0.11 20944 1 0.01 -1 -1 33176 -1 -1 12 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65796 30 32 317 269 1 149 74 17 17 289 -1 unnamed_device 25.7 MiB 0.91 579 64.3 MiB 0.06 0.00 2.79633 -89.7531 -2.79633 2.79633 1.02 0.00015216 0.000124903 0.0159159 0.0132976 38 1890 39 6.95648e+06 173708 678818. 2348.85 4.93 0.13114 0.112355 26626 170182 -1 1402 21 1307 1828 128284 30001 0 0 128284 30001 1828 1574 0 0 5710 5032 0 0 9746 6458 0 0 1828 1610 0 0 58366 6981 0 0 50806 8346 0 0 1828 0 0 521 349 543 4511 0 0 3.16502 3.16502 -114.561 -3.16502 0 0 902133. 3121.57 0.28 0.03 0.10 -1 -1 0.28 0.0104586 0.00927468 60 58 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 8.76 vpr 64.27 MiB -1 -1 0.12 20856 1 0.01 -1 -1 33168 -1 -1 11 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65812 32 32 335 282 1 178 75 17 17 289 -1 unnamed_device 25.9 MiB 1.72 654 64.3 MiB 0.05 0.00 2.80395 -97.9853 -2.80395 2.80395 1.25 0.000148238 0.000120537 0.0147132 0.0122567 50 1900 25 6.95648e+06 159232 902133. 3121.57 3.33 0.0857563 0.0751085 28642 213929 -1 1591 21 1391 2030 142589 35097 0 0 142589 35097 2030 1621 0 0 6490 5812 0 0 11621 7564 0 0 2030 1636 0 0 61377 8139 0 0 59041 10325 0 0 2030 0 0 639 656 394 4997 0 0 3.51016 3.51016 -129.728 -3.51016 0 0 1.08113e+06 3740.92 0.49 0.04 0.18 -1 -1 0.49 0.0135181 0.0122304 72 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 6.78 vpr 64.41 MiB -1 -1 0.11 20484 1 0.01 -1 -1 33208 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65960 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 25.8 MiB 0.60 714 64.4 MiB 0.05 0.00 3.89128 -102.001 -3.89128 3.89128 1.21 0.000156809 0.000129917 0.0117844 0.00984287 46 2151 34 6.95648e+06 347416 828058. 2865.25 1.98 0.0598804 0.0518623 28066 200906 -1 1615 21 1158 1893 165155 45241 0 0 165155 45241 1893 1398 0 0 5941 5228 0 0 10139 6665 0 0 1893 1553 0 0 74385 14959 0 0 70904 15438 0 0 1893 0 0 735 648 792 6132 0 0 3.78902 3.78902 -120.509 -3.78902 0 0 1.01997e+06 3529.29 0.45 0.05 0.29 -1 -1 0.45 0.0135171 0.0122965 74 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 9.66 vpr 64.30 MiB -1 -1 0.11 20848 1 0.01 -1 -1 33004 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65848 32 32 350 275 1 196 77 17 17 289 -1 unnamed_device 25.7 MiB 1.61 858 64.3 MiB 0.06 0.00 3.94537 -126.254 -3.94537 3.94537 0.90 0.000166062 0.000136688 0.016111 0.0136108 50 2943 45 6.95648e+06 188184 902133. 3121.57 5.03 0.136429 0.117394 28642 213929 -1 2239 21 1752 2558 236554 51736 0 0 236554 51736 2558 2217 0 0 8337 7270 0 0 14974 9836 0 0 2558 2298 0 0 102819 14837 0 0 105308 15278 0 0 2558 0 0 806 643 799 6418 0 0 4.34566 4.34566 -151.325 -4.34566 0 0 1.08113e+06 3740.92 0.41 0.06 0.14 -1 -1 0.41 0.0147114 0.0132902 82 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 22.01 vpr 64.73 MiB -1 -1 0.13 20844 1 0.01 -1 -1 33128 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66284 32 32 385 308 1 179 88 17 17 289 -1 unnamed_device 26.4 MiB 2.24 969 64.7 MiB 0.11 0.00 3.62123 -113.1 -3.62123 3.62123 1.53 0.000180644 0.000149592 0.0183834 0.0152859 40 2683 34 6.95648e+06 347416 706193. 2443.58 14.44 0.173674 0.150293 26914 176310 -1 2313 28 1713 2999 505336 158471 0 0 505336 158471 2999 2325 0 0 9997 8927 0 0 20887 12543 0 0 2999 2482 0 0 240768 67175 0 0 227686 65019 0 0 2999 0 0 1286 1519 1669 11439 0 0 4.18746 4.18746 -147.765 -4.18746 0 0 926341. 3205.33 0.30 0.11 0.11 -1 -1 0.30 0.016952 0.0149718 80 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 9.68 vpr 64.36 MiB -1 -1 0.12 20708 1 0.01 -1 -1 33224 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65908 32 32 387 309 1 182 87 17 17 289 -1 unnamed_device 26.0 MiB 0.87 926 64.4 MiB 0.12 0.00 3.42782 -114.006 -3.42782 3.42782 1.22 0.000209502 0.000176054 0.0233322 0.021028 44 3195 36 6.95648e+06 332941 787024. 2723.27 4.57 0.111746 0.0992619 27778 195446 -1 2297 24 1766 2974 258405 52092 0 0 258405 52092 2974 2437 0 0 8949 8000 0 0 16578 10247 0 0 2974 2603 0 0 113626 14821 0 0 113304 13984 0 0 2974 0 0 1208 1244 1383 10299 0 0 3.80021 3.80021 -138.616 -3.80021 0 0 997811. 3452.63 0.64 0.10 0.22 -1 -1 0.64 0.0254811 0.0235939 80 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 7.43 vpr 64.16 MiB -1 -1 0.11 20476 1 0.01 -1 -1 33176 -1 -1 12 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65704 30 32 272 232 1 142 74 17 17 289 -1 unnamed_device 25.5 MiB 0.72 546 64.2 MiB 0.09 0.00 3.22376 -89.0525 -3.22376 3.22376 1.05 0.000190146 0.000162079 0.014662 0.0128857 38 1933 34 6.95648e+06 173708 678818. 2348.85 2.78 0.0977077 0.0885186 26626 170182 -1 1457 21 1179 1827 145183 31619 0 0 145183 31619 1827 1545 0 0 5484 4718 0 0 9213 5984 0 0 1827 1588 0 0 60544 9346 0 0 66288 8438 0 0 1827 0 0 648 745 727 5391 0 0 3.21192 3.21192 -109.712 -3.21192 0 0 902133. 3121.57 0.65 0.05 0.21 -1 -1 0.65 0.0183337 0.0160019 57 29 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 7.87 vpr 64.45 MiB -1 -1 0.13 20840 1 0.02 -1 -1 33452 -1 -1 14 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65992 30 32 375 299 1 179 76 17 17 289 -1 unnamed_device 25.8 MiB 1.03 630 64.4 MiB 0.06 0.00 3.71763 -111.147 -3.71763 3.71763 0.83 0.000233112 0.000194733 0.0194264 0.0169254 48 2057 25 6.95648e+06 202660 865456. 2994.66 3.10 0.111305 0.0975219 28354 207349 -1 1661 22 1790 2506 187621 45523 0 0 187621 45523 2506 2208 0 0 8105 7017 0 0 14347 9364 0 0 2506 2310 0 0 74325 12388 0 0 85832 12236 0 0 2506 0 0 716 832 775 6533 0 0 3.99132 3.99132 -134.723 -3.99132 0 0 1.05005e+06 3633.38 0.60 0.05 0.24 -1 -1 0.60 0.0142511 0.01273 76 58 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 10.92 vpr 64.30 MiB -1 -1 0.12 20936 1 0.01 -1 -1 33252 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65840 32 32 340 270 1 193 78 17 17 289 -1 unnamed_device 25.7 MiB 2.21 825 64.3 MiB 0.06 0.00 4.0079 -119.402 -4.0079 4.0079 0.87 0.000183831 0.000153534 0.0166413 0.0141862 54 2190 21 6.95648e+06 202660 949917. 3286.91 4.69 0.138595 0.123274 29506 232905 -1 1800 20 1574 2469 195900 44140 0 0 195900 44140 2469 2022 0 0 7813 6844 0 0 13962 9006 0 0 2469 2129 0 0 77490 12766 0 0 91697 11373 0 0 2469 0 0 895 1094 1155 9013 0 0 4.15082 4.15082 -137.276 -4.15082 0 0 1.17392e+06 4061.99 0.61 0.05 0.17 -1 -1 0.61 0.0130974 0.0118341 80 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 10.00 vpr 64.37 MiB -1 -1 0.11 20876 1 0.01 -1 -1 33252 -1 -1 14 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65912 31 32 340 275 1 187 77 17 17 289 -1 unnamed_device 25.9 MiB 3.09 876 64.4 MiB 0.11 0.00 4.77836 -130.82 -4.77836 4.77836 0.99 0.000195754 0.000162624 0.0200611 0.0179475 44 2541 31 6.95648e+06 202660 787024. 2723.27 3.11 0.0852722 0.0758062 27778 195446 -1 2006 20 1224 1812 155979 32911 0 0 155979 32911 1812 1414 0 0 5892 5170 0 0 10396 6868 0 0 1812 1520 0 0 66938 9204 0 0 69129 8735 0 0 1812 0 0 588 509 564 4967 0 0 4.38386 4.38386 -143.204 -4.38386 0 0 997811. 3452.63 0.34 0.04 0.13 -1 -1 0.34 0.0133583 0.0121365 79 43 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 11.53 vpr 64.54 MiB -1 -1 0.11 20900 1 0.01 -1 -1 33308 -1 -1 21 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66084 30 32 377 310 1 170 83 17 17 289 -1 unnamed_device 26.0 MiB 1.80 833 64.5 MiB 0.18 0.00 3.74802 -117.262 -3.74802 3.74802 1.44 0.000189691 0.000158035 0.0164517 0.013741 38 2732 34 6.95648e+06 303989 678818. 2348.85 5.38 0.0907645 0.0779398 26626 170182 -1 2019 18 1203 1853 158995 34049 0 0 158995 34049 1853 1513 0 0 6071 5299 0 0 9661 6784 0 0 1853 1676 0 0 68660 9436 0 0 70897 9341 0 0 1853 0 0 650 776 759 5846 0 0 4.31231 4.31231 -148.608 -4.31231 0 0 902133. 3121.57 0.79 0.08 0.15 -1 -1 0.79 0.0289577 0.0277208 74 78 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 14.18 vpr 64.59 MiB -1 -1 0.11 20952 1 0.01 -1 -1 33328 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66140 32 32 365 294 1 177 77 17 17 289 -1 unnamed_device 26.0 MiB 1.19 725 64.6 MiB 0.20 0.00 3.77393 -114.341 -3.77393 3.77393 1.29 0.000208264 0.00017622 0.0375146 0.034217 48 2612 50 6.95648e+06 188184 865456. 2994.66 9.05 0.218186 0.193063 28354 207349 -1 2029 20 1551 2516 265963 60109 0 0 265963 60109 2516 2075 0 0 8407 7373 0 0 14885 9926 0 0 2516 2153 0 0 119034 18370 0 0 118605 20212 0 0 2516 0 0 965 1070 881 7582 0 0 3.91407 3.91407 -143.126 -3.91407 0 0 1.05005e+06 3633.38 0.37 0.06 0.16 -1 -1 0.37 0.0143573 0.0129723 72 54 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 7.77 vpr 64.38 MiB -1 -1 0.12 21032 1 0.01 -1 -1 33272 -1 -1 16 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65928 29 32 378 310 1 170 77 17 17 289 -1 unnamed_device 25.8 MiB 1.05 685 64.4 MiB 0.05 0.00 3.26967 -101.645 -3.26967 3.26967 0.83 0.00016631 0.000134906 0.0129384 0.0109467 44 2142 22 6.95648e+06 231611 787024. 2723.27 3.71 0.102021 0.0873379 27778 195446 -1 1595 21 1277 1892 153197 33610 0 0 153197 33610 1892 1497 0 0 5964 5181 0 0 10093 6968 0 0 1892 1541 0 0 63652 9867 0 0 69704 8556 0 0 1892 0 0 615 664 509 5070 0 0 3.69672 3.69672 -126.21 -3.69672 0 0 997811. 3452.63 0.42 0.05 0.16 -1 -1 0.42 0.0136247 0.0121834 73 79 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 6.09 vpr 63.91 MiB -1 -1 0.10 20736 1 0.01 -1 -1 33128 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65440 32 32 243 205 1 139 74 17 17 289 -1 unnamed_device 25.4 MiB 1.06 655 63.9 MiB 0.04 0.00 2.91658 -88.9369 -2.91658 2.91658 0.93 0.000132738 0.000111388 0.00904815 0.00763705 38 1824 20 6.95648e+06 144757 678818. 2348.85 1.60 0.0464988 0.0395683 26626 170182 -1 1611 21 1114 1651 132469 27877 0 0 132469 27877 1651 1413 0 0 5025 4421 0 0 8501 5559 0 0 1651 1446 0 0 57576 7723 0 0 58065 7315 0 0 1651 0 0 537 559 598 4495 0 0 3.10387 3.10387 -110.49 -3.10387 0 0 902133. 3121.57 0.57 0.12 0.18 -1 -1 0.57 0.0116819 0.0105735 53 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 8.74 vpr 64.61 MiB -1 -1 0.13 20744 1 0.01 -1 -1 33352 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66156 32 32 373 302 1 174 87 17 17 289 -1 unnamed_device 26.1 MiB 3.15 821 64.6 MiB 0.07 0.00 3.91556 -109.001 -3.91556 3.91556 0.79 0.00017542 0.000144036 0.0163196 0.0135365 44 2491 35 6.95648e+06 332941 787024. 2723.27 2.45 0.0827384 0.065133 27778 195446 -1 1853 21 1131 1786 148503 32728 0 0 148503 32728 1786 1455 0 0 6015 5260 0 0 10681 7199 0 0 1786 1564 0 0 64287 8672 0 0 63948 8578 0 0 1786 0 0 655 647 620 5580 0 0 3.8554 3.8554 -133.464 -3.8554 0 0 997811. 3452.63 0.40 0.10 0.13 -1 -1 0.40 0.0127346 0.011381 76 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 8.81 vpr 64.64 MiB -1 -1 0.12 21012 1 0.02 -1 -1 33252 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66192 32 32 397 314 1 188 77 17 17 289 -1 unnamed_device 26.2 MiB 0.60 756 64.6 MiB 0.05 0.00 3.49708 -113.705 -3.49708 3.49708 1.14 0.000180208 0.00014805 0.01543 0.0135544 48 2480 46 6.95648e+06 188184 865456. 2994.66 4.33 0.128687 0.111052 28354 207349 -1 1918 24 1925 2877 245386 61829 0 0 245386 61829 2877 2471 0 0 9274 8047 0 0 17728 11230 0 0 2877 2625 0 0 101876 20233 0 0 110754 17223 0 0 2877 0 0 952 1193 1122 8470 0 0 4.14461 4.14461 -151.019 -4.14461 0 0 1.05005e+06 3633.38 0.58 0.06 0.13 -1 -1 0.58 0.0155164 0.0138485 78 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 8.70 vpr 64.21 MiB -1 -1 0.11 20552 1 0.01 -1 -1 33280 -1 -1 11 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65756 32 32 269 231 1 166 75 17 17 289 -1 unnamed_device 25.7 MiB 1.62 764 64.2 MiB 0.06 0.00 3.28067 -100.55 -3.28067 3.28067 1.03 0.000130749 0.000106559 0.0134721 0.0113845 44 2152 34 6.95648e+06 159232 787024. 2723.27 3.55 0.0837819 0.0712677 27778 195446 -1 1681 21 1185 1481 117406 26772 0 0 117406 26772 1481 1364 0 0 4949 4441 0 0 8096 5711 0 0 1481 1392 0 0 50650 6915 0 0 50749 6949 0 0 1481 0 0 296 189 289 2911 0 0 3.71571 3.71571 -122.326 -3.71571 0 0 997811. 3452.63 0.36 0.04 0.12 -1 -1 0.36 0.0111873 0.010111 68 26 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 11.71 vpr 63.82 MiB -1 -1 0.13 20452 1 0.01 -1 -1 33068 -1 -1 13 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65352 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 25.3 MiB 1.15 516 63.8 MiB 0.05 0.00 2.78823 -84.0827 -2.78823 2.78823 0.83 0.000127398 0.000103679 0.0117964 0.00972803 40 1802 36 6.95648e+06 188184 706193. 2443.58 7.51 0.111964 0.0961237 26914 176310 -1 1407 25 1360 1872 160303 38414 0 0 160303 38414 1872 1573 0 0 6335 5542 0 0 11991 8044 0 0 1872 1663 0 0 68951 10090 0 0 69282 11502 0 0 1872 0 0 512 563 512 4617 0 0 3.17332 3.17332 -113.52 -3.17332 0 0 926341. 3205.33 0.29 0.04 0.11 -1 -1 0.29 0.010113 0.00898684 57 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 8.84 vpr 64.34 MiB -1 -1 0.12 20784 1 0.01 -1 -1 33104 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65884 32 32 348 274 1 202 79 17 17 289 -1 unnamed_device 25.7 MiB 1.55 789 64.3 MiB 0.09 0.00 3.75407 -122.757 -3.75407 3.75407 1.66 0.000211649 0.000172428 0.020069 0.0171999 46 2988 36 6.95648e+06 217135 828058. 2865.25 2.89 0.109317 0.0957758 28066 200906 -1 2090 20 1755 2358 180226 40253 0 0 180226 40253 2358 2126 0 0 7402 6491 0 0 12446 8296 0 0 2358 2160 0 0 73080 10944 0 0 82582 10236 0 0 2358 0 0 603 420 662 5283 0 0 4.4037 4.4037 -152.061 -4.4037 0 0 1.01997e+06 3529.29 0.46 0.05 0.13 -1 -1 0.46 0.0141167 0.0126613 85 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 8.36 vpr 64.38 MiB -1 -1 0.12 21060 1 0.01 -1 -1 33084 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65924 32 32 356 289 1 196 78 17 17 289 -1 unnamed_device 25.9 MiB 1.02 781 64.4 MiB 0.06 0.00 4.05782 -121.625 -4.05782 4.05782 0.87 0.000178496 0.000147994 0.0153314 0.0129821 48 2388 47 6.95648e+06 202660 865456. 2994.66 4.19 0.13862 0.120235 28354 207349 -1 1896 23 1689 2410 200204 44784 0 0 200204 44784 2410 2076 0 0 8328 7288 0 0 15070 10351 0 0 2410 2141 0 0 82959 12018 0 0 89027 10910 0 0 2410 0 0 721 721 764 6568 0 0 4.46096 4.46096 -146.347 -4.46096 0 0 1.05005e+06 3633.38 0.46 0.07 0.15 -1 -1 0.46 0.0175887 0.0159687 82 53 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 11.26 vpr 64.25 MiB -1 -1 0.12 20864 1 0.00 -1 -1 33376 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65792 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 25.7 MiB 0.61 862 64.2 MiB 0.10 0.00 4.06272 -117.727 -4.06272 4.06272 1.16 0.000178853 0.000148238 0.0220004 0.0186174 50 2370 25 6.95648e+06 246087 902133. 3121.57 6.70 0.163893 0.140864 28642 213929 -1 1979 22 1793 2956 227789 52442 0 0 227789 52442 2956 2356 0 0 9600 8254 0 0 17204 11168 0 0 2956 2580 0 0 97656 13758 0 0 97417 14326 0 0 2956 0 0 1163 1189 1611 10513 0 0 4.477 4.477 -142.85 -4.477 0 0 1.08113e+06 3740.92 0.42 0.07 0.14 -1 -1 0.42 0.0189355 0.0142039 83 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 8.08 vpr 64.28 MiB -1 -1 0.13 20760 1 0.01 -1 -1 32948 -1 -1 21 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65824 30 32 316 264 1 159 83 17 17 289 -1 unnamed_device 25.6 MiB 1.07 632 64.3 MiB 0.08 0.00 2.83043 -79.56 -2.83043 2.83043 1.07 0.000188854 0.000160067 0.0241513 0.0219106 38 2218 29 6.95648e+06 303989 678818. 2348.85 3.77 0.140568 0.125289 26626 170182 -1 1432 20 1272 1972 132688 30078 0 0 132688 30078 1972 1490 0 0 6018 5250 0 0 9836 6588 0 0 1972 1618 0 0 59370 6967 0 0 53520 8165 0 0 1972 0 0 700 651 611 5720 0 0 3.19827 3.19827 -101.641 -3.19827 0 0 902133. 3121.57 0.33 0.04 0.11 -1 -1 0.33 0.0128846 0.0116036 69 47 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 8.03 vpr 63.80 MiB -1 -1 0.11 20516 1 0.01 -1 -1 33280 -1 -1 14 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65336 27 32 255 219 1 130 73 17 17 289 -1 unnamed_device 25.2 MiB 0.47 469 63.8 MiB 0.04 0.00 2.4231 -73.3364 -2.4231 2.4231 1.29 0.000118697 9.6246e-05 0.00989678 0.00847095 36 1601 31 6.95648e+06 202660 648988. 2245.63 3.45 0.0930207 0.0790552 26050 158493 -1 1227 22 990 1205 103484 23381 0 0 103484 23381 1205 1100 0 0 4229 3504 0 0 6444 4796 0 0 1205 1125 0 0 48339 5830 0 0 42062 7026 0 0 1205 0 0 215 177 220 2275 0 0 3.19822 3.19822 -97.5944 -3.19822 0 0 828058. 2865.25 0.64 0.03 0.16 -1 -1 0.64 0.0106208 0.00949212 54 26 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 9.36 vpr 64.83 MiB -1 -1 0.12 20956 1 0.01 -1 -1 33232 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66384 32 32 421 327 1 224 80 17 17 289 -1 unnamed_device 26.4 MiB 1.11 958 64.8 MiB 0.09 0.00 3.20225 -108.346 -3.20225 3.20225 0.82 0.00023241 0.000198643 0.0232353 0.0197038 54 3066 50 6.95648e+06 231611 949917. 3286.91 4.54 0.162651 0.14163 29506 232905 -1 2159 24 1986 3124 235827 54600 0 0 235827 54600 3124 2383 0 0 9614 8467 0 0 18031 10953 0 0 3124 2693 0 0 99028 16393 0 0 102906 13711 0 0 3124 0 0 1138 1399 1116 9669 0 0 4.02271 4.02271 -137.102 -4.02271 0 0 1.17392e+06 4061.99 0.51 0.08 0.25 -1 -1 0.51 0.0248787 0.0216021 95 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 13.50 vpr 64.27 MiB -1 -1 0.13 20864 1 0.02 -1 -1 33176 -1 -1 15 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65816 31 32 365 296 1 190 78 17 17 289 -1 unnamed_device 25.7 MiB 4.74 1028 64.3 MiB 0.06 0.00 4.5044 -130.841 -4.5044 4.5044 0.88 0.000160274 0.000130554 0.0138325 0.0115956 38 2834 30 6.95648e+06 217135 678818. 2348.85 5.66 0.107514 0.0958193 26626 170182 -1 2362 24 1921 2908 285337 54639 0 0 285337 54639 2908 2250 0 0 8857 7893 0 0 15670 9920 0 0 2908 2455 0 0 125160 16814 0 0 129834 15307 0 0 2908 0 0 987 1343 1354 9336 0 0 4.59111 4.59111 -157.994 -4.59111 0 0 902133. 3121.57 0.33 0.16 0.11 -1 -1 0.33 0.0254748 0.0235029 82 60 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 14.71 vpr 64.08 MiB -1 -1 0.11 21120 1 0.01 -1 -1 33192 -1 -1 11 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65620 32 32 331 280 1 171 75 17 17 289 -1 unnamed_device 25.8 MiB 3.36 823 64.1 MiB 0.05 0.00 3.30244 -111.934 -3.30244 3.30244 0.88 0.000144109 0.000116511 0.0128918 0.0107211 38 2344 23 6.95648e+06 159232 678818. 2348.85 8.23 0.129553 0.110378 26626 170182 -1 2047 18 1285 1866 160461 32688 0 0 160461 32688 1866 1527 0 0 6051 5272 0 0 9459 6700 0 0 1866 1560 0 0 74326 8072 0 0 66893 9557 0 0 1866 0 0 581 430 559 4864 0 0 3.68266 3.68266 -139.132 -3.68266 0 0 902133. 3121.57 0.43 0.05 0.12 -1 -1 0.43 0.0144228 0.0132207 70 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 5.08 vpr 64.17 MiB -1 -1 0.12 20728 1 0.01 -1 -1 33188 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65712 32 32 326 263 1 169 86 17 17 289 -1 unnamed_device 25.8 MiB 0.34 813 64.2 MiB 0.07 0.00 3.46513 -100.524 -3.46513 3.46513 0.85 0.000172866 0.000142139 0.0152942 0.0124387 46 2252 22 6.95648e+06 318465 828058. 2865.25 1.69 0.0647358 0.0551641 28066 200906 -1 1865 21 1214 1936 148233 32372 0 0 148233 32372 1936 1606 0 0 6030 5281 0 0 9924 6632 0 0 1936 1704 0 0 59615 9199 0 0 68792 7950 0 0 1936 0 0 722 550 800 5914 0 0 3.80451 3.80451 -120.946 -3.80451 0 0 1.01997e+06 3529.29 0.33 0.04 0.13 -1 -1 0.33 0.0116906 0.0104938 74 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 8.85 vpr 64.34 MiB -1 -1 0.13 20936 1 0.00 -1 -1 33192 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65884 31 32 373 294 1 188 88 17 17 289 -1 unnamed_device 25.9 MiB 0.78 763 64.3 MiB 0.06 0.00 3.58273 -103.837 -3.58273 3.58273 1.05 0.000167294 0.000136941 0.0149704 0.0124687 48 2088 23 6.95648e+06 361892 865456. 2994.66 4.41 0.105066 0.0896101 28354 207349 -1 1669 21 1405 2061 148950 36413 0 0 148950 36413 2061 1602 0 0 7217 6038 0 0 12080 8631 0 0 2061 1762 0 0 55941 9829 0 0 69590 8551 0 0 2061 0 0 656 847 859 6485 0 0 4.00977 4.00977 -126.774 -4.00977 0 0 1.05005e+06 3633.38 0.37 0.04 0.13 -1 -1 0.37 0.0140551 0.0125844 83 46 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 9.58 vpr 64.24 MiB -1 -1 0.10 20736 1 0.01 -1 -1 33268 -1 -1 16 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65784 30 32 325 268 1 166 78 17 17 289 -1 unnamed_device 25.9 MiB 0.93 754 64.2 MiB 0.05 0.00 2.87605 -84.5862 -2.87605 2.87605 0.78 0.000151094 0.000123144 0.0124495 0.0103639 52 2088 39 6.95648e+06 231611 926341. 3205.33 5.67 0.127629 0.110187 29218 227130 -1 1567 23 1270 2067 151136 33746 0 0 151136 33746 2067 1651 0 0 6635 5755 0 0 12446 8057 0 0 2067 1819 0 0 59958 8759 0 0 67963 7705 0 0 2067 0 0 797 783 938 6675 0 0 2.98482 2.98482 -102.595 -2.98482 0 0 1.14541e+06 3963.36 0.53 0.04 0.16 -1 -1 0.53 0.0117035 0.0103951 68 46 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 8.87 vpr 64.72 MiB -1 -1 0.11 21172 1 0.01 -1 -1 33308 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66276 32 32 350 275 1 208 78 17 17 289 -1 unnamed_device 26.1 MiB 1.55 897 64.7 MiB 0.13 0.00 3.74967 -121.549 -3.74967 3.74967 0.89 0.000861319 0.000805432 0.0431684 0.04048 48 3452 42 6.95648e+06 202660 865456. 2994.66 3.98 0.128673 0.115539 28354 207349 -1 2299 35 2699 3928 466380 137270 0 0 466380 137270 3928 3494 0 0 12025 10699 0 0 25983 15135 0 0 3928 3645 0 0 219231 51961 0 0 201285 52336 0 0 3928 0 0 1229 1355 1620 10676 0 0 4.29401 4.29401 -152.048 -4.29401 0 0 1.05005e+06 3633.38 0.42 0.11 0.22 -1 -1 0.42 0.0204323 0.0181905 88 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 9.98 vpr 64.36 MiB -1 -1 0.11 20848 1 0.02 -1 -1 33268 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65904 32 32 386 307 1 187 82 17 17 289 -1 unnamed_device 25.9 MiB 0.92 781 64.4 MiB 0.05 0.00 3.70063 -117.46 -3.70063 3.70063 0.83 0.000171496 0.000139687 0.013854 0.0115889 52 2210 29 6.95648e+06 260562 926341. 3205.33 5.91 0.152135 0.130764 29218 227130 -1 1606 21 1382 1859 146132 34360 0 0 146132 34360 1859 1584 0 0 6071 5246 0 0 10006 6938 0 0 1859 1702 0 0 62192 8752 0 0 64145 10138 0 0 1859 0 0 477 530 504 4484 0 0 3.79092 3.79092 -137.547 -3.79092 0 0 1.14541e+06 3963.36 0.49 0.05 0.15 -1 -1 0.49 0.015309 0.0136545 80 59 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 11.40 vpr 63.88 MiB -1 -1 0.13 20520 1 0.01 -1 -1 33256 -1 -1 12 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65416 29 32 269 229 1 132 73 17 17 289 -1 unnamed_device 25.3 MiB 4.72 489 63.9 MiB 0.03 0.00 3.26592 -84.8562 -3.26592 3.26592 0.79 0.000124381 0.000100537 0.00861429 0.00719451 36 1479 29 6.95648e+06 173708 648988. 2245.63 3.28 0.0675145 0.0571182 26050 158493 -1 1177 16 773 1048 80897 18477 0 0 80897 18477 1048 872 0 0 3653 3110 0 0 5384 4140 0 0 1048 942 0 0 35612 4554 0 0 34152 4859 0 0 1048 0 0 275 348 340 2669 0 0 2.95672 2.95672 -97.8748 -2.95672 0 0 828058. 2865.25 0.39 0.03 0.11 -1 -1 0.39 0.0125034 0.0114515 53 28 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 6.08 vpr 64.05 MiB -1 -1 0.11 21036 1 0.01 -1 -1 33328 -1 -1 11 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65584 32 32 310 266 1 163 75 17 17 289 -1 unnamed_device 25.5 MiB 1.06 700 64.0 MiB 0.04 0.00 3.06285 -104.202 -3.06285 3.06285 0.74 0.00013564 0.000109895 0.00903594 0.00754778 44 1840 24 6.95648e+06 159232 787024. 2723.27 1.54 0.048305 0.0415521 27778 195446 -1 1421 19 1117 1420 99913 22569 0 0 99913 22569 1420 1275 0 0 4618 4022 0 0 7606 5321 0 0 1420 1317 0 0 38714 5833 0 0 46135 4801 0 0 1420 0 0 303 225 204 2758 0 0 3.28982 3.28982 -119.422 -3.28982 0 0 997811. 3452.63 0.50 0.03 0.12 -1 -1 0.50 0.0092318 0.00826809 64 55 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 6.43 vpr 64.21 MiB -1 -1 0.12 21164 1 0.01 -1 -1 33156 -1 -1 23 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65748 31 32 326 261 1 172 86 17 17 289 -1 unnamed_device 25.8 MiB 1.09 749 64.2 MiB 0.06 0.00 3.43718 -100.911 -3.43718 3.43718 1.29 0.000157286 0.000122366 0.0130909 0.0107912 46 2170 27 6.95648e+06 332941 828058. 2865.25 1.73 0.0650666 0.055534 28066 200906 -1 1743 23 1470 2332 167751 38184 0 0 167751 38184 2332 1797 0 0 7330 6511 0 0 12423 8315 0 0 2332 1974 0 0 68167 10044 0 0 75167 9543 0 0 2332 0 0 862 1210 1100 8216 0 0 3.66956 3.66956 -126.568 -3.66956 0 0 1.01997e+06 3529.29 0.45 0.04 0.14 -1 -1 0.45 0.0117956 0.0105294 77 29 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 8.04 vpr 64.07 MiB -1 -1 0.13 20672 1 0.02 -1 -1 33196 -1 -1 13 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65612 29 32 262 224 1 161 74 17 17 289 -1 unnamed_device 25.6 MiB 1.51 616 64.1 MiB 0.05 0.00 3.40298 -96.0998 -3.40298 3.40298 1.12 0.00012628 0.000102984 0.00990656 0.00830281 38 2239 31 6.95648e+06 188184 678818. 2348.85 3.12 0.0623933 0.0538005 26626 170182 -1 1593 21 1276 1604 133117 30584 0 0 133117 30584 1604 1434 0 0 5039 4403 0 0 8286 5564 0 0 1604 1462 0 0 57045 8981 0 0 59539 8740 0 0 1604 0 0 328 217 315 3140 0 0 3.41492 3.41492 -114.825 -3.41492 0 0 902133. 3121.57 0.29 0.04 0.13 -1 -1 0.29 0.0096077 0.00860201 67 25 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 6.62 vpr 63.82 MiB -1 -1 0.10 20548 1 0.01 -1 -1 33364 -1 -1 9 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65352 32 32 278 238 1 144 73 17 17 289 -1 unnamed_device 25.4 MiB 1.30 694 63.8 MiB 0.05 0.00 3.31656 -97.2168 -3.31656 3.31656 0.95 0.000134566 0.000105716 0.0117988 0.00951404 36 2138 44 6.95648e+06 130281 648988. 2245.63 2.02 0.0626026 0.0532974 26050 158493 -1 1645 21 1288 1853 165825 35634 0 0 165825 35634 1853 1532 0 0 5919 5185 0 0 10143 6784 0 0 1853 1623 0 0 73013 10550 0 0 73044 9960 0 0 1853 0 0 565 478 566 4714 0 0 3.38757 3.38757 -120.35 -3.38757 0 0 828058. 2865.25 0.33 0.05 0.11 -1 -1 0.33 0.0149094 0.0137064 56 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 6.16 vpr 64.32 MiB -1 -1 0.12 20884 1 0.02 -1 -1 33192 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65860 31 32 373 300 1 174 87 17 17 289 -1 unnamed_device 25.8 MiB 0.98 659 64.3 MiB 0.08 0.00 2.96863 -94.5196 -2.96863 2.96863 0.87 0.000197263 0.00016346 0.0150393 0.0125923 44 2116 43 6.95648e+06 347416 787024. 2723.27 2.04 0.0805263 0.0691929 27778 195446 -1 1479 26 1818 2444 165368 41873 0 0 165368 41873 2444 1882 0 0 7926 6915 0 0 13226 9217 0 0 2444 2036 0 0 68978 10071 0 0 70350 11752 0 0 2444 0 0 626 690 676 6154 0 0 3.02267 3.02267 -115.168 -3.02267 0 0 997811. 3452.63 0.34 0.08 0.23 -1 -1 0.34 0.0349178 0.0301224 79 60 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 7.55 vpr 64.17 MiB -1 -1 0.13 20468 1 0.01 -1 -1 33152 -1 -1 12 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65708 31 32 265 230 1 159 75 17 17 289 -1 unnamed_device 25.7 MiB 2.53 786 64.2 MiB 0.03 0.00 3.35097 -102.439 -3.35097 3.35097 0.81 0.000123643 0.000100561 0.00751203 0.00629213 38 2042 23 6.95648e+06 173708 678818. 2348.85 2.03 0.0574395 0.049799 26626 170182 -1 1735 20 1065 1523 121759 25462 0 0 121759 25462 1523 1330 0 0 4768 4148 0 0 7501 5180 0 0 1523 1396 0 0 55904 6648 0 0 50540 6760 0 0 1523 0 0 458 486 272 3655 0 0 3.27682 3.27682 -119.763 -3.27682 0 0 902133. 3121.57 0.29 0.03 0.11 -1 -1 0.29 0.0102443 0.00923834 64 30 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 6.72 vpr 64.25 MiB -1 -1 0.13 20776 1 0.01 -1 -1 33340 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65796 32 32 349 286 1 165 86 17 17 289 -1 unnamed_device 25.8 MiB 1.54 853 64.3 MiB 0.07 0.00 2.5815 -91.5934 -2.5815 2.5815 0.84 0.000156049 0.000127618 0.0131001 0.0109404 40 2052 25 6.95648e+06 318465 706193. 2443.58 1.88 0.0712634 0.0574101 26914 176310 -1 1889 21 1365 2068 167785 36544 0 0 167785 36544 2068 1553 0 0 7112 6123 0 0 12910 8584 0 0 2068 1670 0 0 71839 9291 0 0 71788 9323 0 0 2068 0 0 703 986 1022 7301 0 0 3.20437 3.20437 -119.373 -3.20437 0 0 926341. 3205.33 0.31 0.04 0.11 -1 -1 0.31 0.0131464 0.011821 71 54 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 7.38 vpr 64.39 MiB -1 -1 0.12 20820 1 0.02 -1 -1 33404 -1 -1 15 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65932 31 32 396 325 1 176 78 17 17 289 -1 unnamed_device 25.8 MiB 1.59 675 64.4 MiB 0.14 0.00 3.3685 -110.466 -3.3685 3.3685 1.03 0.000176953 0.000144311 0.021231 0.0180866 40 2193 40 6.95648e+06 217135 706193. 2443.58 2.36 0.0866352 0.0741712 26914 176310 -1 1859 23 1728 2308 219518 50912 0 0 219518 50912 2308 1996 0 0 7758 6738 0 0 14025 9269 0 0 2308 2077 0 0 90304 15885 0 0 102815 14947 0 0 2308 0 0 580 684 807 5897 0 0 3.96011 3.96011 -139.49 -3.96011 0 0 926341. 3205.33 0.29 0.05 0.11 -1 -1 0.29 0.0142376 0.0126905 73 87 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 7.70 vpr 64.03 MiB -1 -1 0.12 20528 1 0.01 -1 -1 33284 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65564 32 32 303 262 1 145 74 17 17 289 -1 unnamed_device 25.5 MiB 1.23 545 64.0 MiB 0.05 0.00 2.4011 -79.9159 -2.4011 2.4011 0.81 0.000138793 0.00011268 0.0108507 0.00901542 46 1451 25 6.95648e+06 144757 828058. 2865.25 3.76 0.0951409 0.0737288 28066 200906 -1 1111 21 938 1461 89314 21976 0 0 89314 21976 1461 1112 0 0 4499 4005 0 0 7783 5043 0 0 1461 1152 0 0 39540 4937 0 0 34570 5727 0 0 1461 0 0 523 367 298 3807 0 0 2.66912 2.66912 -95.8011 -2.66912 0 0 1.01997e+06 3529.29 0.33 0.03 0.13 -1 -1 0.33 0.0101135 0.00898701 57 54 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 6.38 vpr 64.16 MiB -1 -1 0.11 20440 1 0.01 -1 -1 33124 -1 -1 11 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65700 32 32 290 244 1 170 75 17 17 289 -1 unnamed_device 25.6 MiB 1.27 702 64.2 MiB 0.11 0.00 3.29168 -104.399 -3.29168 3.29168 0.85 0.000159829 0.000133974 0.0154469 0.0135247 48 1900 50 6.95648e+06 159232 865456. 2994.66 1.75 0.0634118 0.0549009 28354 207349 -1 1577 22 1369 1985 162836 45267 0 0 162836 45267 1985 1654 0 0 6719 5924 0 0 12824 8312 0 0 1985 1815 0 0 67563 15057 0 0 71760 12505 0 0 1985 0 0 616 616 607 5056 0 0 3.95012 3.95012 -128.525 -3.95012 0 0 1.05005e+06 3633.38 0.40 0.04 0.18 -1 -1 0.40 0.0107983 0.00965938 70 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 10.22 vpr 64.16 MiB -1 -1 0.11 20876 1 0.01 -1 -1 33080 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65696 32 32 318 257 1 188 78 17 17 289 -1 unnamed_device 25.7 MiB 2.09 714 64.2 MiB 0.05 0.00 3.45418 -103.596 -3.45418 3.45418 0.75 0.000148688 0.000121299 0.0118079 0.00982615 46 2524 45 6.95648e+06 202660 828058. 2865.25 5.15 0.128988 0.0978097 28066 200906 -1 1807 23 1708 2393 175991 42411 0 0 175991 42411 2393 1994 0 0 7701 6876 0 0 13184 8900 0 0 2393 2115 0 0 68699 11601 0 0 81621 10925 0 0 2393 0 0 685 742 677 5947 0 0 4.07382 4.07382 -132.794 -4.07382 0 0 1.01997e+06 3529.29 0.37 0.05 0.13 -1 -1 0.37 0.0141015 0.0126684 79 27 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 6.20 vpr 64.20 MiB -1 -1 0.12 21152 1 0.01 -1 -1 33324 -1 -1 21 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65736 29 32 324 268 1 162 82 17 17 289 -1 unnamed_device 25.8 MiB 0.95 683 64.2 MiB 0.05 0.00 3.53128 -97.3988 -3.53128 3.53128 0.72 0.000146578 0.000119351 0.0112197 0.00942674 40 2194 47 6.95648e+06 303989 706193. 2443.58 2.57 0.07428 0.0638085 26914 176310 -1 1777 32 1370 1979 283795 103574 0 0 283795 103574 1979 1650 0 0 6611 5654 0 0 12539 8135 0 0 1979 1732 0 0 130020 44346 0 0 130667 42057 0 0 1979 0 0 609 887 804 6295 0 0 3.55116 3.55116 -118.787 -3.55116 0 0 926341. 3205.33 0.32 0.07 0.11 -1 -1 0.32 0.0162768 0.0144691 71 49 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 7.64 vpr 64.38 MiB -1 -1 0.12 20720 1 0.01 -1 -1 33328 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65920 32 32 393 312 1 206 78 17 17 289 -1 unnamed_device 25.9 MiB 1.29 818 64.4 MiB 0.06 0.00 4.0452 -128.08 -4.0452 4.0452 0.77 0.000177494 0.000145536 0.0167642 0.0136204 46 2729 37 6.95648e+06 202660 828058. 2865.25 3.51 0.0975561 0.0846118 28066 200906 -1 2028 23 2086 2971 250832 57266 0 0 250832 57266 2971 2577 0 0 9329 8318 0 0 16954 10890 0 0 2971 2665 0 0 104719 16457 0 0 113888 16359 0 0 2971 0 0 885 990 1028 8310 0 0 4.47261 4.47261 -153.652 -4.47261 0 0 1.01997e+06 3529.29 0.31 0.06 0.13 -1 -1 0.31 0.0143728 0.0128531 89 62 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 6.16 vpr 63.87 MiB -1 -1 0.10 20460 1 0.01 -1 -1 32944 -1 -1 13 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65404 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 25.3 MiB 1.32 843 63.9 MiB 0.05 0.00 3.10444 -86.1242 -3.10444 3.10444 0.80 0.000116257 9.5547e-05 0.0107741 0.00902148 36 1978 24 6.95648e+06 188184 648988. 2245.63 2.23 0.0518691 0.0444245 26050 158493 -1 1774 22 925 1487 137396 27348 0 0 137396 27348 1487 1201 0 0 4529 3839 0 0 8612 5224 0 0 1487 1301 0 0 61640 7891 0 0 59641 7892 0 0 1487 0 0 562 511 585 4439 0 0 2.99092 2.99092 -105.083 -2.99092 0 0 828058. 2865.25 0.26 0.03 0.10 -1 -1 0.26 0.00868803 0.00774845 54 -1 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 12.81 vpr 64.67 MiB -1 -1 0.12 21040 1 0.01 -1 -1 33116 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66224 32 32 412 334 1 182 89 17 17 289 -1 unnamed_device 26.1 MiB 1.02 1057 64.7 MiB 0.06 0.00 3.08489 -115.661 -3.08489 3.08489 0.75 0.000176856 0.000144384 0.0135327 0.0111599 36 2860 33 6.95648e+06 361892 648988. 2245.63 8.86 0.133238 0.113425 26050 158493 -1 2292 21 1704 2285 228957 52512 0 0 228957 52512 2285 1965 0 0 7415 6392 0 0 12385 8423 0 0 2285 2102 0 0 104857 16616 0 0 99730 17014 0 0 2285 0 0 581 546 723 5655 0 0 3.92226 3.92226 -151.05 -3.92226 0 0 828058. 2865.25 0.27 0.05 0.10 -1 -1 0.27 0.0138994 0.0124322 81 87 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 11.52 vpr 64.18 MiB -1 -1 0.12 21140 1 0.01 -1 -1 33124 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65724 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 25.7 MiB 3.70 613 64.2 MiB 0.05 0.00 2.45985 -91.5837 -2.45985 2.45985 0.80 0.000158245 0.000128443 0.0142791 0.0118753 50 1638 25 6.95648e+06 144757 902133. 3121.57 4.59 0.10629 0.0904918 28642 213929 -1 1380 22 1538 2170 172872 38895 0 0 172872 38895 2170 1826 0 0 7041 6265 0 0 11895 7947 0 0 2170 1919 0 0 79517 9297 0 0 70079 11641 0 0 2170 0 0 632 365 749 5498 0 0 3.48182 3.48182 -126.918 -3.48182 0 0 1.08113e+06 3740.92 0.36 0.04 0.14 -1 -1 0.36 0.012442 0.0110397 61 93 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 8.98 vpr 64.42 MiB -1 -1 0.13 20836 1 0.01 -1 -1 33328 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65968 32 32 360 293 1 172 86 17 17 289 -1 unnamed_device 25.9 MiB 1.24 713 64.4 MiB 0.06 0.00 3.41878 -102.821 -3.41878 3.41878 0.99 0.00017451 0.000144797 0.0130956 0.0110971 40 2722 44 6.95648e+06 318465 706193. 2443.58 3.90 0.132537 0.117594 26914 176310 -1 1913 18 1202 1842 144734 35148 0 0 144734 35148 1842 1472 0 0 6540 5669 0 0 10944 7801 0 0 1842 1560 0 0 59476 9747 0 0 64090 8899 0 0 1842 0 0 640 897 897 6490 0 0 3.71246 3.71246 -128.461 -3.71246 0 0 926341. 3205.33 0.31 0.04 0.13 -1 -1 0.31 0.0133718 0.0122306 75 57 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 10.75 vpr 64.68 MiB -1 -1 0.14 20844 1 0.01 -1 -1 33256 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66232 32 32 396 299 1 224 79 17 17 289 -1 unnamed_device 26.1 MiB 1.97 932 64.7 MiB 0.07 0.00 4.78047 -141.161 -4.78047 4.78047 0.90 0.000187013 0.000153829 0.0187457 0.0157428 54 2444 33 6.95648e+06 217135 949917. 3286.91 5.40 0.142831 0.123503 29506 232905 -1 1906 21 1750 2502 191775 42931 0 0 191775 42931 2502 2120 0 0 8098 7206 0 0 15189 9731 0 0 2502 2185 0 0 81877 10496 0 0 81607 11193 0 0 2502 0 0 752 798 859 6881 0 0 4.85675 4.85675 -159.034 -4.85675 0 0 1.17392e+06 4061.99 0.35 0.05 0.14 -1 -1 0.35 0.0139632 0.0125784 95 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 12.08 vpr 63.59 MiB -1 -1 0.11 20744 1 0.01 -1 -1 33108 -1 -1 11 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65120 30 32 224 207 1 132 73 17 17 289 -1 unnamed_device 25.2 MiB 2.92 546 63.6 MiB 0.07 0.00 2.26495 -77.3411 -2.26495 2.26495 0.90 0.000133176 0.000110512 0.0136142 0.00924568 48 1352 18 6.95648e+06 159232 865456. 2994.66 5.96 0.0848664 0.0712494 28354 207349 -1 1110 23 880 1128 103582 22037 0 0 103582 22037 1128 1029 0 0 3813 3352 0 0 7075 4671 0 0 1128 1040 0 0 43455 6250 0 0 46983 5695 0 0 1128 0 0 248 207 230 2309 0 0 2.28052 2.28052 -86.197 -2.28052 0 0 1.05005e+06 3633.38 0.56 0.03 0.13 -1 -1 0.56 0.00867205 0.00766401 52 29 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 10.50 vpr 64.27 MiB -1 -1 0.13 20592 1 0.01 -1 -1 33128 -1 -1 11 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65816 30 32 286 239 1 135 73 17 17 289 -1 unnamed_device 25.8 MiB 1.60 452 64.3 MiB 0.05 0.00 3.12499 -90.986 -3.12499 3.12499 1.09 0.000146927 0.000120878 0.0125582 0.010248 50 1167 49 6.95648e+06 159232 902133. 3121.57 5.14 0.120229 0.103595 28642 213929 -1 941 20 905 1346 100660 26905 0 0 100660 26905 1346 1134 0 0 4686 4104 0 0 8283 5728 0 0 1346 1159 0 0 44393 6565 0 0 40606 8215 0 0 1346 0 0 441 535 408 3894 0 0 3.51897 3.51897 -111.605 -3.51897 0 0 1.08113e+06 3740.92 0.50 0.04 0.17 -1 -1 0.50 0.011568 0.0103666 54 29 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 12.04 vpr 64.08 MiB -1 -1 0.12 20804 1 0.01 -1 -1 33060 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65620 32 32 296 247 1 152 74 17 17 289 -1 unnamed_device 25.6 MiB 0.45 548 64.1 MiB 0.04 0.00 2.6818 -88.2907 -2.6818 2.6818 1.08 0.000149351 0.000122808 0.0109052 0.00902377 58 1268 32 6.95648e+06 144757 997811. 3452.63 7.54 0.122941 0.106136 30370 251734 -1 1215 21 1143 1837 173908 42405 0 0 173908 42405 1837 1493 0 0 6070 5405 0 0 12737 7962 0 0 1837 1557 0 0 78210 11574 0 0 73217 14414 0 0 1837 0 0 694 696 504 5335 0 0 2.90077 2.90077 -103.681 -2.90077 0 0 1.25153e+06 4330.55 0.60 0.06 0.20 -1 -1 0.60 0.0189355 0.0165865 59 31 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 5.39 vpr 63.68 MiB -1 -1 0.12 20500 1 0.01 -1 -1 33252 -1 -1 18 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65204 25 32 216 194 1 121 75 17 17 289 -1 unnamed_device 25.2 MiB 0.74 493 63.7 MiB 0.03 0.00 2.59693 -61.9274 -2.59693 2.59693 0.87 0.000107867 8.7583e-05 0.00795905 0.00668533 38 1281 21 6.95648e+06 260562 678818. 2348.85 1.51 0.0436079 0.0376276 26626 170182 -1 1005 20 849 1335 78656 19493 0 0 78656 19493 1335 1035 0 0 4256 3796 0 0 7328 4937 0 0 1335 1078 0 0 33665 3999 0 0 30737 4648 0 0 1335 0 0 486 273 903 4455 0 0 2.89587 2.89587 -78.4616 -2.89587 0 0 902133. 3121.57 0.33 0.03 0.11 -1 -1 0.33 0.00821431 0.00733609 53 19 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 13.67 vpr 64.46 MiB -1 -1 0.13 20780 1 0.01 -1 -1 33072 -1 -1 12 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66012 32 32 376 307 1 178 76 17 17 289 -1 unnamed_device 25.9 MiB 2.38 724 64.5 MiB 0.06 0.00 3.43255 -106.707 -3.43255 3.43255 0.98 0.000216592 0.00018194 0.016036 0.0136946 52 2577 41 6.95648e+06 173708 926341. 3205.33 7.66 0.208773 0.184085 29218 227130 -1 1945 23 1562 2628 214378 49981 0 0 214378 49981 2628 2096 0 0 8335 7365 0 0 15642 9965 0 0 2628 2167 0 0 83628 14959 0 0 101517 13429 0 0 2628 0 0 1066 1162 1037 8255 0 0 4.08982 4.08982 -133.987 -4.08982 0 0 1.14541e+06 3963.36 0.57 0.10 0.19 -1 -1 0.57 0.034096 0.0320567 73 69 -1 -1 -1 -1 - fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 7.40 vpr 64.67 MiB -1 -1 0.13 20744 1 0.01 -1 -1 33304 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66220 31 32 409 331 1 183 80 17 17 289 -1 unnamed_device 26.3 MiB 1.22 871 64.7 MiB 0.06 0.00 3.32468 -114.951 -3.32468 3.32468 0.93 0.000187081 0.000154278 0.0166606 0.0141458 38 2809 37 6.95648e+06 246087 678818. 2348.85 2.70 0.116906 0.0965088 26626 170182 -1 2045 23 1662 2205 185689 39156 0 0 185689 39156 2205 1940 0 0 6884 5917 0 0 10641 7511 0 0 2205 2055 0 0 80915 11031 0 0 82839 10702 0 0 2205 0 0 543 816 733 5571 0 0 3.84582 3.84582 -142.69 -3.84582 0 0 902133. 3121.57 0.34 0.05 0.12 -1 -1 0.34 0.0175588 0.0155516 80 86 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 18.49 vpr 64.33 MiB -1 -1 0.12 20948 1 0.01 -1 -1 33132 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65872 32 32 354 285 1 206 79 17 17 289 -1 unnamed_device 25.8 MiB 1.49 927 64.3 MiB 0.07 0.00 4.0552 -122.848 -4.0552 4.0552 0.86 0.000224884 0.000191906 0.0181067 0.0153321 40 2847 26 6.99608e+06 220735 706193. 2443.58 13.85 0.165861 0.142135 26914 176310 -1 2413 23 2068 3008 319527 66944 0 0 319527 66944 3008 2591 0 0 9513 8277 0 0 18069 11295 0 0 3008 2705 0 0 144670 20748 0 0 141259 21328 0 0 3008 0 0 940 1399 1334 9045 0 0 4.73541 4.73541 -157.502 -4.73541 0 0 926341. 3205.33 0.35 0.08 0.12 -1 -1 0.35 0.0175688 0.015701 88 47 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 7.88 vpr 64.19 MiB -1 -1 0.14 20840 1 0.01 -1 -1 33208 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65732 30 32 363 293 1 224 79 17 17 289 -1 unnamed_device 25.8 MiB 1.59 1020 64.2 MiB 0.08 0.00 3.9687 -120.128 -3.9687 3.9687 1.14 0.000166888 0.000136621 0.020897 0.0184175 46 2634 48 6.99608e+06 250167 828058. 2865.25 2.38 0.0924791 0.080459 28066 200906 -1 2037 22 1937 2914 206191 49214 0 0 206191 49214 2914 2249 0 0 9457 8609 0 0 16316 11126 0 0 2914 2363 0 0 88732 12382 0 0 85858 12485 0 0 2914 0 0 977 1011 594 7450 0 0 4.38774 4.38774 -147.141 -4.38774 0 0 1.01997e+06 3529.29 0.62 0.06 0.16 -1 -1 0.62 0.0148875 0.0133174 99 58 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 14.43 vpr 63.98 MiB -1 -1 0.11 20768 1 0.01 -1 -1 33376 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65520 32 32 299 247 1 183 78 17 17 289 -1 unnamed_device 25.4 MiB 0.68 801 64.0 MiB 0.11 0.00 2.87639 -92.2213 -2.87639 2.87639 0.93 0.000153928 0.000126915 0.0152605 0.0129662 40 2333 28 6.99608e+06 206020 706193. 2443.58 10.57 0.172578 0.148542 26914 176310 -1 2056 23 1516 2058 194760 42785 0 0 194760 42785 2058 1671 0 0 6927 6000 0 0 12636 8208 0 0 2058 1722 0 0 83796 12842 0 0 87285 12342 0 0 2058 0 0 542 611 505 4980 0 0 3.81291 3.81291 -123.954 -3.81291 0 0 926341. 3205.33 0.41 0.07 0.13 -1 -1 0.41 0.0162014 0.0142864 76 26 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 9.34 vpr 64.25 MiB -1 -1 0.11 20828 1 0.01 -1 -1 33188 -1 -1 16 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65796 29 32 308 248 1 179 77 17 17 289 -1 unnamed_device 25.6 MiB 1.16 778 64.3 MiB 0.05 0.00 3.42478 -96.0081 -3.42478 3.42478 0.84 0.000155516 0.000129694 0.0128304 0.0107867 46 2047 30 6.99608e+06 235451 828058. 2865.25 4.85 0.145746 0.130257 28066 200906 -1 1415 20 1159 1804 97361 26527 0 0 97361 26527 1804 1334 0 0 5685 4925 0 0 8946 6351 0 0 1804 1493 0 0 38580 6589 0 0 40542 5835 0 0 1804 0 0 645 536 654 5389 0 0 3.71852 3.71852 -117.978 -3.71852 0 0 1.01997e+06 3529.29 0.46 0.03 0.15 -1 -1 0.46 0.0110726 0.00997666 78 25 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 9.72 vpr 64.15 MiB -1 -1 0.11 20768 1 0.01 -1 -1 33196 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65688 32 32 336 268 1 194 78 17 17 289 -1 unnamed_device 25.6 MiB 2.42 836 64.1 MiB 0.06 0.00 3.89209 -118.75 -3.89209 3.89209 0.79 0.000154768 0.000126224 0.014534 0.012118 46 2609 24 6.99608e+06 206020 828058. 2865.25 4.23 0.115078 0.099465 28066 200906 -1 2001 21 1397 2314 167103 36482 0 0 167103 36482 2314 1805 0 0 7208 6288 0 0 11502 7771 0 0 2314 1887 0 0 72309 9169 0 0 71456 9562 0 0 2314 0 0 917 974 866 7595 0 0 4.41681 4.41681 -144.161 -4.41681 0 0 1.01997e+06 3529.29 0.52 0.05 0.15 -1 -1 0.52 0.0143301 0.0126545 81 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 13.45 vpr 64.37 MiB -1 -1 0.12 20980 1 0.02 -1 -1 33264 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65912 32 32 366 295 1 221 81 17 17 289 -1 unnamed_device 26.0 MiB 2.67 908 64.4 MiB 0.08 0.00 2.79566 -97.2249 -2.79566 2.79566 0.77 0.00043707 0.000140737 0.0162035 0.0131517 48 2726 34 6.99608e+06 250167 865456. 2994.66 7.71 0.171113 0.145308 28354 207349 -1 2180 19 1785 2787 258237 68151 0 0 258237 68151 2787 2187 0 0 9300 8175 0 0 16234 10996 0 0 2787 2345 0 0 118638 22912 0 0 108491 21536 0 0 2787 0 0 1002 1099 1177 9013 0 0 3.60441 3.60441 -129.654 -3.60441 0 0 1.05005e+06 3633.38 0.36 0.06 0.13 -1 -1 0.36 0.0126813 0.011398 97 55 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 8.55 vpr 63.69 MiB -1 -1 0.11 20500 1 0.01 -1 -1 33388 -1 -1 15 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65216 27 32 259 221 1 154 74 17 17 289 -1 unnamed_device 25.3 MiB 1.64 588 63.7 MiB 0.07 0.00 3.25142 -90.777 -3.25142 3.25142 1.25 0.00013082 0.000107654 0.0136643 0.0101062 38 2099 42 6.99608e+06 220735 678818. 2348.85 3.49 0.0667616 0.0556943 26626 170182 -1 1499 21 1190 1763 137447 30978 0 0 137447 30978 1763 1555 0 0 5693 5012 0 0 9692 6520 0 0 1763 1657 0 0 59726 7987 0 0 58810 8247 0 0 1763 0 0 573 719 631 5130 0 0 3.86496 3.86496 -120.685 -3.86496 0 0 902133. 3121.57 0.28 0.03 0.11 -1 -1 0.28 0.00911289 0.00813507 66 26 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 8.22 vpr 63.88 MiB -1 -1 0.11 20472 1 0.01 -1 -1 33180 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65412 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 25.5 MiB 0.36 644 63.9 MiB 0.05 0.00 2.36085 -72.6768 -2.36085 2.36085 0.98 0.000140403 0.000112218 0.0098248 0.00812141 44 1994 37 6.99608e+06 367892 787024. 2723.27 4.60 0.0964834 0.0825886 27778 195446 -1 1428 20 1148 1861 138117 33809 0 0 138117 33809 1861 1300 0 0 6150 5322 0 0 10231 7095 0 0 1861 1408 0 0 59043 9072 0 0 58971 9612 0 0 1861 0 0 713 877 920 7141 0 0 2.63902 2.63902 -93.5462 -2.63902 0 0 997811. 3452.63 0.44 0.04 0.15 -1 -1 0.44 0.0103008 0.00927605 69 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 8.28 vpr 64.03 MiB -1 -1 0.12 20792 1 0.01 -1 -1 33344 -1 -1 14 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65564 31 32 317 271 1 204 77 17 17 289 -1 unnamed_device 25.6 MiB 0.89 859 64.0 MiB 0.05 0.00 2.73924 -97.2893 -2.73924 2.73924 1.00 0.000160204 0.000131598 0.0119758 0.0101154 42 3213 43 6.99608e+06 206020 744469. 2576.02 4.08 0.0926647 0.079358 27202 183097 -1 1936 23 1513 2102 164239 37345 0 0 164239 37345 2102 1721 0 0 7162 6096 0 0 11840 8242 0 0 2102 1768 0 0 71094 9728 0 0 69939 9790 0 0 2102 0 0 589 657 508 5034 0 0 3.45687 3.45687 -131.039 -3.45687 0 0 949917. 3286.91 0.35 0.05 0.12 -1 -1 0.35 0.0141072 0.0125901 87 60 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 12.42 vpr 63.94 MiB -1 -1 0.10 20724 1 0.01 -1 -1 33220 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65472 32 32 298 248 1 181 77 17 17 289 -1 unnamed_device 25.3 MiB 0.84 873 63.9 MiB 0.05 0.00 3.18112 -113.032 -3.18112 3.18112 0.90 0.000143436 0.000117796 0.0129185 0.0108174 38 2497 41 6.99608e+06 191304 678818. 2348.85 8.15 0.115266 0.0980574 26626 170182 -1 1900 18 1318 1659 116797 25833 0 0 116797 25833 1659 1463 0 0 5325 4670 0 0 8019 5730 0 0 1659 1482 0 0 51068 6204 0 0 49067 6284 0 0 1659 0 0 341 265 301 3248 0 0 3.37756 3.37756 -132.713 -3.37756 0 0 902133. 3121.57 0.51 0.03 0.11 -1 -1 0.51 0.00915813 0.00824414 75 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 6.58 vpr 64.12 MiB -1 -1 0.11 20512 1 0.01 -1 -1 33180 -1 -1 14 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65664 30 32 303 262 1 188 76 17 17 289 -1 unnamed_device 25.5 MiB 0.82 821 64.1 MiB 0.05 0.00 3.18013 -104.101 -3.18013 3.18013 0.90 0.00013672 0.000111363 0.0116586 0.00969392 38 2600 33 6.99608e+06 206020 678818. 2348.85 2.81 0.0763409 0.0664831 26626 170182 -1 1885 22 1718 2348 177776 37662 0 0 177776 37662 2348 1996 0 0 7183 6249 0 0 11262 7576 0 0 2348 2037 0 0 75471 10029 0 0 79164 9775 0 0 2348 0 0 630 742 660 5704 0 0 3.803 3.803 -129.399 -3.803 0 0 902133. 3121.57 0.31 0.05 0.11 -1 -1 0.31 0.0117773 0.010494 83 58 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 5.54 vpr 64.05 MiB -1 -1 0.11 20572 1 0.01 -1 -1 33232 -1 -1 11 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65584 32 32 276 237 1 165 75 17 17 289 -1 unnamed_device 25.6 MiB 0.59 831 64.0 MiB 0.04 0.00 2.61058 -94.9371 -2.61058 2.61058 0.72 0.00013054 0.000106485 0.00913867 0.00763409 38 2234 25 6.99608e+06 161872 678818. 2348.85 2.37 0.0523977 0.0448606 26626 170182 -1 1882 21 1197 1553 143438 29205 0 0 143438 29205 1553 1350 0 0 4925 4347 0 0 8032 5455 0 0 1553 1398 0 0 63029 8263 0 0 64346 8392 0 0 1553 0 0 356 354 268 3243 0 0 3.06517 3.06517 -116.225 -3.06517 0 0 902133. 3121.57 0.28 0.04 0.11 -1 -1 0.28 0.00994869 0.00887844 66 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 8.69 vpr 64.10 MiB -1 -1 0.10 21048 1 0.01 -1 -1 33128 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65640 32 32 344 272 1 201 79 17 17 289 -1 unnamed_device 25.6 MiB 0.71 979 64.1 MiB 0.07 0.00 3.18112 -109.79 -3.18112 3.18112 0.76 0.000161497 0.000131769 0.0156817 0.0130555 46 2867 31 6.99608e+06 220735 828058. 2865.25 5.15 0.109045 0.0931086 28066 200906 -1 2137 21 1731 2467 225829 48110 0 0 225829 48110 2467 2077 0 0 7690 6710 0 0 12303 8294 0 0 2467 2192 0 0 100619 14277 0 0 100283 14560 0 0 2467 0 0 736 768 815 6292 0 0 3.43901 3.43901 -132.224 -3.43901 0 0 1.01997e+06 3529.29 0.33 0.06 0.12 -1 -1 0.33 0.0144671 0.0129878 87 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 13.54 vpr 64.20 MiB -1 -1 0.11 21004 1 0.01 -1 -1 33032 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65736 32 32 363 295 1 228 81 17 17 289 -1 unnamed_device 25.8 MiB 1.36 987 64.2 MiB 0.07 0.00 3.86116 -115.099 -3.86116 3.86116 0.78 0.000179863 0.000149682 0.0140346 0.0117552 46 2755 35 6.99608e+06 250167 828058. 2865.25 9.22 0.135816 0.117299 28066 200906 -1 1885 21 2001 2799 175008 43599 0 0 175008 43599 2799 2295 0 0 8607 7594 0 0 13279 9194 0 0 2799 2441 0 0 68011 12545 0 0 79513 9530 0 0 2799 0 0 798 948 905 7450 0 0 4.55081 4.55081 -149.947 -4.55081 0 0 1.01997e+06 3529.29 0.43 0.06 0.17 -1 -1 0.43 0.0179943 0.0161544 97 58 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 11.75 vpr 63.99 MiB -1 -1 0.11 20648 1 0.01 -1 -1 33412 -1 -1 13 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65524 29 32 248 215 1 155 74 17 17 289 -1 unnamed_device 25.4 MiB 2.66 617 64.0 MiB 0.04 0.00 2.5552 -73.4298 -2.5552 2.5552 0.92 0.00013556 0.000112958 0.00861806 0.00724384 38 1973 27 6.99608e+06 191304 678818. 2348.85 6.04 0.0817284 0.0695322 26626 170182 -1 1542 21 1142 1605 125269 28320 0 0 125269 28320 1605 1407 0 0 5046 4443 0 0 8177 5512 0 0 1605 1445 0 0 51788 7978 0 0 57048 7535 0 0 1605 0 0 463 548 526 4096 0 0 3.00162 3.00162 -96.726 -3.00162 0 0 902133. 3121.57 0.36 0.03 0.19 -1 -1 0.36 0.0085649 0.0076441 64 21 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 8.13 vpr 64.38 MiB -1 -1 0.12 20856 1 0.01 -1 -1 33204 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65928 32 32 370 297 1 222 80 17 17 289 -1 unnamed_device 26.1 MiB 1.16 1124 64.4 MiB 0.07 0.00 3.11689 -107.741 -3.11689 3.11689 0.86 0.000164554 0.000135098 0.0155635 0.0130141 46 2874 29 6.99608e+06 235451 828058. 2865.25 4.12 0.143221 0.12759 28066 200906 -1 2250 22 1975 2993 217754 45640 0 0 217754 45640 2993 2328 0 0 9021 7923 0 0 15022 9871 0 0 2993 2560 0 0 98625 10766 0 0 89100 12192 0 0 2993 0 0 1018 1013 1161 9013 0 0 3.55931 3.55931 -135.701 -3.55931 0 0 1.01997e+06 3529.29 0.32 0.05 0.12 -1 -1 0.32 0.013517 0.012118 96 55 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 7.54 vpr 64.25 MiB -1 -1 0.11 20752 1 0.01 -1 -1 33144 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65796 32 32 338 269 1 198 79 17 17 289 -1 unnamed_device 25.8 MiB 0.82 870 64.3 MiB 0.06 0.00 3.53345 -112.815 -3.53345 3.53345 0.80 0.000176801 0.00014928 0.0124262 0.0106798 44 2304 47 6.99608e+06 220735 787024. 2723.27 3.93 0.0926924 0.0793448 27778 195446 -1 1863 19 1421 1902 136838 29879 0 0 136838 29879 1902 1583 0 0 6168 5411 0 0 9754 7091 0 0 1902 1625 0 0 65101 6220 0 0 52011 7949 0 0 1902 0 0 481 349 436 4130 0 0 3.26426 3.26426 -126.534 -3.26426 0 0 997811. 3452.63 0.34 0.04 0.13 -1 -1 0.34 0.0116277 0.0104453 84 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 5.59 vpr 64.29 MiB -1 -1 0.12 20728 1 0.01 -1 -1 33292 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65828 32 32 323 276 1 210 79 17 17 289 -1 unnamed_device 25.8 MiB 0.83 819 64.3 MiB 0.06 0.00 2.59239 -95.5898 -2.59239 2.59239 0.75 0.000162642 0.00013512 0.013645 0.0114219 44 2784 32 6.99608e+06 220735 787024. 2723.27 1.96 0.0585226 0.0502891 27778 195446 -1 1854 18 1533 1925 128339 30541 0 0 128339 30541 1925 1673 0 0 6200 5487 0 0 10037 7122 0 0 1925 1744 0 0 54124 7022 0 0 54128 7493 0 0 1925 0 0 392 445 473 4315 0 0 3.38202 3.38202 -120.775 -3.38202 0 0 997811. 3452.63 0.33 0.04 0.12 -1 -1 0.33 0.0105787 0.00956793 89 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 6.17 vpr 63.68 MiB -1 -1 0.10 20724 1 0.02 -1 -1 33128 -1 -1 10 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65208 30 32 222 206 1 131 72 17 17 289 -1 unnamed_device 25.2 MiB 1.57 483 63.7 MiB 0.04 0.00 1.95956 -69.6963 -1.95956 1.95956 0.89 0.000116196 9.6431e-05 0.00820239 0.00687394 36 1484 33 6.99608e+06 147157 648988. 2245.63 1.72 0.0510031 0.0435952 26050 158493 -1 1016 17 653 732 56805 15008 0 0 56805 15008 732 674 0 0 2629 2306 0 0 3962 3086 0 0 732 690 0 0 24215 4408 0 0 24535 3844 0 0 732 0 0 79 77 73 1135 0 0 2.26878 2.26878 -83.5035 -2.26878 0 0 828058. 2865.25 0.27 0.02 0.10 -1 -1 0.27 0.00679011 0.00609683 52 29 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 7.09 vpr 63.83 MiB -1 -1 0.11 20504 1 0.01 -1 -1 33352 -1 -1 13 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65360 31 32 291 243 1 171 76 17 17 289 -1 unnamed_device 25.3 MiB 1.92 909 63.8 MiB 0.04 0.00 3.02472 -102.518 -3.02472 3.02472 0.81 0.000134969 0.000110143 0.00857442 0.00719984 38 2326 34 6.99608e+06 191304 678818. 2348.85 2.31 0.0599381 0.0515467 26626 170182 -1 1976 20 1533 2147 198768 39872 0 0 198768 39872 2147 1908 0 0 6599 5844 0 0 11149 7262 0 0 2147 1926 0 0 91534 10455 0 0 85192 12477 0 0 2147 0 0 614 338 632 5131 0 0 3.54511 3.54511 -133.522 -3.54511 0 0 902133. 3121.57 0.41 0.05 0.13 -1 -1 0.41 0.0100536 0.00902911 72 30 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 8.09 vpr 64.27 MiB -1 -1 0.11 20872 1 0.01 -1 -1 33456 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65816 32 32 342 271 1 201 84 17 17 289 -1 unnamed_device 25.8 MiB 1.23 756 64.3 MiB 0.07 0.00 3.25624 -105.856 -3.25624 3.25624 0.75 0.000160156 0.000131681 0.0157108 0.0130525 50 2250 26 6.99608e+06 294314 902133. 3121.57 4.18 0.104548 0.0896886 28642 213929 -1 1904 24 1844 2561 180450 43587 0 0 180450 43587 2561 2134 0 0 8065 6862 0 0 13523 8966 0 0 2561 2207 0 0 79431 9726 0 0 74309 13692 0 0 2561 0 0 717 739 689 6860 0 0 3.8794 3.8794 -138.035 -3.8794 0 0 1.08113e+06 3740.92 0.35 0.05 0.13 -1 -1 0.35 0.013033 0.0115839 88 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 10.27 vpr 64.54 MiB -1 -1 0.12 20852 1 0.01 -1 -1 33220 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66084 32 32 372 300 1 225 80 17 17 289 -1 unnamed_device 26.0 MiB 2.15 1242 64.5 MiB 0.08 0.00 3.72134 -119.716 -3.72134 3.72134 0.74 0.000179546 0.000149578 0.016618 0.0138306 44 3292 30 6.99608e+06 235451 787024. 2723.27 4.99 0.109872 0.0941456 27778 195446 -1 2621 22 1849 2791 232222 46073 0 0 232222 46073 2791 2187 0 0 8657 7518 0 0 14868 9739 0 0 2791 2549 0 0 101743 12101 0 0 101372 11979 0 0 2791 0 0 942 1188 1168 8523 0 0 4.0571 4.0571 -142.983 -4.0571 0 0 997811. 3452.63 0.40 0.05 0.19 -1 -1 0.40 0.012987 0.0116141 100 59 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 7.59 vpr 63.60 MiB -1 -1 0.10 20328 1 0.01 -1 -1 33312 -1 -1 13 26 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65128 26 32 190 182 1 123 71 17 17 289 -1 unnamed_device 25.0 MiB 2.07 404 63.6 MiB 0.03 0.00 2.2286 -62.3611 -2.2286 2.2286 0.91 9.4222e-05 7.6378e-05 0.0069833 0.00579189 44 892 24 6.99608e+06 191304 787024. 2723.27 2.73 0.0469808 0.0396741 27778 195446 -1 648 19 546 602 29496 9375 0 0 29496 9375 602 586 0 0 2196 1934 0 0 3380 2525 0 0 602 587 0 0 10543 2215 0 0 12173 1528 0 0 602 0 0 56 43 61 874 0 0 2.13087 2.13087 -67.4058 -2.13087 0 0 997811. 3452.63 0.33 0.02 0.13 -1 -1 0.33 0.00642751 0.00577287 53 21 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 5.95 vpr 63.94 MiB -1 -1 0.11 20252 1 0.01 -1 -1 33060 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65476 32 32 285 227 1 162 79 17 17 289 -1 unnamed_device 25.5 MiB 0.87 719 63.9 MiB 0.04 0.00 3.7303 -92.7553 -3.7303 3.7303 0.85 0.000153003 0.000128519 0.00970105 0.00824004 44 2372 44 6.99608e+06 220735 787024. 2723.27 2.29 0.0616764 0.0524534 27778 195446 -1 1605 22 1165 1958 152530 33533 0 0 152530 33533 1958 1536 0 0 6093 5331 0 0 11036 7180 0 0 1958 1649 0 0 66644 8506 0 0 64841 9331 0 0 1958 0 0 793 738 887 6606 0 0 3.66741 3.66741 -116.525 -3.66741 0 0 997811. 3452.63 0.31 0.04 0.12 -1 -1 0.31 0.0102898 0.00919991 66 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 5.99 vpr 63.38 MiB -1 -1 0.10 20464 1 0.01 -1 -1 32984 -1 -1 8 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64900 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 25.0 MiB 0.17 367 63.4 MiB 0.03 0.00 1.68521 -55.2113 -1.68521 1.68521 0.87 9.5409e-05 7.6825e-05 0.0065803 0.00541323 38 1074 36 6.99608e+06 117725 678818. 2348.85 3.02 0.0454971 0.0383158 26626 170182 -1 837 15 568 636 42202 11370 0 0 42202 11370 636 612 0 0 2283 1974 0 0 3178 2518 0 0 636 618 0 0 18114 2664 0 0 17355 2984 0 0 636 0 0 68 10 72 962 0 0 1.84172 1.84172 -69.6098 -1.84172 0 0 902133. 3121.57 0.27 0.02 0.11 -1 -1 0.27 0.00533522 0.0046541 42 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 7.64 vpr 63.96 MiB -1 -1 0.10 20608 1 0.01 -1 -1 33056 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65496 32 32 300 245 1 178 78 17 17 289 -1 unnamed_device 25.4 MiB 1.05 894 64.0 MiB 0.06 0.00 3.68643 -101.798 -3.68643 3.68643 1.04 0.000150439 0.000123093 0.0142652 0.0118754 44 2236 22 6.99608e+06 206020 787024. 2723.27 3.41 0.0897009 0.076743 27778 195446 -1 1877 23 1124 1716 124445 27065 0 0 124445 27065 1716 1376 0 0 5406 4803 0 0 9043 6170 0 0 1716 1478 0 0 52478 6790 0 0 54086 6448 0 0 1716 0 0 592 578 580 4894 0 0 3.88287 3.88287 -123.468 -3.88287 0 0 997811. 3452.63 0.33 0.04 0.12 -1 -1 0.33 0.0117184 0.0104253 73 21 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 7.23 vpr 64.01 MiB -1 -1 0.10 20760 1 0.01 -1 -1 33348 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65544 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 25.4 MiB 0.46 699 64.0 MiB 0.05 0.00 2.46605 -78.7522 -2.46605 2.46605 0.77 0.000144595 0.000116008 0.0118352 0.00978792 54 1848 22 6.99608e+06 309029 949917. 3286.91 3.94 0.0953252 0.0814643 29506 232905 -1 1477 18 1168 1903 121476 30484 0 0 121476 30484 1903 1351 0 0 6040 5176 0 0 10143 6897 0 0 1903 1500 0 0 48321 7865 0 0 53166 7695 0 0 1903 0 0 735 871 753 6560 0 0 2.82232 2.82232 -97.9525 -2.82232 0 0 1.17392e+06 4061.99 0.39 0.03 0.15 -1 -1 0.39 0.0104035 0.00932158 74 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 11.05 vpr 64.09 MiB -1 -1 0.12 20700 1 0.01 -1 -1 33288 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65632 32 32 338 277 1 205 79 17 17 289 -1 unnamed_device 25.6 MiB 1.37 837 64.1 MiB 0.06 0.00 3.44978 -102.261 -3.44978 3.44978 0.80 0.000157089 0.000128862 0.014589 0.0121359 50 2945 34 6.99608e+06 220735 902133. 3121.57 6.83 0.125727 0.108101 28642 213929 -1 2219 21 1791 2764 224374 54813 0 0 224374 54813 2764 2271 0 0 8960 7842 0 0 15903 10301 0 0 2764 2389 0 0 88543 16358 0 0 105440 15652 0 0 2764 0 0 973 1151 937 8435 0 0 4.08836 4.08836 -133.311 -4.08836 0 0 1.08113e+06 3740.92 0.36 0.05 0.14 -1 -1 0.36 0.0131596 0.0117103 87 47 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 8.44 vpr 64.03 MiB -1 -1 0.11 20996 1 0.01 -1 -1 33124 -1 -1 12 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65568 32 32 284 241 1 168 76 17 17 289 -1 unnamed_device 25.6 MiB 2.16 700 64.0 MiB 0.05 0.00 2.6695 -91.049 -2.6695 2.6695 0.77 0.000132818 0.00010789 0.0113052 0.00946939 38 2330 39 6.99608e+06 176588 678818. 2348.85 3.65 0.0839941 0.0712375 26626 170182 -1 1710 20 1236 1745 137136 31052 0 0 137136 31052 1745 1536 0 0 5549 4876 0 0 8372 5953 0 0 1745 1585 0 0 58926 8426 0 0 60799 8676 0 0 1745 0 0 509 364 533 4365 0 0 3.33452 3.33452 -120.154 -3.33452 0 0 902133. 3121.57 0.28 0.04 0.11 -1 -1 0.28 0.00987435 0.00876264 69 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 12.07 vpr 63.79 MiB -1 -1 0.11 20412 1 0.01 -1 -1 33464 -1 -1 14 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65320 30 32 262 227 1 160 76 17 17 289 -1 unnamed_device 25.4 MiB 1.31 699 63.8 MiB 0.04 0.00 2.92097 -88.9275 -2.92097 2.92097 0.76 0.00012423 0.00010071 0.0105123 0.00891724 38 2347 47 6.99608e+06 206020 678818. 2348.85 8.06 0.126154 0.111405 26626 170182 -1 1690 20 1309 1988 171994 39282 0 0 171994 39282 1988 1677 0 0 6194 5527 0 0 10218 6748 0 0 1988 1719 0 0 74360 12458 0 0 77246 11153 0 0 1988 0 0 679 769 819 6099 0 0 3.65791 3.65791 -111.957 -3.65791 0 0 902133. 3121.57 0.31 0.04 0.10 -1 -1 0.31 0.0115271 0.0105124 66 29 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 5.26 vpr 63.67 MiB -1 -1 0.10 20604 1 0.01 -1 -1 33108 -1 -1 18 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65200 28 32 260 223 1 152 78 17 17 289 -1 unnamed_device 25.3 MiB 0.89 727 63.7 MiB 0.04 0.00 2.6537 -83.2658 -2.6537 2.6537 0.82 0.000122124 9.9454e-05 0.00869503 0.00727483 34 2474 23 6.99608e+06 264882 618332. 2139.56 1.65 0.0448795 0.0384867 25762 151098 -1 1862 21 1304 2000 190960 39364 0 0 190960 39364 2000 1619 0 0 6559 5765 0 0 11687 7711 0 0 2000 1725 0 0 85392 11029 0 0 83322 11515 0 0 2000 0 0 696 661 749 5896 0 0 3.46782 3.46782 -114.865 -3.46782 0 0 787024. 2723.27 0.25 0.04 0.09 -1 -1 0.25 0.00929562 0.00829886 69 27 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 6.63 vpr 63.70 MiB -1 -1 0.09 20360 1 0.01 -1 -1 33356 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65228 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 25.1 MiB 0.41 581 63.7 MiB 0.05 0.00 2.81485 -90.4049 -2.81485 2.81485 0.78 0.00012811 0.000104323 0.0118549 0.00999269 42 1937 26 6.99608e+06 147157 744469. 2576.02 3.45 0.0682051 0.0583161 27202 183097 -1 1415 24 1131 1606 132682 30177 0 0 132682 30177 1606 1322 0 0 5315 4731 0 0 9904 6557 0 0 1606 1366 0 0 58657 7571 0 0 55594 8630 0 0 1606 0 0 475 334 485 3992 0 0 3.28557 3.28557 -109.75 -3.28557 0 0 949917. 3286.91 0.29 0.03 0.11 -1 -1 0.29 0.00967159 0.00860627 58 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 5.69 vpr 63.86 MiB -1 -1 0.11 20828 1 0.01 -1 -1 33160 -1 -1 13 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65388 31 32 271 231 1 165 76 17 17 289 -1 unnamed_device 25.4 MiB 0.75 801 63.9 MiB 0.04 0.00 2.75428 -90.3488 -2.75428 2.75428 0.75 0.000130915 0.000107309 0.00913587 0.00769077 38 2377 21 6.99608e+06 191304 678818. 2348.85 2.29 0.0554736 0.0477614 26626 170182 -1 1887 18 1155 1595 133535 28816 0 0 133535 28816 1595 1358 0 0 5060 4434 0 0 8303 5621 0 0 1595 1423 0 0 59735 8019 0 0 57247 7961 0 0 1595 0 0 440 432 461 4182 0 0 2.93552 2.93552 -111.913 -2.93552 0 0 902133. 3121.57 0.27 0.03 0.12 -1 -1 0.27 0.0086147 0.00774659 69 26 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 6.80 vpr 64.27 MiB -1 -1 0.11 20580 1 0.01 -1 -1 33280 -1 -1 15 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65812 29 32 291 250 1 180 76 17 17 289 -1 unnamed_device 25.7 MiB 2.47 970 64.3 MiB 0.04 0.00 2.45385 -89.9013 -2.45385 2.45385 0.76 0.000134894 0.000110003 0.00809236 0.00680337 38 2245 23 6.99608e+06 220735 678818. 2348.85 1.38 0.0432789 0.0372158 26626 170182 -1 1852 21 1325 1738 128213 27143 0 0 128213 27143 1738 1427 0 0 5279 4544 0 0 8693 5740 0 0 1738 1480 0 0 55755 6735 0 0 55010 7217 0 0 1738 0 0 413 388 459 4060 0 0 2.59242 2.59242 -103.381 -2.59242 0 0 902133. 3121.57 0.45 0.05 0.10 -1 -1 0.45 0.0143129 0.0131721 77 48 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 7.97 vpr 64.20 MiB -1 -1 0.12 20756 1 0.01 -1 -1 33196 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65736 32 32 367 282 1 217 80 17 17 289 -1 unnamed_device 25.6 MiB 1.02 945 64.2 MiB 0.07 0.00 3.66263 -104.012 -3.66263 3.66263 0.75 0.000185228 0.00015519 0.0172284 0.0144025 40 3337 50 6.99608e+06 235451 706193. 2443.58 4.02 0.0897501 0.0773775 26914 176310 -1 2566 28 2016 3446 453491 146023 0 0 453491 146023 3446 2685 0 0 11218 9799 0 0 21977 13540 0 0 3446 2843 0 0 203359 59988 0 0 210045 57168 0 0 3446 0 0 1430 2757 2614 16515 0 0 4.18386 4.18386 -134.911 -4.18386 0 0 926341. 3205.33 0.30 0.10 0.11 -1 -1 0.30 0.0166814 0.0148453 92 26 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 8.94 vpr 64.38 MiB -1 -1 0.12 21012 1 0.01 -1 -1 33236 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65928 32 32 391 311 1 244 83 17 17 289 -1 unnamed_device 25.8 MiB 1.47 1018 64.4 MiB 0.07 0.00 3.37416 -117.445 -3.37416 3.37416 0.74 0.000193178 0.000160642 0.0170497 0.0143678 44 3593 49 6.99608e+06 279598 787024. 2723.27 4.56 0.127237 0.108762 27778 195446 -1 2419 26 2558 3827 296179 63472 0 0 296179 63472 3827 3310 0 0 11455 10170 0 0 20529 12933 0 0 3827 3506 0 0 122755 17460 0 0 133786 16093 0 0 3827 0 0 1269 1710 1682 11552 0 0 3.9345 3.9345 -147.787 -3.9345 0 0 997811. 3452.63 0.34 0.07 0.12 -1 -1 0.34 0.0168044 0.0150522 106 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 6.14 vpr 63.89 MiB -1 -1 0.11 20760 1 0.01 -1 -1 33172 -1 -1 11 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65428 31 32 279 237 1 157 74 17 17 289 -1 unnamed_device 25.4 MiB 1.15 814 63.9 MiB 0.05 0.00 2.87547 -96.8837 -2.87547 2.87547 0.82 0.00013479 0.000109708 0.0122807 0.0103005 36 2342 44 6.99608e+06 161872 648988. 2245.63 2.40 0.0557274 0.0478941 26050 158493 -1 1923 21 1393 2010 200642 38400 0 0 200642 38400 2010 1690 0 0 6134 5299 0 0 11292 6927 0 0 2010 1766 0 0 90118 11291 0 0 89078 11427 0 0 2010 0 0 617 801 887 6089 0 0 3.43981 3.43981 -123.897 -3.43981 0 0 828058. 2865.25 0.26 0.05 0.10 -1 -1 0.26 0.0107473 0.00965657 66 30 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 8.36 vpr 64.18 MiB -1 -1 0.13 21128 1 0.01 -1 -1 33228 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65720 31 32 370 297 1 226 80 17 17 289 -1 unnamed_device 25.8 MiB 1.39 947 64.2 MiB 0.07 0.00 2.98339 -99.7781 -2.98339 2.98339 0.74 0.000167251 0.000136962 0.0175909 0.0147767 46 2824 27 6.99608e+06 250167 828058. 2865.25 4.19 0.112161 0.096373 28066 200906 -1 2139 17 1659 2230 169750 38151 0 0 169750 38151 2230 1864 0 0 7028 6155 0 0 11144 7698 0 0 2230 1975 0 0 71415 10408 0 0 75703 10051 0 0 2230 0 0 571 384 652 5389 0 0 3.77847 3.77847 -129.048 -3.77847 0 0 1.01997e+06 3529.29 0.31 0.04 0.13 -1 -1 0.31 0.0108513 0.00975659 99 57 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 7.95 vpr 64.26 MiB -1 -1 0.13 20808 1 0.01 -1 -1 33412 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65804 31 32 377 302 1 235 80 17 17 289 -1 unnamed_device 25.8 MiB 1.33 1040 64.3 MiB 0.07 0.00 4.27366 -133.02 -4.27366 4.27366 0.76 0.000170776 0.000139672 0.0155559 0.01298 48 3043 28 6.99608e+06 250167 865456. 2994.66 3.82 0.112929 0.0958855 28354 207349 -1 2504 24 2516 3620 344486 69713 0 0 344486 69713 3620 3303 0 0 11517 10242 0 0 22127 13812 0 0 3620 3505 0 0 152468 18958 0 0 151134 19893 0 0 3620 0 0 1104 1062 881 8875 0 0 4.8761 4.8761 -163.906 -4.8761 0 0 1.05005e+06 3633.38 0.34 0.07 0.13 -1 -1 0.34 0.0141547 0.0126109 104 60 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 16.36 vpr 64.36 MiB -1 -1 0.13 20780 1 0.02 -1 -1 33292 -1 -1 18 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65908 31 32 383 305 1 233 81 17 17 289 -1 unnamed_device 25.9 MiB 2.82 978 64.4 MiB 0.07 0.00 4.14878 -130.965 -4.14878 4.14878 0.85 0.000168209 0.000136765 0.0164983 0.0137838 38 3709 44 6.99608e+06 264882 678818. 2348.85 10.61 0.135437 0.116474 26626 170182 -1 2667 21 2189 2958 259822 55404 0 0 259822 55404 2958 2615 0 0 9366 8095 0 0 15039 10348 0 0 2958 2634 0 0 114348 16195 0 0 115153 15517 0 0 2958 0 0 769 630 639 6688 0 0 5.06434 5.06434 -172.679 -5.06434 0 0 902133. 3121.57 0.27 0.06 0.10 -1 -1 0.27 0.0130635 0.0117218 103 60 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 17.16 vpr 64.49 MiB -1 -1 0.11 20876 1 0.01 -1 -1 33092 -1 -1 16 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66040 31 32 352 285 1 215 79 17 17 289 -1 unnamed_device 26.0 MiB 1.86 1037 64.5 MiB 0.07 0.00 3.12612 -104.757 -3.12612 3.12612 0.76 0.000175179 0.00014674 0.0161697 0.0136989 40 2918 23 6.99608e+06 235451 706193. 2443.58 12.63 0.145457 0.127245 26914 176310 -1 2446 20 1800 2434 229138 46350 0 0 229138 46350 2434 2075 0 0 8179 7176 0 0 14533 9693 0 0 2434 2180 0 0 104029 12285 0 0 97529 12941 0 0 2434 0 0 634 692 732 6114 0 0 3.67846 3.67846 -133.323 -3.67846 0 0 926341. 3205.33 0.29 0.05 0.11 -1 -1 0.29 0.0121301 0.0108954 93 51 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 6.25 vpr 64.06 MiB -1 -1 0.11 20460 1 0.01 -1 -1 33376 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65600 32 32 291 242 1 178 78 17 17 289 -1 unnamed_device 25.5 MiB 1.00 843 64.1 MiB 0.05 0.00 3.22248 -91.914 -3.22248 3.22248 0.72 0.000136042 0.000110371 0.0120337 0.0100381 40 2690 40 6.99608e+06 206020 706193. 2443.58 2.48 0.0699078 0.0595277 26914 176310 -1 2025 33 1838 2507 387391 166211 0 0 387391 166211 2507 2159 0 0 7910 6904 0 0 15226 9392 0 0 2507 2282 0 0 182782 72712 0 0 176459 72762 0 0 2507 0 0 669 813 868 6575 0 0 3.90012 3.90012 -123.018 -3.90012 0 0 926341. 3205.33 0.32 0.10 0.11 -1 -1 0.32 0.0169286 0.0151371 72 24 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 8.10 vpr 64.67 MiB -1 -1 0.12 21348 1 0.01 -1 -1 33440 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66220 32 32 457 356 1 282 85 17 17 289 -1 unnamed_device 26.2 MiB 1.23 1486 64.7 MiB 0.13 0.00 4.125 -141.675 -4.125 4.125 0.79 0.000194051 0.00015899 0.0213702 0.018469 40 4073 27 6.99608e+06 309029 706193. 2443.58 3.52 0.0999347 0.0868917 26914 176310 -1 3584 73 6103 8633 2110833 940810 0 0 2110833 940810 8633 7908 0 0 24252 21698 0 0 69667 32218 0 0 8633 8041 0 0 1026409 434351 0 0 973239 436594 0 0 8633 0 0 2530 4231 4977 27429 0 0 4.98381 4.98381 -177.227 -4.98381 0 0 926341. 3205.33 0.30 0.50 0.11 -1 -1 0.30 0.0467943 0.0408203 129 84 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 7.28 vpr 63.75 MiB -1 -1 0.11 20604 1 0.01 -1 -1 33288 -1 -1 11 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65284 31 32 261 225 1 158 74 17 17 289 -1 unnamed_device 25.4 MiB 2.82 620 63.8 MiB 0.04 0.00 2.4909 -81.579 -2.4909 2.4909 1.03 0.000126041 0.000102245 0.00866586 0.00723908 44 1714 22 6.99608e+06 161872 787024. 2723.27 1.40 0.0404383 0.0347018 27778 195446 -1 1301 20 1136 1479 87139 22140 0 0 87139 22140 1479 1214 0 0 4983 4396 0 0 7917 5803 0 0 1479 1261 0 0 37002 4278 0 0 34279 5188 0 0 1479 0 0 343 302 196 3028 0 0 2.76302 2.76302 -98.3628 -2.76302 0 0 997811. 3452.63 0.32 0.03 0.20 -1 -1 0.32 0.00880057 0.00787252 65 24 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 7.99 vpr 64.20 MiB -1 -1 0.11 20896 1 0.01 -1 -1 33396 -1 -1 15 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65736 31 32 337 267 1 200 78 17 17 289 -1 unnamed_device 25.7 MiB 0.56 970 64.2 MiB 0.06 0.00 3.70767 -116.074 -3.70767 3.70767 0.74 0.000169004 0.000140703 0.0151532 0.0125991 52 2740 42 6.99608e+06 220735 926341. 3205.33 4.56 0.115877 0.0985003 29218 227130 -1 2113 21 1619 2254 233780 47359 0 0 233780 47359 2254 1939 0 0 7117 6232 0 0 13156 8310 0 0 2254 2025 0 0 107071 14118 0 0 101928 14735 0 0 2254 0 0 635 628 691 5864 0 0 4.51461 4.51461 -141.6 -4.51461 0 0 1.14541e+06 3963.36 0.37 0.05 0.14 -1 -1 0.37 0.0119345 0.0106449 85 30 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 19.86 vpr 64.34 MiB -1 -1 0.11 20776 1 0.01 -1 -1 33180 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65884 32 32 349 284 1 213 79 17 17 289 -1 unnamed_device 25.8 MiB 1.34 1125 64.3 MiB 0.06 0.00 3.12594 -108.005 -3.12594 3.12594 0.90 0.000158972 0.000129792 0.0153997 0.0133551 38 3065 37 6.99608e+06 220735 678818. 2348.85 15.71 0.137942 0.111067 26626 170182 -1 2592 23 1620 2575 239369 47554 0 0 239369 47554 2575 2119 0 0 8178 7191 0 0 13905 9140 0 0 2575 2259 0 0 107337 13207 0 0 104799 13638 0 0 2575 0 0 955 1184 1054 8222 0 0 3.50772 3.50772 -134.404 -3.50772 0 0 902133. 3121.57 0.29 0.06 0.11 -1 -1 0.29 0.0139208 0.0124198 91 50 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 6.10 vpr 63.87 MiB -1 -1 0.12 20296 1 0.01 -1 -1 33108 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65400 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 25.3 MiB 0.79 669 63.9 MiB 0.05 0.00 3.61243 -98.5885 -3.61243 3.61243 0.78 0.000145333 0.000119271 0.0113158 0.00952585 48 2009 49 6.99608e+06 235451 865456. 2994.66 2.56 0.0811036 0.0631505 28354 207349 -1 1576 26 1281 2350 178599 42896 0 0 178599 42896 2350 1814 0 0 7513 6499 0 0 15273 9266 0 0 2350 1990 0 0 75824 11197 0 0 75289 12130 0 0 2350 0 0 1069 1375 1416 9602 0 0 3.96112 3.96112 -124.622 -3.96112 0 0 1.05005e+06 3633.38 0.33 0.04 0.14 -1 -1 0.33 0.0117286 0.0104124 68 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 7.60 vpr 64.31 MiB -1 -1 0.12 20900 1 0.00 -1 -1 33348 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65856 32 32 353 287 1 204 79 17 17 289 -1 unnamed_device 25.8 MiB 1.16 953 64.3 MiB 0.06 0.00 3.52245 -109.707 -3.52245 3.52245 0.74 0.000197284 0.000145516 0.0118521 0.0100027 44 2636 23 6.99608e+06 220735 787024. 2723.27 3.68 0.0926274 0.0791097 27778 195446 -1 2174 22 1460 1947 168777 35575 0 0 168777 35575 1947 1663 0 0 6233 5514 0 0 10144 7025 0 0 1947 1734 0 0 74421 10028 0 0 74085 9611 0 0 1947 0 0 487 609 488 4709 0 0 3.58916 3.58916 -127.339 -3.58916 0 0 997811. 3452.63 0.33 0.05 0.12 -1 -1 0.33 0.0129367 0.0115356 90 52 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 8.14 vpr 64.36 MiB -1 -1 0.12 20872 1 0.02 -1 -1 33168 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65900 32 32 361 291 1 216 79 17 17 289 -1 unnamed_device 25.8 MiB 1.41 1076 64.4 MiB 0.10 0.00 2.90529 -102.96 -2.90529 2.90529 0.86 0.000164811 0.000135169 0.0211588 0.0185498 42 3146 34 6.99608e+06 220735 744469. 2576.02 3.77 0.118261 0.10231 27202 183097 -1 2492 21 1681 2464 197593 41716 0 0 197593 41716 2464 2056 0 0 8314 7224 0 0 14122 9892 0 0 2464 2166 0 0 83525 10658 0 0 86704 9720 0 0 2464 0 0 783 1108 1184 8395 0 0 3.76811 3.76811 -136.07 -3.76811 0 0 949917. 3286.91 0.32 0.05 0.12 -1 -1 0.32 0.0127046 0.0112978 92 52 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 17.14 vpr 64.47 MiB -1 -1 0.12 20808 1 0.01 -1 -1 33208 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66016 32 32 382 305 1 237 80 17 17 289 -1 unnamed_device 25.9 MiB 2.26 1123 64.5 MiB 0.08 0.00 3.04477 -106.857 -3.04477 3.04477 0.78 0.000174544 0.000143625 0.0174951 0.0146569 46 2974 23 6.99608e+06 235451 828058. 2865.25 12.05 0.144931 0.123829 28066 200906 -1 2363 21 1835 2428 175614 37452 0 0 175614 37452 2428 2016 0 0 7718 6782 0 0 11795 8295 0 0 2428 2173 0 0 78262 9005 0 0 72983 9181 0 0 2428 0 0 593 619 632 5778 0 0 3.45281 3.45281 -131.246 -3.45281 0 0 1.01997e+06 3529.29 0.33 0.05 0.13 -1 -1 0.33 0.0140725 0.0126481 101 59 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 5.84 vpr 63.96 MiB -1 -1 0.11 20696 1 0.01 -1 -1 33232 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65492 32 32 306 248 1 178 78 17 17 289 -1 unnamed_device 25.4 MiB 0.95 764 64.0 MiB 0.04 0.00 3.71143 -99.7777 -3.71143 3.71143 0.90 0.000156983 0.000131193 0.0110446 0.0092984 46 2296 45 6.99608e+06 206020 828058. 2865.25 1.97 0.0672893 0.0576991 28066 200906 -1 1543 23 1134 1703 104699 27214 0 0 104699 27214 1703 1368 0 0 5390 4775 0 0 8250 5836 0 0 1703 1458 0 0 41291 7194 0 0 46362 6583 0 0 1703 0 0 569 638 432 4840 0 0 3.75451 3.75451 -120.393 -3.75451 0 0 1.01997e+06 3529.29 0.35 0.04 0.13 -1 -1 0.35 0.0122971 0.0109955 74 21 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 7.69 vpr 64.16 MiB -1 -1 0.11 21160 1 0.01 -1 -1 33276 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65696 32 32 319 257 1 192 77 17 17 289 -1 unnamed_device 25.7 MiB 1.84 706 64.2 MiB 0.05 0.00 3.44198 -102.523 -3.44198 3.44198 0.74 0.000146643 0.000119467 0.0115209 0.00960911 46 2679 49 6.99608e+06 191304 828058. 2865.25 2.85 0.0759911 0.0649413 28066 200906 -1 1810 28 1811 2485 204321 46603 0 0 204321 46603 2485 2167 0 0 7688 6742 0 0 13614 8667 0 0 2485 2269 0 0 81699 14167 0 0 96350 12591 0 0 2485 0 0 674 702 661 5912 0 0 4.24601 4.24601 -128.755 -4.24601 0 0 1.01997e+06 3529.29 0.35 0.05 0.13 -1 -1 0.35 0.014796 0.0131093 81 26 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 6.08 vpr 64.38 MiB -1 -1 0.12 20732 1 0.01 -1 -1 33232 -1 -1 16 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65924 31 32 373 299 1 224 79 17 17 289 -1 unnamed_device 26.0 MiB 0.81 1122 64.4 MiB 0.07 0.00 3.56031 -113.625 -3.56031 3.56031 0.78 0.000184257 0.000154339 0.0147286 0.0124811 44 3411 28 6.99608e+06 235451 787024. 2723.27 2.35 0.0753508 0.065558 27778 195446 -1 2662 24 2046 3167 281574 56275 0 0 281574 56275 3167 2666 0 0 10004 8802 0 0 16820 11344 0 0 3167 2763 0 0 121958 16114 0 0 126458 14586 0 0 3167 0 0 1121 1389 1524 10081 0 0 4.20995 4.20995 -146.527 -4.20995 0 0 997811. 3452.63 0.32 0.06 0.12 -1 -1 0.32 0.0139655 0.0124154 99 58 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 6.14 vpr 64.58 MiB -1 -1 0.12 20764 1 0.01 -1 -1 32932 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66132 32 32 387 315 1 241 80 17 17 289 -1 unnamed_device 26.0 MiB 0.88 1112 64.6 MiB 0.08 0.00 3.36392 -112.351 -3.36392 3.36392 0.72 0.000194149 0.0001626 0.0180091 0.0152435 48 3244 28 6.99608e+06 235451 865456. 2994.66 2.21 0.0819099 0.0704621 28354 207349 -1 2732 24 2349 3444 311833 64596 0 0 311833 64596 3444 2724 0 0 11193 9897 0 0 20371 13515 0 0 3444 2997 0 0 132761 18635 0 0 140620 16828 0 0 3444 0 0 1095 1016 1083 9078 0 0 3.89412 3.89412 -138.998 -3.89412 0 0 1.05005e+06 3633.38 0.42 0.07 0.18 -1 -1 0.42 0.0162268 0.0145458 104 74 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 10.72 vpr 63.64 MiB -1 -1 0.10 20524 1 0.01 -1 -1 33300 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65164 32 32 251 219 1 152 74 17 17 289 -1 unnamed_device 25.1 MiB 0.50 652 63.6 MiB 0.04 0.00 2.58978 -78.8686 -2.58978 2.58978 0.75 0.00012458 0.000101121 0.00909318 0.00755958 42 1935 29 6.99608e+06 147157 744469. 2576.02 7.51 0.0812337 0.0688003 27202 183097 -1 1466 20 1085 1507 107073 26761 0 0 107073 26761 1507 1249 0 0 5207 4530 0 0 8804 6182 0 0 1507 1326 0 0 41230 6936 0 0 48818 6538 0 0 1507 0 0 422 349 467 3833 0 0 3.23357 3.23357 -100.069 -3.23357 0 0 949917. 3286.91 0.31 0.03 0.12 -1 -1 0.31 0.00871086 0.00779835 60 20 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 6.35 vpr 64.16 MiB -1 -1 0.11 20948 1 0.01 -1 -1 33224 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65704 32 32 341 285 1 214 79 17 17 289 -1 unnamed_device 25.7 MiB 0.83 970 64.2 MiB 0.06 0.00 3.31348 -119.997 -3.31348 3.31348 0.78 0.00017027 0.000142356 0.0132759 0.0112497 38 3566 34 6.99608e+06 220735 678818. 2348.85 2.70 0.0624396 0.0533437 26626 170182 -1 2791 20 2260 3077 341425 67678 0 0 341425 67678 3077 2845 0 0 9331 8301 0 0 15088 9920 0 0 3077 2897 0 0 153138 22641 0 0 157714 21074 0 0 3077 0 0 817 967 872 7367 0 0 4.42155 4.42155 -162.962 -4.42155 0 0 902133. 3121.57 0.28 0.06 0.10 -1 -1 0.28 0.0119545 0.0107512 93 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 8.85 vpr 64.34 MiB -1 -1 0.12 20756 1 0.02 -1 -1 33272 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65888 32 32 387 293 1 226 80 17 17 289 -1 unnamed_device 25.9 MiB 1.09 1157 64.3 MiB 0.08 0.00 3.99514 -125.321 -3.99514 3.99514 0.76 0.000178693 0.000146518 0.0182918 0.0152609 50 2856 29 6.99608e+06 235451 902133. 3121.57 4.78 0.150539 0.131085 28642 213929 -1 2326 21 2027 3106 320330 69780 0 0 320330 69780 3106 2453 0 0 10141 8873 0 0 20062 11973 0 0 3106 2701 0 0 141148 21981 0 0 142767 21799 0 0 3106 0 0 1079 952 1131 8892 0 0 4.51586 4.51586 -150.83 -4.51586 0 0 1.08113e+06 3740.92 0.40 0.07 0.13 -1 -1 0.40 0.0145594 0.0130788 98 28 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 13.39 vpr 64.00 MiB -1 -1 0.11 20776 1 0.01 -1 -1 33164 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65536 32 32 340 270 1 203 79 17 17 289 -1 unnamed_device 25.6 MiB 0.56 1018 64.0 MiB 0.06 0.00 3.52245 -120.884 -3.52245 3.52245 0.75 0.000174851 0.000145246 0.0115386 0.00968754 36 3140 41 6.99608e+06 220735 648988. 2245.63 10.13 0.119188 0.102727 26050 158493 -1 2507 20 1767 2409 225636 45235 0 0 225636 45235 2409 2074 0 0 7560 6620 0 0 12726 8535 0 0 2409 2154 0 0 99139 13232 0 0 101393 12620 0 0 2409 0 0 642 750 767 6212 0 0 3.83976 3.83976 -147.854 -3.83976 0 0 828058. 2865.25 0.28 0.05 0.10 -1 -1 0.28 0.0117256 0.0105002 85 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 8.42 vpr 63.84 MiB -1 -1 0.10 20952 1 0.01 -1 -1 33192 -1 -1 20 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65376 30 32 278 235 1 166 82 17 17 289 -1 unnamed_device 25.4 MiB 1.13 659 63.8 MiB 0.05 0.00 3.02694 -91.1422 -3.02694 3.02694 0.75 0.000133937 0.000109188 0.00988551 0.00820782 40 2078 33 6.99608e+06 294314 706193. 2443.58 4.66 0.109063 0.0954468 26914 176310 -1 1695 18 1206 1796 158240 37125 0 0 158240 37125 1796 1427 0 0 6146 5369 0 0 10691 7270 0 0 1796 1543 0 0 69131 10440 0 0 68680 11076 0 0 1796 0 0 590 724 745 5865 0 0 3.66766 3.66766 -121.477 -3.66766 0 0 926341. 3205.33 0.29 0.04 0.11 -1 -1 0.29 0.00862928 0.00773401 72 29 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 7.86 vpr 64.72 MiB -1 -1 0.12 21336 1 0.02 -1 -1 33284 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66276 32 32 431 332 1 261 82 17 17 289 -1 unnamed_device 26.1 MiB 1.52 1458 64.7 MiB 0.09 0.00 4.69828 -148.633 -4.69828 4.69828 0.74 0.000189621 0.00015595 0.0198068 0.0165205 44 4094 42 6.99608e+06 264882 787024. 2723.27 3.30 0.100003 0.0863149 27778 195446 -1 3214 21 2468 3773 362365 69797 0 0 362365 69797 3773 3103 0 0 11537 10298 0 0 21844 13421 0 0 3773 3372 0 0 162805 19457 0 0 158633 20146 0 0 3773 0 0 1305 1472 1628 11540 0 0 5.28929 5.28929 -180.23 -5.28929 0 0 997811. 3452.63 0.52 0.07 0.12 -1 -1 0.52 0.015401 0.0138476 116 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 7.21 vpr 64.34 MiB -1 -1 0.11 20892 1 0.01 -1 -1 33136 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65880 32 32 336 268 1 199 78 17 17 289 -1 unnamed_device 25.8 MiB 0.55 867 64.3 MiB 0.08 0.00 3.85334 -116.528 -3.85334 3.85334 0.75 0.000163116 0.000133344 0.02004 0.017541 42 2760 36 6.99608e+06 206020 744469. 2576.02 3.84 0.105683 0.0907944 27202 183097 -1 1980 21 1651 2264 199913 42337 0 0 199913 42337 2264 1872 0 0 7412 6432 0 0 12823 8657 0 0 2264 1954 0 0 85469 12287 0 0 89681 11135 0 0 2264 0 0 613 843 788 6164 0 0 4.05242 4.05242 -138.272 -4.05242 0 0 949917. 3286.91 0.31 0.05 0.12 -1 -1 0.31 0.0123024 0.0110484 83 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 4.34 vpr 63.53 MiB -1 -1 0.10 20384 1 0.01 -1 -1 32992 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65056 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 25.1 MiB 0.20 652 63.5 MiB 0.04 0.00 2.4029 -78.4802 -2.4029 2.4029 0.77 0.000115814 9.4603e-05 0.00827789 0.00693677 38 1845 21 6.99608e+06 191304 678818. 2348.85 1.40 0.037735 0.0323466 26626 170182 -1 1622 18 945 1497 125662 26597 0 0 125662 26597 1497 1101 0 0 4705 4064 0 0 7770 5163 0 0 1497 1213 0 0 55492 7574 0 0 54701 7482 0 0 1497 0 0 552 732 740 5137 0 0 3.05697 3.05697 -104.423 -3.05697 0 0 902133. 3121.57 0.28 0.03 0.10 -1 -1 0.28 0.0075976 0.00679926 51 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 14.64 vpr 64.33 MiB -1 -1 0.11 20868 1 0.02 -1 -1 33288 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65876 32 32 349 273 1 207 80 17 17 289 -1 unnamed_device 25.8 MiB 1.19 948 64.3 MiB 0.07 0.00 4.00152 -109.55 -4.00152 4.00152 0.92 0.000162034 0.000132889 0.0177867 0.0149721 44 3107 50 6.99608e+06 235451 787024. 2723.27 10.60 0.130155 0.111801 27778 195446 -1 2134 23 1607 2717 208533 46608 0 0 208533 46608 2717 2068 0 0 8282 7290 0 0 15459 9818 0 0 2717 2237 0 0 88142 12408 0 0 91216 12787 0 0 2717 0 0 1110 1898 2002 12677 0 0 4.50986 4.50986 -136.938 -4.50986 0 0 997811. 3452.63 0.34 0.05 0.12 -1 -1 0.34 0.0132466 0.0118235 85 26 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 5.53 vpr 63.80 MiB -1 -1 0.10 20316 1 0.01 -1 -1 33152 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65332 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 25.2 MiB 0.97 483 63.8 MiB 0.04 0.00 2.5722 -81.3565 -2.5722 2.5722 0.75 0.000136203 0.000113616 0.00929907 0.00788318 40 1647 31 6.99608e+06 206020 706193. 2443.58 1.82 0.0554799 0.0479124 26914 176310 -1 1399 24 1326 1924 172945 42073 0 0 172945 42073 1924 1600 0 0 6286 5436 0 0 11244 7426 0 0 1924 1665 0 0 77437 12089 0 0 74130 13857 0 0 1924 0 0 598 763 652 5405 0 0 3.21227 3.21227 -114.591 -3.21227 0 0 926341. 3205.33 0.29 0.04 0.11 -1 -1 0.29 0.00952735 0.00844536 57 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 10.65 vpr 63.84 MiB -1 -1 0.11 20604 1 0.00 -1 -1 33228 -1 -1 13 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65376 30 32 278 235 1 170 75 17 17 289 -1 unnamed_device 25.4 MiB 0.54 687 63.8 MiB 0.10 0.00 3.03377 -95.0567 -3.03377 3.03377 0.90 0.000168532 0.000140512 0.0172088 0.0146097 38 2272 40 6.99608e+06 191304 678818. 2348.85 7.04 0.108321 0.0876448 26626 170182 -1 1692 19 1255 1668 125047 28625 0 0 125047 28625 1668 1426 0 0 5192 4580 0 0 8143 5589 0 0 1668 1474 0 0 52201 8043 0 0 56175 7513 0 0 1668 0 0 413 413 451 4093 0 0 3.34751 3.34751 -116.374 -3.34751 0 0 902133. 3121.57 0.35 0.05 0.18 -1 -1 0.35 0.0183222 0.0173694 69 29 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 8.66 vpr 64.15 MiB -1 -1 0.13 20988 1 0.01 -1 -1 33212 -1 -1 18 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65692 29 32 355 287 1 213 79 17 17 289 -1 unnamed_device 25.6 MiB 1.53 1017 64.2 MiB 0.04 0.00 3.40046 -105.096 -3.40046 3.40046 0.93 0.0001713 0.000136885 0.00918444 0.00752036 40 3065 26 6.99608e+06 264882 706193. 2443.58 4.05 0.117606 0.103632 26914 176310 -1 2655 30 2446 3577 515848 159171 0 0 515848 159171 3577 2994 0 0 11519 9853 0 0 24364 14340 0 0 3577 3132 0 0 233527 66901 0 0 239284 61951 0 0 3577 0 0 1131 1301 1250 9633 0 0 4.1569 4.1569 -141.868 -4.1569 0 0 926341. 3205.33 0.35 0.11 0.11 -1 -1 0.35 0.0159218 0.014057 97 56 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 7.83 vpr 64.37 MiB -1 -1 0.11 20728 1 0.01 -1 -1 33208 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65916 32 32 358 289 1 217 79 17 17 289 -1 unnamed_device 25.8 MiB 1.29 1094 64.4 MiB 0.05 0.00 3.50518 -117.692 -3.50518 3.50518 0.73 0.000177927 0.000148494 0.0119145 0.0100135 46 2656 21 6.99608e+06 220735 828058. 2865.25 3.61 0.0906701 0.0776194 28066 200906 -1 2226 18 1527 2094 141280 30536 0 0 141280 30536 2094 1709 0 0 6407 5619 0 0 9778 6744 0 0 2094 1815 0 0 59942 7455 0 0 60965 7194 0 0 2094 0 0 567 508 555 4974 0 0 4.12191 4.12191 -148.239 -4.12191 0 0 1.01997e+06 3529.29 0.36 0.04 0.14 -1 -1 0.36 0.0118593 0.0107291 93 51 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 8.54 vpr 64.27 MiB -1 -1 0.11 20748 1 0.01 -1 -1 33272 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65816 32 32 353 285 1 213 79 17 17 289 -1 unnamed_device 25.7 MiB 2.20 1136 64.3 MiB 0.06 0.00 3.79817 -125.159 -3.79817 3.79817 0.94 0.0001624 0.000132289 0.0145297 0.0121763 44 2892 24 6.99608e+06 220735 787024. 2723.27 3.47 0.0955266 0.0821832 27778 195446 -1 2372 20 1508 2200 182481 42644 0 0 182481 42644 2200 1845 0 0 6948 6127 0 0 11882 7980 0 0 2200 1919 0 0 82898 12017 0 0 76353 12756 0 0 2200 0 0 692 675 738 6049 0 0 4.23631 4.23631 -147.387 -4.23631 0 0 997811. 3452.63 0.34 0.05 0.12 -1 -1 0.34 0.0126393 0.0113706 90 48 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 9.18 vpr 64.05 MiB -1 -1 0.12 20488 1 0.01 -1 -1 33368 -1 -1 11 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65588 32 32 276 237 1 163 75 17 17 289 -1 unnamed_device 25.6 MiB 1.81 846 64.1 MiB 0.07 0.00 3.18112 -104.147 -3.18112 3.18112 0.84 0.00014469 0.000119451 0.012921 0.0109767 48 2063 22 6.99608e+06 161872 865456. 2994.66 4.16 0.0784998 0.0672998 28354 207349 -1 1731 20 1134 1473 123440 25664 0 0 123440 25664 1473 1332 0 0 4848 4207 0 0 8224 5521 0 0 1473 1396 0 0 52817 6766 0 0 54605 6442 0 0 1473 0 0 339 187 336 3047 0 0 3.23226 3.23226 -117.346 -3.23226 0 0 1.05005e+06 3633.38 0.36 0.03 0.13 -1 -1 0.36 0.00984303 0.00888541 67 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 7.47 vpr 64.11 MiB -1 -1 0.12 20636 1 0.01 -1 -1 33004 -1 -1 14 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65652 31 32 319 272 1 200 77 17 17 289 -1 unnamed_device 25.6 MiB 0.83 790 64.1 MiB 0.05 0.00 3.00077 -96.7127 -3.00077 3.00077 0.74 0.000151209 0.000125303 0.0102058 0.00864955 48 2042 26 6.99608e+06 206020 865456. 2994.66 3.73 0.0894451 0.0778493 28354 207349 -1 1629 22 1606 2245 158572 37768 0 0 158572 37768 2245 1793 0 0 7575 6558 0 0 13607 9056 0 0 2245 1867 0 0 66070 9153 0 0 66830 9341 0 0 2245 0 0 639 608 448 5218 0 0 3.11221 3.11221 -118.338 -3.11221 0 0 1.05005e+06 3633.38 0.35 0.04 0.13 -1 -1 0.35 0.0118903 0.0106258 86 60 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 7.44 vpr 64.27 MiB -1 -1 0.11 21020 1 0.01 -1 -1 33368 -1 -1 19 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65808 30 32 329 273 1 202 81 17 17 289 -1 unnamed_device 25.8 MiB 1.10 841 64.3 MiB 0.05 0.00 2.70194 -87.7568 -2.70194 2.70194 0.77 0.000152833 0.000123121 0.0104871 0.00871706 46 2378 26 6.99608e+06 279598 828058. 2865.25 3.48 0.0815124 0.069603 28066 200906 -1 1876 21 1360 1972 146878 32414 0 0 146878 32414 1972 1542 0 0 6500 5702 0 0 10490 7346 0 0 1972 1632 0 0 63092 8214 0 0 62852 7978 0 0 1972 0 0 612 949 793 6750 0 0 3.19951 3.19951 -115.274 -3.19951 0 0 1.01997e+06 3529.29 0.32 0.04 0.12 -1 -1 0.32 0.0113939 0.0101888 91 52 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 6.01 vpr 63.90 MiB -1 -1 0.11 20500 1 0.02 -1 -1 33156 -1 -1 17 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65432 28 32 277 229 1 170 77 17 17 289 -1 unnamed_device 25.4 MiB 0.43 857 63.9 MiB 0.06 0.00 3.06285 -91.2465 -3.06285 3.06285 0.75 0.000143248 0.000119769 0.0133615 0.0113196 38 2320 34 6.99608e+06 250167 678818. 2348.85 2.94 0.0655008 0.0559041 26626 170182 -1 1876 23 1407 2219 178234 36014 0 0 178234 36014 2219 1692 0 0 6622 5777 0 0 11428 7288 0 0 2219 1844 0 0 80337 9505 0 0 75409 9908 0 0 2219 0 0 812 1096 970 7845 0 0 3.74996 3.74996 -115.267 -3.74996 0 0 902133. 3121.57 0.30 0.04 0.11 -1 -1 0.30 0.0109645 0.00969909 71 20 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 9.42 vpr 64.49 MiB -1 -1 0.12 21068 1 0.01 -1 -1 33112 -1 -1 15 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66036 30 32 317 269 1 200 77 17 17 289 -1 unnamed_device 26.0 MiB 1.75 824 64.5 MiB 0.05 0.00 3.54051 -110.83 -3.54051 3.54051 0.79 0.000142451 0.000115646 0.0114819 0.0096132 46 2359 26 6.99608e+06 220735 828058. 2865.25 4.79 0.119645 0.104998 28066 200906 -1 1834 21 1600 2170 166961 36528 0 0 166961 36528 2170 1850 0 0 6707 5853 0 0 10712 7333 0 0 2170 1923 0 0 70471 9872 0 0 74731 9697 0 0 2170 0 0 570 553 501 5026 0 0 3.96755 3.96755 -139.156 -3.96755 0 0 1.01997e+06 3529.29 0.34 0.04 0.13 -1 -1 0.34 0.0105664 0.0094416 87 58 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 5.21 vpr 64.12 MiB -1 -1 0.11 20936 1 0.01 -1 -1 33180 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65664 32 32 335 282 1 216 78 17 17 289 -1 unnamed_device 25.6 MiB 0.84 958 64.1 MiB 0.06 0.00 2.7677 -100.015 -2.7677 2.7677 0.75 0.000148925 0.000121038 0.0118615 0.00983105 44 2909 39 6.99608e+06 206020 787024. 2723.27 1.71 0.0608559 0.0525676 27778 195446 -1 2150 21 1839 2550 217735 44385 0 0 217735 44385 2550 2164 0 0 7988 6965 0 0 13562 9165 0 0 2550 2265 0 0 97485 11628 0 0 93600 12198 0 0 2550 0 0 711 713 578 5974 0 0 3.13897 3.13897 -123.65 -3.13897 0 0 997811. 3452.63 0.31 0.05 0.12 -1 -1 0.31 0.0112517 0.0100712 93 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 5.54 vpr 63.94 MiB -1 -1 0.11 20588 1 0.01 -1 -1 33116 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65472 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 25.5 MiB 0.36 748 63.9 MiB 0.10 0.00 3.86008 -100.236 -3.86008 3.86008 0.74 0.000149163 0.000122593 0.00906277 0.00767281 44 2630 31 6.99608e+06 353176 787024. 2723.27 2.25 0.0633373 0.0549279 27778 195446 -1 1634 21 1010 1790 129860 29007 0 0 129860 29007 1790 1315 0 0 5747 4946 0 0 9799 6638 0 0 1790 1466 0 0 55660 7091 0 0 55074 7551 0 0 1790 0 0 780 830 848 6678 0 0 3.66462 3.66462 -115.228 -3.66462 0 0 997811. 3452.63 0.31 0.08 0.12 -1 -1 0.31 0.0107616 0.00965976 74 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 19.80 vpr 64.38 MiB -1 -1 0.11 20860 1 0.01 -1 -1 33312 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65924 32 32 350 275 1 202 78 17 17 289 -1 unnamed_device 25.9 MiB 1.87 988 64.4 MiB 0.06 0.00 3.51478 -119.446 -3.51478 3.51478 0.77 0.000185128 0.000145702 0.0128113 0.0107188 38 3476 41 6.99608e+06 206020 678818. 2348.85 15.14 0.139691 0.119305 26626 170182 -1 2754 20 1901 2825 263992 52486 0 0 263992 52486 2825 2384 0 0 8337 7351 0 0 14083 8950 0 0 2825 2468 0 0 119280 15821 0 0 116642 15512 0 0 2825 0 0 924 908 808 7313 0 0 4.05335 4.05335 -151.388 -4.05335 0 0 902133. 3121.57 0.28 0.05 0.10 -1 -1 0.28 0.0117155 0.0105194 86 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 8.07 vpr 64.34 MiB -1 -1 0.11 20884 1 0.01 -1 -1 33164 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65884 32 32 385 308 1 237 81 17 17 289 -1 unnamed_device 25.8 MiB 0.66 1069 64.3 MiB 0.06 0.00 4.0077 -127.842 -4.0077 4.0077 0.75 0.000178567 0.000146334 0.0136556 0.0115502 48 3126 34 6.99608e+06 250167 865456. 2994.66 4.49 0.121939 0.10387 28354 207349 -1 2566 24 2423 3438 355314 76380 0 0 355314 76380 3438 3140 0 0 11390 10029 0 0 21197 13601 0 0 3438 3245 0 0 157963 22803 0 0 157888 23562 0 0 3438 0 0 1015 1267 1313 9589 0 0 5.11734 5.11734 -173.586 -5.11734 0 0 1.05005e+06 3633.38 0.36 0.08 0.13 -1 -1 0.36 0.0157999 0.0140614 102 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 20.12 vpr 64.29 MiB -1 -1 0.12 20956 1 0.02 -1 -1 33388 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65836 32 32 387 309 1 244 81 17 17 289 -1 unnamed_device 25.8 MiB 0.75 1098 64.3 MiB 0.07 0.00 3.47616 -116.907 -3.47616 3.47616 0.80 0.000173441 0.000141612 0.0156652 0.0131243 46 3921 29 6.99608e+06 250167 828058. 2865.25 16.53 0.147753 0.127312 28066 200906 -1 2737 22 2162 3183 282249 57690 0 0 282249 57690 3183 2762 0 0 9655 8569 0 0 16398 10615 0 0 3183 2963 0 0 121726 16725 0 0 128104 16056 0 0 3183 0 0 1021 1284 1104 9138 0 0 3.7919 3.7919 -143.724 -3.7919 0 0 1.01997e+06 3529.29 0.34 0.06 0.12 -1 -1 0.34 0.0141874 0.0126768 104 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 9.00 vpr 64.07 MiB -1 -1 0.11 20652 1 0.02 -1 -1 33268 -1 -1 13 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65608 30 32 272 232 1 171 75 17 17 289 -1 unnamed_device 25.6 MiB 0.95 592 64.1 MiB 0.04 0.00 3.63675 -103.141 -3.63675 3.63675 0.80 0.000131779 0.000107291 0.00980549 0.00832751 48 1886 27 6.99608e+06 191304 865456. 2994.66 5.40 0.087836 0.0755018 28354 207349 -1 1516 25 1265 1832 161315 40431 0 0 161315 40431 1832 1541 0 0 6155 5380 0 0 11149 7262 0 0 1832 1612 0 0 71424 11774 0 0 68923 12862 0 0 1832 0 0 567 607 499 4683 0 0 3.49286 3.49286 -118.503 -3.49286 0 0 1.05005e+06 3633.38 0.34 0.04 0.13 -1 -1 0.34 0.0101222 0.0089758 71 29 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 8.58 vpr 64.59 MiB -1 -1 0.12 20788 1 0.02 -1 -1 33376 -1 -1 18 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66144 30 32 375 299 1 233 80 17 17 289 -1 unnamed_device 25.9 MiB 0.85 898 64.6 MiB 0.05 0.00 4.22166 -128.126 -4.22166 4.22166 0.83 0.000182255 0.000152348 0.0133138 0.0112554 52 2620 24 6.99608e+06 264882 926341. 3205.33 4.61 0.125873 0.107181 29218 227130 -1 1953 21 2203 3045 222214 54663 0 0 222214 54663 3045 2470 0 0 9909 8804 0 0 17633 11758 0 0 3045 2599 0 0 91597 14282 0 0 96985 14750 0 0 3045 0 0 842 935 641 7307 0 0 4.67321 4.67321 -156.263 -4.67321 0 0 1.14541e+06 3963.36 0.37 0.05 0.14 -1 -1 0.37 0.0136432 0.0122537 104 58 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 7.09 vpr 64.32 MiB -1 -1 0.12 20748 1 0.01 -1 -1 33080 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65868 32 32 340 270 1 197 78 17 17 289 -1 unnamed_device 25.8 MiB 1.04 846 64.3 MiB 0.06 0.00 3.85334 -114.876 -3.85334 3.85334 0.76 0.000155827 0.000127255 0.0136191 0.0113341 44 2996 37 6.99608e+06 206020 787024. 2723.27 3.02 0.0770488 0.0667515 27778 195446 -1 2134 24 1657 2630 240366 52726 0 0 240366 52726 2630 2171 0 0 7998 7144 0 0 14923 9251 0 0 2630 2287 0 0 101234 16405 0 0 110951 15468 0 0 2630 0 0 973 1355 1658 10386 0 0 4.44396 4.44396 -140.187 -4.44396 0 0 997811. 3452.63 0.36 0.06 0.15 -1 -1 0.36 0.0142678 0.0127771 82 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 9.90 vpr 64.41 MiB -1 -1 0.12 20976 1 0.01 -1 -1 33140 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65952 31 32 340 275 1 199 80 17 17 289 -1 unnamed_device 25.9 MiB 1.07 824 64.4 MiB 0.05 0.00 4.27285 -115.768 -4.27285 4.27285 0.76 0.000155375 0.000127259 0.0116447 0.0096878 46 2438 49 6.99608e+06 250167 828058. 2865.25 6.04 0.112906 0.0965649 28066 200906 -1 1762 21 1302 1851 127804 29793 0 0 127804 29793 1851 1579 0 0 5854 5009 0 0 9417 6379 0 0 1851 1600 0 0 52730 7714 0 0 56101 7512 0 0 1851 0 0 549 479 490 4763 0 0 4.54491 4.54491 -139.792 -4.54491 0 0 1.01997e+06 3529.29 0.34 0.04 0.14 -1 -1 0.34 0.0123339 0.0110743 87 43 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 9.01 vpr 64.46 MiB -1 -1 0.13 20808 1 0.01 -1 -1 33024 -1 -1 20 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66012 30 32 377 310 1 234 82 17 17 289 -1 unnamed_device 26.0 MiB 1.91 1045 64.5 MiB 0.08 0.00 3.44926 -107.329 -3.44926 3.44926 0.75 0.000166944 0.000137134 0.0169252 0.0142444 44 3462 46 6.99608e+06 294314 787024. 2723.27 4.31 0.114551 0.0975981 27778 195446 -1 2361 23 2321 3226 263045 56108 0 0 263045 56108 3226 2765 0 0 10080 8901 0 0 17691 11732 0 0 3226 2988 0 0 113097 15409 0 0 115725 14313 0 0 3226 0 0 905 1055 1181 8841 0 0 3.815 3.815 -138.995 -3.815 0 0 997811. 3452.63 0.31 0.06 0.12 -1 -1 0.31 0.0138439 0.0123565 108 78 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 14.45 vpr 64.34 MiB -1 -1 0.12 21136 1 0.01 -1 -1 33172 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65880 32 32 365 294 1 223 81 17 17 289 -1 unnamed_device 26.0 MiB 1.67 1271 64.3 MiB 0.08 0.00 3.75306 -124.358 -3.75306 3.75306 0.74 0.000163436 0.00013386 0.0183306 0.0153517 44 3123 28 6.99608e+06 250167 787024. 2723.27 9.77 0.128283 0.109843 27778 195446 -1 2509 20 1906 2810 242995 47758 0 0 242995 47758 2810 2397 0 0 8762 7717 0 0 15992 10129 0 0 2810 2525 0 0 106191 12468 0 0 106430 12522 0 0 2810 0 0 904 965 767 7578 0 0 4.2911 4.2911 -152.981 -4.2911 0 0 997811. 3452.63 0.54 0.05 0.12 -1 -1 0.54 0.0123502 0.0110888 95 54 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 14.03 vpr 64.53 MiB -1 -1 0.12 21084 1 0.01 -1 -1 33152 -1 -1 20 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66076 29 32 378 310 1 237 81 17 17 289 -1 unnamed_device 26.0 MiB 2.42 1165 64.5 MiB 0.05 0.00 3.11675 -104.42 -3.11675 3.11675 0.77 0.000163724 0.000134071 0.0109098 0.00916576 38 3394 50 6.99608e+06 294314 678818. 2348.85 8.79 0.123568 0.104761 26626 170182 -1 2604 24 2183 2840 247688 50633 0 0 247688 50633 2840 2463 0 0 8999 7885 0 0 14006 9911 0 0 2840 2524 0 0 108628 14695 0 0 110375 13155 0 0 2840 0 0 657 690 691 6328 0 0 3.86321 3.86321 -133.57 -3.86321 0 0 902133. 3121.57 0.30 0.06 0.10 -1 -1 0.30 0.0151752 0.0135028 109 79 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 7.31 vpr 63.78 MiB -1 -1 0.11 20688 1 0.01 -1 -1 33140 -1 -1 10 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65312 32 32 243 205 1 140 74 17 17 289 -1 unnamed_device 25.3 MiB 1.10 624 63.8 MiB 0.04 0.00 2.91658 -85.962 -2.91658 2.91658 0.81 0.000122859 9.9949e-05 0.00956497 0.00791397 42 1906 25 6.99608e+06 147157 744469. 2576.02 3.64 0.0620288 0.0525282 27202 183097 -1 1479 19 1076 1649 130689 27340 0 0 130689 27340 1649 1372 0 0 5001 4318 0 0 8616 5514 0 0 1649 1428 0 0 56555 7504 0 0 57219 7204 0 0 1649 0 0 573 601 638 4747 0 0 2.80307 2.80307 -102.418 -2.80307 0 0 949917. 3286.91 0.30 0.03 0.12 -1 -1 0.30 0.00824825 0.00742181 54 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 8.05 vpr 64.61 MiB -1 -1 0.12 20604 1 0.01 -1 -1 33252 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66156 32 32 373 302 1 234 81 17 17 289 -1 unnamed_device 26.0 MiB 0.58 1079 64.6 MiB 0.07 0.00 4.21916 -133.906 -4.21916 4.21916 0.75 0.000165976 0.000134514 0.0162888 0.0135282 54 2682 24 6.99608e+06 250167 949917. 3286.91 4.46 0.101583 0.0863971 29506 232905 -1 2180 22 1610 2290 228818 46542 0 0 228818 46542 2290 1969 0 0 7430 6558 0 0 12649 8390 0 0 2290 2030 0 0 103173 13773 0 0 100986 13822 0 0 2290 0 0 680 891 641 6304 0 0 4.5329 4.5329 -153.619 -4.5329 0 0 1.17392e+06 4061.99 0.39 0.07 0.15 -1 -1 0.39 0.0152435 0.0136867 100 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 8.43 vpr 64.50 MiB -1 -1 0.12 20932 1 0.01 -1 -1 33200 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66048 32 32 397 314 1 249 81 17 17 289 -1 unnamed_device 25.9 MiB 0.93 1038 64.5 MiB 0.06 0.00 4.125 -136.873 -4.125 4.125 0.74 0.000201385 0.000168426 0.0150103 0.0126483 48 3361 26 6.99608e+06 250167 865456. 2994.66 4.62 0.115001 0.0983437 28354 207349 -1 2805 21 2502 3542 374480 77387 0 0 374480 77387 3542 3240 0 0 11195 9903 0 0 20704 13064 0 0 3542 3336 0 0 155207 25811 0 0 180290 22033 0 0 3542 0 0 1040 1134 1095 8991 0 0 5.31761 5.31761 -178.075 -5.31761 0 0 1.05005e+06 3633.38 0.35 0.07 0.13 -1 -1 0.35 0.0145702 0.0130686 109 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 5.65 vpr 64.04 MiB -1 -1 0.10 20524 1 0.01 -1 -1 33072 -1 -1 11 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65580 32 32 269 231 1 168 75 17 17 289 -1 unnamed_device 25.6 MiB 0.87 782 64.0 MiB 0.05 0.00 3.03397 -94.935 -3.03397 3.03397 1.20 0.00014035 0.000116734 0.0125248 0.0105181 36 2278 38 6.99608e+06 161872 648988. 2245.63 1.75 0.0538771 0.0463553 26050 158493 -1 1728 17 1121 1404 119360 27231 0 0 119360 27231 1404 1238 0 0 4519 3860 0 0 7285 5028 0 0 1404 1299 0 0 52357 7930 0 0 52391 7876 0 0 1404 0 0 283 176 269 2734 0 0 3.17121 3.17121 -112.63 -3.17121 0 0 828058. 2865.25 0.27 0.03 0.10 -1 -1 0.27 0.00884542 0.00799768 69 26 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 4.81 vpr 63.79 MiB -1 -1 0.10 20324 1 0.01 -1 -1 33308 -1 -1 13 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65324 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 25.3 MiB 0.44 520 63.8 MiB 0.04 0.00 2.78823 -83.3973 -2.78823 2.78823 0.81 0.000121754 9.8592e-05 0.00892414 0.0073899 42 1974 25 6.99608e+06 191304 744469. 2576.02 1.45 0.0422173 0.0362766 27202 183097 -1 1582 19 1152 1710 136872 34605 0 0 136872 34605 1710 1455 0 0 5705 5042 0 0 10041 6844 0 0 1710 1501 0 0 58914 9531 0 0 58792 10232 0 0 1710 0 0 558 686 465 4753 0 0 3.43787 3.43787 -115.873 -3.43787 0 0 949917. 3286.91 0.50 0.03 0.12 -1 -1 0.50 0.00888184 0.00799761 56 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 5.84 vpr 64.14 MiB -1 -1 0.12 20808 1 0.01 -1 -1 33108 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65676 32 32 348 274 1 208 79 17 17 289 -1 unnamed_device 25.6 MiB 0.71 904 64.1 MiB 0.06 0.00 3.57951 -115.674 -3.57951 3.57951 0.74 0.000173824 0.000145239 0.0143893 0.0121222 42 2917 47 6.99608e+06 220735 744469. 2576.02 2.14 0.0791067 0.0678574 27202 183097 -1 2051 21 1611 2214 176224 39669 0 0 176224 39669 2214 1940 0 0 7250 6300 0 0 12733 8577 0 0 2214 1983 0 0 75508 10155 0 0 76305 10714 0 0 2214 0 0 603 543 564 5130 0 0 4.6158 4.6158 -158.797 -4.6158 0 0 949917. 3286.91 0.31 0.04 0.12 -1 -1 0.31 0.0125387 0.0112329 88 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 18.39 vpr 64.31 MiB -1 -1 0.11 20768 1 0.01 -1 -1 33104 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65856 32 32 356 289 1 218 79 17 17 289 -1 unnamed_device 25.7 MiB 1.56 1011 64.3 MiB 0.06 0.00 3.63687 -114.181 -3.63687 3.63687 0.75 0.000177595 0.000147984 0.0148935 0.012546 38 3385 47 6.99608e+06 220735 678818. 2348.85 13.88 0.150609 0.127158 26626 170182 -1 2370 24 1901 2606 192627 41430 0 0 192627 41430 2606 2167 0 0 8134 7149 0 0 13558 9018 0 0 2606 2291 0 0 86734 10056 0 0 78989 10749 0 0 2606 0 0 705 602 697 6476 0 0 4.54021 4.54021 -145.164 -4.54021 0 0 902133. 3121.57 0.28 0.05 0.11 -1 -1 0.28 0.0129179 0.0114627 95 53 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 8.92 vpr 64.25 MiB -1 -1 0.12 20856 1 0.00 -1 -1 33264 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65796 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 25.7 MiB 0.37 834 64.3 MiB 0.12 0.00 3.77681 -111.474 -3.77681 3.77681 0.88 0.000165107 0.000135444 0.0374241 0.0345317 58 2092 21 6.99608e+06 250167 997811. 3452.63 5.36 0.146762 0.12965 30370 251734 -1 1704 23 1735 2961 207433 47091 0 0 207433 47091 2961 2125 0 0 9457 8171 0 0 17789 11381 0 0 2961 2406 0 0 84539 11421 0 0 89726 11587 0 0 2961 0 0 1226 1093 1399 10599 0 0 4.22195 4.22195 -131.467 -4.22195 0 0 1.25153e+06 4330.55 0.41 0.05 0.16 -1 -1 0.41 0.0136827 0.0122616 83 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 8.32 vpr 64.09 MiB -1 -1 0.11 20876 1 0.01 -1 -1 33168 -1 -1 16 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65632 30 32 316 264 1 197 78 17 17 289 -1 unnamed_device 25.6 MiB 0.98 788 64.1 MiB 0.05 0.00 3.02754 -88.8726 -3.02754 3.02754 0.78 0.000153221 0.000126599 0.0119349 0.00888368 46 2423 48 6.99608e+06 235451 828058. 2865.25 4.50 0.10232 0.0865148 28066 200906 -1 1887 21 1621 2406 185858 43906 0 0 185858 43906 2406 1990 0 0 7625 6777 0 0 12693 8657 0 0 2406 2167 0 0 79197 12183 0 0 81531 12132 0 0 2406 0 0 785 983 819 6881 0 0 3.80037 3.80037 -120.973 -3.80037 0 0 1.01997e+06 3529.29 0.36 0.05 0.12 -1 -1 0.36 0.0122271 0.0109125 86 47 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 5.40 vpr 63.73 MiB -1 -1 0.11 20800 1 0.01 -1 -1 33152 -1 -1 15 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65264 27 32 255 219 1 145 74 17 17 289 -1 unnamed_device 25.1 MiB 0.86 487 63.7 MiB 0.05 0.00 2.96122 -84.2305 -2.96122 2.96122 0.77 0.000131368 0.000108014 0.00972053 0.00807223 38 1681 28 6.99608e+06 220735 678818. 2348.85 1.69 0.0507516 0.0430267 26626 170182 -1 1272 22 1034 1575 102328 25793 0 0 102328 25793 1575 1270 0 0 5009 4350 0 0 7844 5492 0 0 1575 1311 0 0 46193 5804 0 0 40132 7566 0 0 1575 0 0 541 558 512 4486 0 0 3.47636 3.47636 -110.452 -3.47636 0 0 902133. 3121.57 0.29 0.03 0.10 -1 -1 0.29 0.00922092 0.00819623 66 26 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 9.07 vpr 64.56 MiB -1 -1 0.13 20856 1 0.01 -1 -1 33228 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66112 32 32 421 327 1 257 82 17 17 289 -1 unnamed_device 26.1 MiB 0.95 1215 64.6 MiB 0.09 0.00 3.41284 -117.869 -3.41284 3.41284 0.87 0.00018804 0.000154474 0.0194287 0.0161428 48 3897 35 6.99608e+06 264882 865456. 2994.66 5.08 0.129592 0.110999 28354 207349 -1 3189 22 2467 3850 447060 99359 0 0 447060 99359 3850 3271 0 0 12435 10783 0 0 23535 14956 0 0 3850 3529 0 0 191079 35352 0 0 212311 31468 0 0 3850 0 0 1383 1874 1550 12149 0 0 4.30361 4.30361 -155.713 -4.30361 0 0 1.05005e+06 3633.38 0.35 0.09 0.13 -1 -1 0.35 0.017424 0.0155587 111 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 7.80 vpr 64.15 MiB -1 -1 0.13 20620 1 0.01 -1 -1 33104 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65692 31 32 365 296 1 229 80 17 17 289 -1 unnamed_device 25.8 MiB 1.61 1215 64.2 MiB 0.07 0.00 4.34903 -133.1 -4.34903 4.34903 0.73 0.000188468 0.000157079 0.0170779 0.0145066 40 3074 25 6.99608e+06 250167 706193. 2443.58 3.47 0.102247 0.0915685 26914 176310 -1 2739 22 2360 3274 340504 65363 0 0 340504 65363 3274 2717 0 0 10572 9250 0 0 19592 12423 0 0 3274 2883 0 0 153710 19359 0 0 150082 18731 0 0 3274 0 0 914 1307 1289 9240 0 0 5.12834 5.12834 -174.894 -5.12834 0 0 926341. 3205.33 0.30 0.07 0.11 -1 -1 0.30 0.0157964 0.0141853 100 60 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 12.77 vpr 64.11 MiB -1 -1 0.11 20840 1 0.01 -1 -1 33340 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65648 32 32 331 280 1 215 78 17 17 289 -1 unnamed_device 25.7 MiB 0.79 1014 64.1 MiB 0.05 0.00 3.46994 -124.611 -3.46994 3.46994 0.72 0.000176881 0.000148851 0.0105594 0.00884909 38 3080 34 6.99608e+06 206020 678818. 2348.85 9.32 0.112761 0.0971569 26626 170182 -1 2244 17 1489 1846 141889 30956 0 0 141889 30956 1846 1656 0 0 5909 5108 0 0 8529 6220 0 0 1846 1698 0 0 63832 8185 0 0 59927 8089 0 0 1846 0 0 357 397 210 3524 0 0 3.87341 3.87341 -149.054 -3.87341 0 0 902133. 3121.57 0.28 0.04 0.10 -1 -1 0.28 0.0102628 0.00925497 91 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 6.91 vpr 64.02 MiB -1 -1 0.12 21116 1 0.01 -1 -1 33228 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65560 32 32 326 263 1 197 79 17 17 289 -1 unnamed_device 25.7 MiB 0.61 907 64.0 MiB 0.06 0.00 3.34348 -104.597 -3.34348 3.34348 0.82 0.000152567 0.000124933 0.0153334 0.0131481 44 2764 24 6.99608e+06 220735 787024. 2723.27 3.47 0.0905423 0.0775233 27778 195446 -1 2105 23 1381 1880 145306 32057 0 0 145306 32057 1880 1637 0 0 5974 5151 0 0 9628 6793 0 0 1880 1723 0 0 57416 9322 0 0 68528 7431 0 0 1880 0 0 499 489 549 4677 0 0 3.59921 3.59921 -128.312 -3.59921 0 0 997811. 3452.63 0.33 0.04 0.12 -1 -1 0.33 0.0121754 0.010878 81 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 13.70 vpr 64.39 MiB -1 -1 0.12 20596 1 0.01 -1 -1 33212 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65932 31 32 373 294 1 221 80 17 17 289 -1 unnamed_device 25.9 MiB 1.27 977 64.4 MiB 0.07 0.00 3.45118 -103.39 -3.45118 3.45118 0.84 0.000168624 0.000138563 0.0158775 0.0132696 40 3041 42 6.99608e+06 250167 706193. 2443.58 9.15 0.141915 0.121257 26914 176310 -1 2422 22 2068 2952 237165 55296 0 0 237165 55296 2952 2367 0 0 9869 8600 0 0 16782 11524 0 0 2952 2527 0 0 102407 14661 0 0 102203 15617 0 0 2952 0 0 884 1225 1348 9213 0 0 4.45762 4.45762 -138.816 -4.45762 0 0 926341. 3205.33 0.31 0.05 0.11 -1 -1 0.31 0.0130212 0.0116237 97 46 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 15.50 vpr 64.23 MiB -1 -1 0.11 20704 1 0.01 -1 -1 33420 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65772 30 32 325 268 1 198 79 17 17 289 -1 unnamed_device 25.7 MiB 1.34 904 64.2 MiB 0.05 0.00 2.85029 -90.0497 -2.85029 2.85029 0.92 0.000160584 0.00013391 0.0124637 0.0103457 44 2693 37 6.99608e+06 250167 787024. 2723.27 11.17 0.120211 0.102404 27778 195446 -1 1937 20 1448 2081 158210 36178 0 0 158210 36178 2081 1681 0 0 6858 6049 0 0 11349 8007 0 0 2081 1849 0 0 65061 9625 0 0 70780 8967 0 0 2081 0 0 633 722 512 5662 0 0 3.22576 3.22576 -113.509 -3.22576 0 0 997811. 3452.63 0.32 0.04 0.12 -1 -1 0.32 0.0111155 0.00990539 88 46 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 8.48 vpr 64.31 MiB -1 -1 0.10 20752 1 0.01 -1 -1 33160 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65856 32 32 350 275 1 209 78 17 17 289 -1 unnamed_device 25.7 MiB 0.71 919 64.3 MiB 0.06 0.00 3.51478 -114.727 -3.51478 3.51478 0.90 0.000162362 0.000133156 0.014241 0.012 50 2816 43 6.99608e+06 206020 902133. 3121.57 4.83 0.133787 0.110112 28642 213929 -1 2115 22 1745 2623 194333 45096 0 0 194333 45096 2623 2140 0 0 8301 7293 0 0 14809 9561 0 0 2623 2308 0 0 80754 12203 0 0 85223 11591 0 0 2623 0 0 878 762 808 6863 0 0 4.13101 4.13101 -142.689 -4.13101 0 0 1.08113e+06 3740.92 0.36 0.05 0.14 -1 -1 0.36 0.013528 0.0121438 88 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 7.26 vpr 64.50 MiB -1 -1 0.12 20948 1 0.01 -1 -1 33320 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66048 32 32 386 307 1 240 80 17 17 289 -1 unnamed_device 26.0 MiB 2.35 1027 64.5 MiB 0.07 0.00 2.94423 -103.749 -2.94423 2.94423 1.01 0.000175977 0.000144945 0.0158388 0.0133918 44 2914 28 6.99608e+06 235451 787024. 2723.27 1.73 0.0707458 0.0607888 27778 195446 -1 2072 22 1898 2540 163518 37575 0 0 163518 37575 2540 2040 0 0 7753 6832 0 0 13095 8691 0 0 2540 2153 0 0 69566 9080 0 0 68024 8779 0 0 2540 0 0 642 614 618 5858 0 0 3.60011 3.60011 -135.455 -3.60011 0 0 997811. 3452.63 0.35 0.08 0.13 -1 -1 0.35 0.0194786 0.0178965 103 59 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 5.89 vpr 63.79 MiB -1 -1 0.11 20596 1 0.01 -1 -1 33296 -1 -1 14 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65316 29 32 269 229 1 168 75 17 17 289 -1 unnamed_device 25.3 MiB 1.22 667 63.8 MiB 0.04 0.00 3.37515 -99.403 -3.37515 3.37515 0.75 0.000126542 0.000102759 0.0102202 0.00849711 38 1802 35 6.99608e+06 206020 678818. 2348.85 1.80 0.0561926 0.0480122 26626 170182 -1 1447 21 1351 1796 124241 27656 0 0 124241 27656 1796 1530 0 0 5658 4926 0 0 8624 6076 0 0 1796 1563 0 0 53895 6846 0 0 52472 6715 0 0 1796 0 0 445 498 491 4246 0 0 3.44186 3.44186 -117.802 -3.44186 0 0 902133. 3121.57 0.31 0.04 0.10 -1 -1 0.31 0.0102564 0.00913099 70 28 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 9.21 vpr 64.02 MiB -1 -1 0.11 20880 1 0.01 -1 -1 33152 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65556 32 32 310 266 1 182 78 17 17 289 -1 unnamed_device 25.4 MiB 2.21 818 64.0 MiB 0.05 0.00 3.25048 -108.694 -3.25048 3.25048 0.74 0.000156521 0.000130282 0.0125376 0.010503 40 2473 50 6.99608e+06 206020 706193. 2443.58 4.22 0.119081 0.104146 26914 176310 -1 2014 34 2208 3045 548254 196007 0 0 548254 196007 3045 2822 0 0 9765 8662 0 0 21242 12555 0 0 3045 2849 0 0 256839 86098 0 0 254318 83021 0 0 3045 0 0 837 1012 903 7471 0 0 4.29411 4.29411 -145.003 -4.29411 0 0 926341. 3205.33 0.30 0.12 0.11 -1 -1 0.30 0.0157166 0.0138093 79 55 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 8.02 vpr 64.24 MiB -1 -1 0.11 21088 1 0.02 -1 -1 33172 -1 -1 15 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65784 31 32 326 261 1 193 78 17 17 289 -1 unnamed_device 25.8 MiB 0.72 757 64.2 MiB 0.05 0.00 3.35878 -99.9459 -3.35878 3.35878 0.78 0.000161611 0.000132612 0.0130137 0.0107435 50 1985 29 6.99608e+06 220735 902133. 3121.57 4.45 0.130631 0.114945 28642 213929 -1 1629 23 1625 2370 162457 38990 0 0 162457 38990 2370 1871 0 0 7846 6907 0 0 13771 9259 0 0 2370 2013 0 0 69428 9056 0 0 66672 9884 0 0 2370 0 0 745 920 891 7102 0 0 3.65252 3.65252 -122.941 -3.65252 0 0 1.08113e+06 3740.92 0.34 0.04 0.14 -1 -1 0.34 0.0120496 0.0107736 80 29 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 7.66 vpr 63.65 MiB -1 -1 0.11 20580 1 0.01 -1 -1 33120 -1 -1 13 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65180 29 32 262 224 1 162 74 17 17 289 -1 unnamed_device 25.3 MiB 0.85 606 63.7 MiB 0.04 0.00 3.02297 -87.5909 -3.02297 3.02297 0.77 0.000123508 0.000100354 0.00919996 0.00767242 44 1950 42 6.99608e+06 191304 787024. 2723.27 4.01 0.0708768 0.0600947 27778 195446 -1 1178 20 1004 1302 78060 21777 0 0 78060 21777 1302 1168 0 0 4328 3835 0 0 7119 5105 0 0 1302 1201 0 0 29861 5678 0 0 34148 4790 0 0 1302 0 0 298 255 220 2685 0 0 3.09411 3.09411 -101.553 -3.09411 0 0 997811. 3452.63 0.32 0.03 0.12 -1 -1 0.32 0.00888234 0.00797488 68 25 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 7.33 vpr 63.95 MiB -1 -1 0.10 20756 1 0.01 -1 -1 33132 -1 -1 12 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65484 32 32 278 238 1 178 76 17 17 289 -1 unnamed_device 25.5 MiB 0.70 938 63.9 MiB 0.06 0.00 3.40815 -110.366 -3.40815 3.40815 0.74 0.000131909 0.000107161 0.0118748 0.00999142 44 2327 32 6.99608e+06 176588 787024. 2723.27 3.50 0.0885847 0.0759961 27778 195446 -1 1954 21 1305 1790 161236 31970 0 0 161236 31970 1790 1451 0 0 5712 4957 0 0 9600 6486 0 0 1790 1607 0 0 71595 8611 0 0 70749 8858 0 0 1790 0 0 485 488 510 4285 0 0 3.25226 3.25226 -125.779 -3.25226 0 0 997811. 3452.63 0.52 0.04 0.13 -1 -1 0.52 0.0100593 0.00901634 73 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 8.15 vpr 64.41 MiB -1 -1 0.12 20732 1 0.01 -1 -1 33196 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65960 31 32 373 300 1 231 80 17 17 289 -1 unnamed_device 26.0 MiB 0.79 998 64.4 MiB 0.06 0.00 3.61381 -116.286 -3.61381 3.61381 0.95 0.00018807 0.000157507 0.0131423 0.0111517 46 2766 23 6.99608e+06 250167 828058. 2865.25 4.23 0.103835 0.0890413 28066 200906 -1 2277 19 1862 2546 196469 43815 0 0 196469 43815 2546 2189 0 0 8156 7163 0 0 13090 9152 0 0 2546 2256 0 0 86172 11079 0 0 83959 11976 0 0 2546 0 0 684 803 747 6486 0 0 4.36555 4.36555 -151.793 -4.36555 0 0 1.01997e+06 3529.29 0.35 0.05 0.13 -1 -1 0.35 0.0140181 0.0126498 101 60 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 4.98 vpr 63.84 MiB -1 -1 0.11 20748 1 0.01 -1 -1 33332 -1 -1 13 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65372 31 32 265 230 1 171 76 17 17 289 -1 unnamed_device 25.4 MiB 0.69 668 63.8 MiB 0.05 0.00 3.10427 -93.1977 -3.10427 3.10427 0.76 0.000126834 0.000103646 0.0107099 0.00887343 44 2119 29 6.99608e+06 191304 787024. 2723.27 1.52 0.044752 0.0382654 27778 195446 -1 1578 20 1070 1489 120199 26225 0 0 120199 26225 1489 1232 0 0 4844 4158 0 0 7964 5555 0 0 1489 1283 0 0 53562 6663 0 0 50851 7334 0 0 1489 0 0 419 348 314 3408 0 0 2.99891 2.99891 -107.343 -2.99891 0 0 997811. 3452.63 0.35 0.03 0.14 -1 -1 0.35 0.00951652 0.00851543 71 30 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 6.36 vpr 64.18 MiB -1 -1 0.12 20936 1 0.01 -1 -1 33136 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65716 32 32 349 286 1 207 79 17 17 289 -1 unnamed_device 25.7 MiB 0.95 1039 64.2 MiB 0.06 0.00 2.87229 -99.4775 -2.87229 2.87229 0.77 0.000170867 0.000142251 0.0128131 0.0107325 38 2900 49 6.99608e+06 220735 678818. 2348.85 2.70 0.0814544 0.0698928 26626 170182 -1 2294 18 1436 1935 165359 33856 0 0 165359 33856 1935 1657 0 0 6008 5168 0 0 9104 6405 0 0 1935 1693 0 0 74048 9306 0 0 72329 9627 0 0 1935 0 0 499 461 476 4975 0 0 3.48716 3.48716 -127.312 -3.48716 0 0 902133. 3121.57 0.29 0.04 0.11 -1 -1 0.29 0.0112748 0.0101494 91 54 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 10.43 vpr 64.39 MiB -1 -1 0.13 20844 1 0.01 -1 -1 33304 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65932 31 32 396 325 1 255 83 17 17 289 -1 unnamed_device 25.8 MiB 2.48 1134 64.4 MiB 0.06 0.00 3.80112 -129.442 -3.80112 3.80112 0.75 0.000188556 0.000156466 0.0133442 0.011201 54 2955 23 6.99608e+06 294314 949917. 3286.91 5.05 0.100474 0.0854758 29506 232905 -1 2545 22 2265 3219 285293 57488 0 0 285293 57488 3219 2638 0 0 10285 9077 0 0 18292 11906 0 0 3219 2712 0 0 120853 16335 0 0 129425 14820 0 0 3219 0 0 954 953 987 8493 0 0 4.42399 4.42399 -158.877 -4.42399 0 0 1.17392e+06 4061.99 0.39 0.07 0.15 -1 -1 0.39 0.017162 0.0156324 113 87 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 9.67 vpr 64.04 MiB -1 -1 0.12 20948 1 0.01 -1 -1 33276 -1 -1 12 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65580 32 32 303 262 1 192 76 17 17 289 -1 unnamed_device 25.4 MiB 2.05 722 64.0 MiB 0.04 0.00 2.79904 -93.1152 -2.79904 2.79904 0.75 0.000140857 0.000114539 0.00990216 0.00823687 52 2255 37 6.99608e+06 176588 926341. 3205.33 4.87 0.101698 0.0863294 29218 227130 -1 1728 21 1581 2094 153106 37179 0 0 153106 37179 2094 1814 0 0 6871 6088 0 0 11737 8037 0 0 2094 1949 0 0 59558 10317 0 0 70752 8974 0 0 2094 0 0 513 534 433 4696 0 0 3.25251 3.25251 -117.974 -3.25251 0 0 1.14541e+06 3963.36 0.38 0.04 0.14 -1 -1 0.38 0.0107434 0.00960995 80 54 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 7.72 vpr 63.96 MiB -1 -1 0.10 20612 1 0.01 -1 -1 33324 -1 -1 11 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65500 32 32 290 244 1 172 75 17 17 289 -1 unnamed_device 25.5 MiB 0.60 722 64.0 MiB 0.05 0.00 3.13712 -100.64 -3.13712 3.13712 0.79 0.000134735 0.000109657 0.0117259 0.00973057 44 2147 36 6.99608e+06 161872 787024. 2723.27 4.23 0.0901841 0.0767775 27778 195446 -1 1497 19 1125 1631 94727 25494 0 0 94727 25494 1631 1353 0 0 5429 4865 0 0 9286 6536 0 0 1631 1415 0 0 37048 6355 0 0 39702 4970 0 0 1631 0 0 506 463 328 3940 0 0 3.58416 3.58416 -119.429 -3.58416 0 0 997811. 3452.63 0.32 0.03 0.21 -1 -1 0.32 0.00954044 0.00857486 72 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 8.45 vpr 63.97 MiB -1 -1 0.10 20952 1 0.01 -1 -1 33132 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65504 32 32 318 257 1 191 78 17 17 289 -1 unnamed_device 25.6 MiB 1.42 834 64.0 MiB 0.05 0.00 3.36978 -103.576 -3.36978 3.36978 0.80 0.000162118 0.000135557 0.0129459 0.0110543 46 2368 49 6.99608e+06 206020 828058. 2865.25 4.09 0.112852 0.0968041 28066 200906 -1 1669 21 1318 1902 115917 27210 0 0 115917 27210 1902 1445 0 0 5892 5189 0 0 9896 6520 0 0 1902 1582 0 0 44839 6761 0 0 51486 5713 0 0 1902 0 0 584 609 428 4795 0 0 3.56842 3.56842 -121.512 -3.56842 0 0 1.01997e+06 3529.29 0.32 0.03 0.26 -1 -1 0.32 0.0113085 0.0101616 79 27 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 7.73 vpr 64.14 MiB -1 -1 0.12 20856 1 0.01 -1 -1 33168 -1 -1 18 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65680 29 32 324 268 1 195 79 17 17 289 -1 unnamed_device 25.6 MiB 1.38 862 64.1 MiB 0.05 0.00 2.89747 -87.1647 -2.89747 2.89747 0.78 0.000147374 0.0001207 0.0105653 0.00878976 40 2730 42 6.99608e+06 264882 706193. 2443.58 3.56 0.07816 0.066786 26914 176310 -1 2095 31 1958 2789 382662 139932 0 0 382662 139932 2789 2436 0 0 9252 8163 0 0 18852 11601 0 0 2789 2522 0 0 176428 56998 0 0 172552 58212 0 0 2789 0 0 831 1099 1122 8601 0 0 3.43081 3.43081 -110.481 -3.43081 0 0 926341. 3205.33 0.29 0.09 0.11 -1 -1 0.29 0.0157654 0.0139965 88 49 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 6.83 vpr 64.39 MiB -1 -1 0.12 21028 1 0.02 -1 -1 33216 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65932 32 32 393 312 1 235 81 17 17 289 -1 unnamed_device 25.9 MiB 1.50 1292 64.4 MiB 0.06 0.00 4.35209 -146.514 -4.35209 4.35209 0.78 0.000178536 0.000147088 0.0149059 0.0125638 38 3809 25 6.99608e+06 250167 678818. 2348.85 2.08 0.0710866 0.0614937 26626 170182 -1 3140 19 2333 3502 301873 60728 0 0 301873 60728 3502 2787 0 0 10525 9429 0 0 17895 11426 0 0 3502 2950 0 0 135443 16857 0 0 131006 17279 0 0 3502 0 0 1169 1179 1281 10191 0 0 4.83824 4.83824 -176.815 -4.83824 0 0 902133. 3121.57 0.71 0.10 0.11 -1 -1 0.71 0.024888 0.0235096 105 62 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 6.35 vpr 63.68 MiB -1 -1 0.10 20444 1 0.00 -1 -1 33136 -1 -1 13 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65212 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 25.3 MiB 0.74 841 63.7 MiB 0.05 0.00 2.70223 -81.2143 -2.70223 2.70223 0.77 0.000116907 9.5653e-05 0.0106093 0.0088213 38 1850 19 6.99608e+06 191304 678818. 2348.85 3.00 0.0600983 0.0510484 26626 170182 -1 1654 22 932 1498 109639 22918 0 0 109639 22918 1498 1181 0 0 4628 4025 0 0 7514 4975 0 0 1498 1254 0 0 46619 6014 0 0 47882 5469 0 0 1498 0 0 566 551 508 4411 0 0 2.81932 2.81932 -102.898 -2.81932 0 0 902133. 3121.57 0.28 0.03 0.10 -1 -1 0.28 0.00895903 0.00800248 54 -1 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 7.89 vpr 64.76 MiB -1 -1 0.11 20856 1 0.01 -1 -1 33124 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66312 32 32 412 334 1 258 84 17 17 289 -1 unnamed_device 26.3 MiB 2.65 1180 64.8 MiB 0.08 0.00 3.87123 -131.912 -3.87123 3.87123 0.77 0.000181207 0.00014883 0.0180362 0.0150728 40 3623 26 6.99608e+06 294314 706193. 2443.58 2.32 0.082474 0.0710299 26914 176310 -1 3003 23 2639 3326 322623 65875 0 0 322623 65875 3326 3111 0 0 11012 9747 0 0 19743 12862 0 0 3326 3167 0 0 142417 19001 0 0 142799 17987 0 0 3326 0 0 687 679 726 7062 0 0 5.3332 5.3332 -183.382 -5.3332 0 0 926341. 3205.33 0.30 0.15 0.11 -1 -1 0.30 0.0403153 0.0383357 116 87 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 9.89 vpr 64.75 MiB -1 -1 0.12 20760 1 0.02 -1 -1 33128 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66308 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 26.1 MiB 0.74 945 64.8 MiB 0.06 0.00 3.63182 -126.713 -3.63182 3.63182 0.83 0.000178109 0.000147734 0.0137535 0.0115988 46 3262 32 6.99608e+06 235451 828058. 2865.25 5.94 0.113313 0.0974334 28066 200906 -1 2230 20 2341 2972 273274 59673 0 0 273274 59673 2972 2616 0 0 9220 8216 0 0 15205 10072 0 0 2972 2656 0 0 121718 17497 0 0 121187 18616 0 0 2972 0 0 631 607 420 5978 0 0 4.6754 4.6754 -166.93 -4.6754 0 0 1.01997e+06 3529.29 0.31 0.05 0.28 -1 -1 0.31 0.011136 0.00996457 110 93 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 9.42 vpr 64.27 MiB -1 -1 0.12 21088 1 0.02 -1 -1 33180 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65808 32 32 360 293 1 219 79 17 17 289 -1 unnamed_device 25.7 MiB 1.42 998 64.3 MiB 0.05 0.00 3.03377 -100.173 -3.03377 3.03377 0.74 0.00016013 0.000130093 0.0107534 0.00904911 38 3297 37 6.99608e+06 220735 678818. 2348.85 5.01 0.0781067 0.0673034 26626 170182 -1 2386 21 1835 2480 253968 64223 0 0 253968 64223 2480 2137 0 0 7922 6930 0 0 12799 8716 0 0 2480 2278 0 0 111791 22463 0 0 116496 21699 0 0 2480 0 0 645 1078 970 7229 0 0 3.35751 3.35751 -123.612 -3.35751 0 0 902133. 3121.57 0.32 0.06 0.11 -1 -1 0.32 0.0196238 0.0182077 94 57 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 5.51 vpr 64.39 MiB -1 -1 0.11 20940 1 0.01 -1 -1 33460 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65932 32 32 396 299 1 228 79 17 17 289 -1 unnamed_device 25.9 MiB 0.81 1132 64.4 MiB 0.08 0.00 4.65368 -140.023 -4.65368 4.65368 0.73 0.00018689 0.00015217 0.0185991 0.0155642 46 2943 21 6.99608e+06 220735 828058. 2865.25 1.97 0.0699408 0.0602469 28066 200906 -1 2517 22 2128 3215 290455 58935 0 0 290455 58935 3215 2582 0 0 9923 8800 0 0 18162 11322 0 0 3215 2669 0 0 128207 16755 0 0 127733 16807 0 0 3215 0 0 1087 1173 1187 9440 0 0 4.8755 4.8755 -164.608 -4.8755 0 0 1.01997e+06 3529.29 0.31 0.06 0.13 -1 -1 0.31 0.0156912 0.0141085 98 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 6.60 vpr 63.47 MiB -1 -1 0.10 20748 1 0.01 -1 -1 33292 -1 -1 12 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64996 30 32 224 207 1 132 74 17 17 289 -1 unnamed_device 25.1 MiB 0.61 479 63.5 MiB 0.04 0.00 2.28455 -79.4386 -2.28455 2.28455 0.95 0.000107679 8.6976e-05 0.00760787 0.00628341 44 1393 22 6.99608e+06 176588 787024. 2723.27 3.13 0.0563625 0.04744 27778 195446 -1 994 21 751 972 74443 17941 0 0 74443 17941 972 841 0 0 3205 2839 0 0 5154 3693 0 0 972 877 0 0 33801 4708 0 0 30339 4983 0 0 972 0 0 221 186 166 1987 0 0 2.44542 2.44542 -91.4642 -2.44542 0 0 997811. 3452.63 0.36 0.03 0.14 -1 -1 0.36 0.00863265 0.00774784 53 29 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 8.23 vpr 63.83 MiB -1 -1 0.10 20384 1 0.01 -1 -1 33256 -1 -1 14 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65364 30 32 286 239 1 157 76 17 17 289 -1 unnamed_device 25.4 MiB 3.27 622 63.8 MiB 0.05 0.00 3.15062 -95.7915 -3.15062 3.15062 0.73 0.000133391 0.000108535 0.0107416 0.00891123 36 2125 49 6.99608e+06 206020 648988. 2245.63 2.17 0.0648921 0.055377 26050 158493 -1 1638 23 1179 1779 234029 54305 0 0 234029 54305 1779 1526 0 0 5867 5163 0 0 10316 6639 0 0 1779 1566 0 0 108845 19670 0 0 105443 19741 0 0 1779 0 0 600 934 690 5621 0 0 3.45687 3.45687 -125.881 -3.45687 0 0 828058. 2865.25 0.31 0.07 0.10 -1 -1 0.31 0.0114704 0.0102414 68 29 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 8.98 vpr 64.05 MiB -1 -1 0.10 20600 1 0.01 -1 -1 33260 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65592 32 32 296 247 1 182 81 17 17 289 -1 unnamed_device 25.5 MiB 0.50 727 64.1 MiB 0.05 0.00 3.05994 -96.9807 -3.05994 3.05994 0.80 0.000144667 0.000117815 0.0109367 0.00912112 54 1977 22 6.99608e+06 250167 949917. 3286.91 5.71 0.102734 0.0893851 29506 232905 -1 1583 19 1232 1859 149037 32790 0 0 149037 32790 1859 1510 0 0 5873 5098 0 0 9781 6470 0 0 1859 1618 0 0 60565 9085 0 0 69100 9009 0 0 1859 0 0 627 634 505 5191 0 0 3.15341 3.15341 -116.091 -3.15341 0 0 1.17392e+06 4061.99 0.38 0.04 0.14 -1 -1 0.38 0.010135 0.00907787 78 31 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 7.52 vpr 63.44 MiB -1 -1 0.10 20616 1 0.02 -1 -1 33324 -1 -1 16 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64964 25 32 216 194 1 136 73 17 17 289 -1 unnamed_device 25.0 MiB 0.96 490 63.4 MiB 0.03 0.00 2.77723 -63.3331 -2.77723 2.77723 0.78 0.000104111 8.4649e-05 0.00663185 0.00554901 38 1486 24 6.99608e+06 235451 678818. 2348.85 4.04 0.0746781 0.0645075 26626 170182 -1 1037 20 796 1065 63215 16059 0 0 63215 16059 1065 880 0 0 3485 2959 0 0 5122 3788 0 0 1065 902 0 0 25692 3646 0 0 26786 3884 0 0 1065 0 0 269 263 262 2569 0 0 2.95662 2.95662 -78.8281 -2.95662 0 0 902133. 3121.57 0.27 0.02 0.10 -1 -1 0.27 0.00714011 0.00637225 59 19 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 18.09 vpr 64.39 MiB -1 -1 0.12 20888 1 0.01 -1 -1 33164 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65936 32 32 376 307 1 234 81 17 17 289 -1 unnamed_device 25.9 MiB 2.89 1234 64.4 MiB 0.06 0.00 3.12752 -107.9 -3.12752 3.12752 0.80 0.000176913 0.00014437 0.0126233 0.0105764 38 3744 44 6.99608e+06 250167 678818. 2348.85 12.48 0.173479 0.151536 26626 170182 -1 2906 23 2366 3499 281369 59323 0 0 281369 59323 3499 2885 0 0 10693 9453 0 0 17682 11722 0 0 3499 3093 0 0 128950 15406 0 0 117046 16764 0 0 3499 0 0 1133 1226 1331 9739 0 0 3.90332 3.90332 -142.883 -3.90332 0 0 902133. 3121.57 0.30 0.06 0.10 -1 -1 0.30 0.0144776 0.0129691 103 69 -1 -1 -1 -1 - fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 10.27 vpr 64.45 MiB -1 -1 0.12 20860 1 0.02 -1 -1 33516 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66000 31 32 409 331 1 258 82 17 17 289 -1 unnamed_device 26.1 MiB 2.28 1162 64.5 MiB 0.08 0.00 3.58081 -118.825 -3.58081 3.58081 0.74 0.000191461 0.00015944 0.0195023 0.0165295 44 3811 44 6.99608e+06 279598 787024. 2723.27 5.05 0.124594 0.106861 27778 195446 -1 2493 20 2048 2753 212939 47088 0 0 212939 47088 2753 2390 0 0 9071 8022 0 0 14770 10446 0 0 2753 2495 0 0 92704 11510 0 0 90888 12225 0 0 2753 0 0 705 675 506 6331 0 0 4.40295 4.40295 -152.409 -4.40295 0 0 997811. 3452.63 0.32 0.05 0.12 -1 -1 0.32 0.0131553 0.011795 117 86 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_001.v common 8.67 vpr 63.84 MiB -1 -1 0.16 20936 14 0.23 -1 -1 36492 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65368 32 32 277 309 1 203 83 17 17 289 -1 unnamed_device 25.4 MiB 1.54 1299 63.8 MiB 0.07 0.00 6.81046 -146.858 -6.81046 6.81046 0.93 0.000220736 0.000182561 0.0160461 0.0135232 40 3096 19 6.79088e+06 255968 706193. 2443.58 3.86 0.127439 0.110596 26254 175826 -1 3014 21 1613 4664 318610 66652 0 0 318610 66652 4664 2449 0 0 14830 12900 0 0 27476 17394 0 0 4664 2896 0 0 130947 15971 0 0 136029 15042 0 0 4664 0 0 3051 4681 4882 32816 0 0 7.33618 7.33618 -167.523 -7.33618 0 0 926341. 3205.33 0.28 0.07 0.11 -1 -1 0.28 0.0176112 0.0159412 130 182 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_002.v common 8.72 vpr 63.89 MiB -1 -1 0.18 20976 14 0.29 -1 -1 35968 -1 -1 19 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65428 30 32 272 304 1 194 81 17 17 289 -1 unnamed_device 25.5 MiB 2.23 1040 63.9 MiB 0.06 0.00 6.24419 -126.365 -6.24419 6.24419 0.89 0.000215791 0.000178489 0.0188295 0.0163268 34 3412 42 6.79088e+06 255968 618332. 2139.56 3.27 0.114199 0.0985224 25102 150614 -1 2718 20 1499 4160 281353 62585 0 0 281353 62585 4160 2543 0 0 13786 11703 0 0 23938 16339 0 0 4160 2881 0 0 116816 14725 0 0 118493 14394 0 0 4160 0 0 2661 4776 4967 30928 0 0 6.9064 6.9064 -151.265 -6.9064 0 0 787024. 2723.27 0.25 0.06 0.09 -1 -1 0.25 0.017448 0.0158787 125 181 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_003.v common 8.66 vpr 63.76 MiB -1 -1 0.15 21056 11 0.22 -1 -1 35956 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65288 32 32 280 312 1 193 83 17 17 289 -1 unnamed_device 25.3 MiB 3.34 1253 63.8 MiB 0.10 0.00 5.55944 -125.582 -5.55944 5.55944 0.92 0.000291188 0.000245643 0.015646 0.0133164 30 3633 39 6.79088e+06 255968 556674. 1926.21 2.23 0.0781968 0.0684073 24526 138013 -1 2786 21 1290 3869 280690 84643 0 0 280690 84643 3869 1975 0 0 11928 10178 0 0 18635 13070 0 0 3869 2254 0 0 119920 29096 0 0 122469 28070 0 0 3869 0 0 2579 4508 4512 31266 0 0 6.0572 6.0572 -147.138 -6.0572 0 0 706193. 2443.58 0.26 0.08 0.08 -1 -1 0.26 0.0202304 0.0184047 130 185 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_004.v common 8.42 vpr 64.04 MiB -1 -1 0.16 21224 12 0.31 -1 -1 35956 -1 -1 24 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65580 29 32 275 307 1 202 85 17 17 289 -1 unnamed_device 25.6 MiB 1.02 1191 64.0 MiB 0.04 0.00 6.16917 -123.049 -6.16917 6.16917 0.94 0.000238177 0.000199142 0.00971441 0.00835718 38 2811 20 6.79088e+06 323328 678818. 2348.85 4.14 0.141987 0.124254 25966 169698 -1 2476 20 1316 3665 178908 41302 0 0 178908 41302 3665 1777 0 0 11206 9436 0 0 17303 12081 0 0 3665 2160 0 0 71291 7944 0 0 71778 7904 0 0 3665 0 0 2349 3021 3525 24982 0 0 6.36938 6.36938 -138.019 -6.36938 0 0 902133. 3121.57 0.29 0.05 0.11 -1 -1 0.29 0.0185103 0.016834 136 186 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_005.v common 8.78 vpr 63.94 MiB -1 -1 0.16 21280 13 0.28 -1 -1 35992 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65472 32 32 302 334 1 234 86 17 17 289 -1 unnamed_device 25.6 MiB 1.59 1366 63.9 MiB 0.07 0.00 6.88531 -147.057 -6.88531 6.88531 0.89 0.000239713 0.000198345 0.0181604 0.0152129 38 3872 36 6.79088e+06 296384 678818. 2348.85 3.91 0.162026 0.141601 25966 169698 -1 2990 18 1576 4069 216043 49137 0 0 216043 49137 4069 2264 0 0 12826 10870 0 0 19341 13963 0 0 4069 2640 0 0 87457 9836 0 0 88281 9564 0 0 4069 0 0 2493 3406 3511 25159 0 0 7.13591 7.13591 -167.126 -7.13591 0 0 902133. 3121.57 0.28 0.05 0.10 -1 -1 0.28 0.0188001 0.0171419 152 207 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_006.v common 8.51 vpr 64.04 MiB -1 -1 0.17 21120 13 0.23 -1 -1 35996 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65580 32 32 292 324 1 210 83 17 17 289 -1 unnamed_device 25.5 MiB 1.50 1303 64.0 MiB 0.07 0.00 6.45897 -132.965 -6.45897 6.45897 0.76 0.000237315 0.000196823 0.0183292 0.0152988 44 3241 33 6.79088e+06 255968 787024. 2723.27 3.72 0.138613 0.119888 27118 194962 -1 2663 18 1204 3576 195345 43321 0 0 195345 43321 3576 1675 0 0 11269 9595 0 0 18512 12794 0 0 3576 2003 0 0 80113 8634 0 0 78299 8620 0 0 3576 0 0 2372 3920 3772 29158 0 0 6.83487 6.83487 -153.874 -6.83487 0 0 997811. 3452.63 0.32 0.05 0.12 -1 -1 0.32 0.0180493 0.0164387 137 197 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_007.v common 8.56 vpr 63.57 MiB -1 -1 0.15 21012 12 0.19 -1 -1 35980 -1 -1 21 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65100 27 32 229 261 1 168 80 17 17 289 -1 unnamed_device 25.3 MiB 1.51 855 63.6 MiB 0.04 0.00 5.62102 -103.783 -5.62102 5.62102 0.70 0.000185459 0.000154775 0.011056 0.0094206 30 2451 27 6.79088e+06 282912 556674. 1926.21 4.28 0.0865158 0.074379 24526 138013 -1 1995 20 1124 2636 136671 32150 0 0 136671 32150 2636 1525 0 0 8213 6974 0 0 12631 8896 0 0 2636 1714 0 0 54408 6695 0 0 56147 6346 0 0 2636 0 0 1512 1717 1898 13523 0 0 5.99343 5.99343 -122.148 -5.99343 0 0 706193. 2443.58 0.24 0.04 0.08 -1 -1 0.24 0.0140838 0.0127535 106 144 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_008.v common 9.52 vpr 63.48 MiB -1 -1 0.15 20668 12 0.18 -1 -1 36128 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65000 31 32 229 261 1 188 80 17 17 289 -1 unnamed_device 25.1 MiB 2.66 1145 63.5 MiB 0.06 0.00 5.2739 -114.048 -5.2739 5.2739 0.76 0.000187391 0.000156365 0.0156933 0.0132571 44 2907 17 6.79088e+06 229024 787024. 2723.27 3.75 0.109593 0.0956702 27118 194962 -1 2337 21 1023 2601 145666 32159 0 0 145666 32159 2601 1436 0 0 8101 6954 0 0 12940 9048 0 0 2601 1670 0 0 59408 6569 0 0 60015 6482 0 0 2601 0 0 1578 2298 2675 17600 0 0 5.61055 5.61055 -131.352 -5.61055 0 0 997811. 3452.63 0.34 0.04 0.13 -1 -1 0.34 0.0159664 0.0145924 106 136 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_009.v common 7.74 vpr 63.45 MiB -1 -1 0.15 21276 12 0.17 -1 -1 35960 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64968 31 32 235 267 1 194 83 17 17 289 -1 unnamed_device 25.0 MiB 2.92 1133 63.4 MiB 0.03 0.00 5.70019 -124.604 -5.70019 5.70019 0.73 0.000195933 0.00016348 0.00808745 0.00696729 38 2920 25 6.79088e+06 269440 678818. 2348.85 2.09 0.0740126 0.0646989 25966 169698 -1 2442 17 1194 3132 182074 40493 0 0 182074 40493 3132 1736 0 0 9743 8344 0 0 15424 10708 0 0 3132 2106 0 0 75247 8752 0 0 75396 8847 0 0 3132 0 0 1938 2759 2766 19613 0 0 6.07609 6.07609 -141.942 -6.07609 0 0 902133. 3121.57 0.27 0.04 0.10 -1 -1 0.27 0.0127731 0.011627 113 142 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_010.v common 8.42 vpr 63.65 MiB -1 -1 0.15 20980 13 0.18 -1 -1 36132 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65180 32 32 250 282 1 182 79 17 17 289 -1 unnamed_device 25.2 MiB 1.97 1138 63.7 MiB 0.06 0.00 6.15798 -138.641 -6.15798 6.15798 0.74 0.000196037 0.000161356 0.0158488 0.0133571 34 3455 44 6.79088e+06 202080 618332. 2139.56 3.46 0.093205 0.0804695 25102 150614 -1 2552 21 1315 3259 212809 47462 0 0 212809 47462 3259 1986 0 0 10739 9218 0 0 18546 12586 0 0 3259 2288 0 0 86300 11160 0 0 90706 10224 0 0 3259 0 0 1944 2705 2694 18586 0 0 6.82019 6.82019 -164.714 -6.82019 0 0 787024. 2723.27 0.28 0.05 0.17 -1 -1 0.28 0.0156729 0.0142436 106 155 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_011.v common 7.45 vpr 63.57 MiB -1 -1 0.15 20612 12 0.17 -1 -1 36148 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65092 30 32 216 248 1 161 79 17 17 289 -1 unnamed_device 25.1 MiB 1.66 989 63.6 MiB 0.05 0.00 5.74288 -123.577 -5.74288 5.74288 0.92 0.00017061 0.00014049 0.0143848 0.012063 34 2518 43 6.79088e+06 229024 618332. 2139.56 2.79 0.101848 0.0883207 25102 150614 -1 2224 16 879 2103 128972 29328 0 0 128972 29328 2103 1378 0 0 7058 5801 0 0 11216 8119 0 0 2103 1522 0 0 52228 6463 0 0 54264 6045 0 0 2103 0 0 1224 1815 2090 13862 0 0 6.02149 6.02149 -140.43 -6.02149 0 0 787024. 2723.27 0.26 0.04 0.09 -1 -1 0.26 0.0120554 0.0110595 96 125 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_012.v common 7.48 vpr 63.63 MiB -1 -1 0.14 20792 12 0.13 -1 -1 35876 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65160 32 32 236 268 1 171 81 17 17 289 -1 unnamed_device 25.0 MiB 2.24 1051 63.6 MiB 0.04 0.00 5.05901 -126.733 -5.05901 5.05901 0.91 0.00018613 0.000154546 0.0112252 0.00938261 36 3074 21 6.79088e+06 229024 648988. 2245.63 2.24 0.0590744 0.0513659 25390 158009 -1 2479 16 1070 2884 175736 39419 0 0 175736 39419 2884 1639 0 0 9445 8153 0 0 15879 10955 0 0 2884 1943 0 0 71837 8480 0 0 72807 8249 0 0 2884 0 0 1814 2560 2846 18908 0 0 5.43491 5.43491 -143.938 -5.43491 0 0 828058. 2865.25 0.25 0.04 0.10 -1 -1 0.25 0.0117385 0.0107095 101 141 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_013.v common 6.53 vpr 63.86 MiB -1 -1 0.16 21344 13 0.25 -1 -1 35968 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65392 32 32 283 315 1 215 84 17 17 289 -1 unnamed_device 25.4 MiB 1.77 1365 63.9 MiB 0.07 0.00 6.68505 -140.507 -6.68505 6.68505 0.73 0.000228057 0.000188987 0.0192368 0.016306 40 3009 16 6.79088e+06 269440 706193. 2443.58 1.81 0.101197 0.0887007 26254 175826 -1 2906 20 1353 3644 237710 50923 0 0 237710 50923 3644 2053 0 0 12093 10047 0 0 20906 14172 0 0 3644 2351 0 0 94496 11941 0 0 102927 10359 0 0 3644 0 0 2291 4189 3786 26576 0 0 7.21426 7.21426 -162.608 -7.21426 0 0 926341. 3205.33 0.29 0.06 0.11 -1 -1 0.29 0.0201715 0.0182574 134 188 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_014.v common 9.04 vpr 64.10 MiB -1 -1 0.16 21024 14 0.31 -1 -1 36100 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65636 32 32 303 335 1 230 86 17 17 289 -1 unnamed_device 25.7 MiB 1.90 1404 64.1 MiB 0.05 0.00 7.01072 -151.737 -7.01072 7.01072 0.82 0.000260424 0.000210195 0.0121681 0.0102239 40 3089 18 6.79088e+06 296384 706193. 2443.58 3.90 0.191312 0.168506 26254 175826 -1 2991 21 1509 3806 224903 51115 0 0 224903 51115 3806 1989 0 0 12895 10910 0 0 21402 14922 0 0 3806 2364 0 0 91237 10556 0 0 91757 10374 0 0 3806 0 0 2297 3312 3534 24813 0 0 7.26476 7.26476 -170.095 -7.26476 0 0 926341. 3205.33 0.28 0.06 0.11 -1 -1 0.28 0.0203187 0.0184755 151 208 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_015.v common 9.88 vpr 63.61 MiB -1 -1 0.14 21052 11 0.17 -1 -1 36060 -1 -1 21 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65136 29 32 225 257 1 176 82 17 17 289 -1 unnamed_device 25.1 MiB 2.31 923 63.6 MiB 0.07 0.01 5.52794 -112.023 -5.52794 5.52794 0.75 0.000179933 0.000148367 0.01432 0.0121203 38 2527 32 6.79088e+06 282912 678818. 2348.85 4.72 0.120892 0.104469 25966 169698 -1 2151 16 1111 2639 141315 33042 0 0 141315 33042 2639 1515 0 0 8424 7107 0 0 12305 8976 0 0 2639 1767 0 0 55898 7166 0 0 59410 6511 0 0 2639 0 0 1528 1586 1828 13845 0 0 5.65324 5.65324 -128.433 -5.65324 0 0 902133. 3121.57 0.29 0.04 0.11 -1 -1 0.29 0.0122105 0.0111885 106 136 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_016.v common 8.15 vpr 64.23 MiB -1 -1 0.16 21000 12 0.26 -1 -1 36060 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65776 32 32 301 333 1 221 88 17 17 289 -1 unnamed_device 25.8 MiB 1.32 1140 64.2 MiB 0.08 0.00 6.12997 -130.612 -6.12997 6.12997 0.76 0.000244278 0.000201359 0.0201751 0.0169863 46 3223 34 6.79088e+06 323328 828058. 2865.25 3.62 0.13697 0.109225 27406 200422 -1 2620 19 1434 4511 256945 67310 0 0 256945 67310 4511 2092 0 0 14133 12255 0 0 23012 15650 0 0 4511 2631 0 0 101788 17953 0 0 108990 16729 0 0 4511 0 0 3077 5081 5207 37026 0 0 6.54158 6.54158 -149.863 -6.54158 0 0 1.01997e+06 3529.29 0.31 0.07 0.12 -1 -1 0.31 0.0201903 0.0184495 145 206 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_017.v common 7.95 vpr 63.97 MiB -1 -1 0.16 21032 14 0.25 -1 -1 35652 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65508 32 32 277 309 1 210 83 17 17 289 -1 unnamed_device 25.5 MiB 2.28 1341 64.0 MiB 0.05 0.00 6.59895 -142.265 -6.59895 6.59895 0.74 0.000229911 0.000191929 0.0115632 0.00986495 38 3586 25 6.79088e+06 255968 678818. 2348.85 2.46 0.082188 0.0714792 25966 169698 -1 2973 28 1346 4019 547928 202032 0 0 547928 202032 4019 2269 0 0 12154 10492 0 0 23025 14093 0 0 4019 2583 0 0 247932 86149 0 0 256779 86446 0 0 4019 0 0 2673 5011 4866 32867 0 0 7.22545 7.22545 -164.342 -7.22545 0 0 902133. 3121.57 0.28 0.13 0.11 -1 -1 0.28 0.0225388 0.0202722 126 182 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_018.v common 7.83 vpr 63.76 MiB -1 -1 0.14 21228 12 0.16 -1 -1 35668 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65292 32 32 227 259 1 172 79 17 17 289 -1 unnamed_device 25.1 MiB 1.61 918 63.8 MiB 0.08 0.00 5.71487 -130.672 -5.71487 5.71487 0.86 0.000188831 0.00015512 0.0261628 0.0235505 40 2218 15 6.79088e+06 202080 706193. 2443.58 3.28 0.115294 0.102713 26254 175826 -1 2068 13 924 2343 143118 33338 0 0 143118 33338 2343 1339 0 0 8036 6770 0 0 13259 9374 0 0 2343 1531 0 0 59060 7098 0 0 58077 7226 0 0 2343 0 0 1419 2167 2174 15649 0 0 5.84017 5.84017 -143.704 -5.84017 0 0 926341. 3205.33 0.28 0.04 0.11 -1 -1 0.28 0.0115249 0.0106236 105 132 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_019.v common 8.41 vpr 63.05 MiB -1 -1 0.14 20424 10 0.08 -1 -1 35700 -1 -1 13 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64564 30 32 175 207 1 133 75 17 17 289 -1 unnamed_device 24.7 MiB 1.88 792 63.1 MiB 0.04 0.00 4.04526 -100.707 -4.04526 4.04526 0.72 0.000127714 0.000104504 0.00876867 0.00732305 34 2107 42 6.79088e+06 175136 618332. 2139.56 3.78 0.0779347 0.0668335 25102 150614 -1 1858 14 719 1596 121452 27030 0 0 121452 27030 1596 1082 0 0 5462 4717 0 0 9443 6723 0 0 1596 1194 0 0 50826 6910 0 0 52529 6404 0 0 1596 0 0 877 1189 1305 8197 0 0 4.13136 4.13136 -115.687 -4.13136 0 0 787024. 2723.27 0.26 0.03 0.10 -1 -1 0.26 0.00963801 0.00887737 66 84 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_020.v common 8.10 vpr 63.71 MiB -1 -1 0.16 20736 13 0.18 -1 -1 35840 -1 -1 18 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65236 31 32 231 263 1 186 81 17 17 289 -1 unnamed_device 25.3 MiB 2.13 1123 63.7 MiB 0.06 0.00 6.16922 -132.125 -6.16922 6.16922 0.77 0.000196959 0.000164936 0.0157997 0.0133695 36 2926 40 6.79088e+06 242496 648988. 2245.63 3.06 0.105218 0.0935275 25390 158009 -1 2390 18 1106 2652 159492 36003 0 0 159492 36003 2652 1520 0 0 8719 7385 0 0 14061 10175 0 0 2652 1736 0 0 63821 8036 0 0 67587 7151 0 0 2652 0 0 1546 1981 2251 15132 0 0 6.32674 6.32674 -149.581 -6.32674 0 0 828058. 2865.25 0.27 0.04 0.10 -1 -1 0.27 0.0141029 0.0128421 107 138 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_021.v common 7.10 vpr 64.05 MiB -1 -1 0.15 21072 13 0.28 -1 -1 35980 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65592 32 32 304 336 1 224 85 17 17 289 -1 unnamed_device 25.4 MiB 1.78 1341 64.1 MiB 0.08 0.00 6.38406 -139.509 -6.38406 6.38406 0.75 0.000250423 0.00020938 0.0237482 0.0201614 38 3588 30 6.79088e+06 282912 678818. 2348.85 2.19 0.113596 0.100171 25966 169698 -1 3053 20 1587 4380 278103 74534 0 0 278103 74534 4380 2218 0 0 13586 11659 0 0 21800 14880 0 0 4380 2664 0 0 115947 22085 0 0 118010 21028 0 0 4380 0 0 2793 4258 4684 31819 0 0 6.50936 6.50936 -157.914 -6.50936 0 0 902133. 3121.57 0.30 0.07 0.10 -1 -1 0.30 0.021958 0.0200831 143 209 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_022.v common 10.74 vpr 63.88 MiB -1 -1 0.17 20932 13 0.27 -1 -1 35720 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65412 32 32 288 320 1 216 85 17 17 289 -1 unnamed_device 25.3 MiB 2.28 1414 63.9 MiB 0.06 0.00 6.21612 -140.759 -6.21612 6.21612 0.82 0.000232347 0.000185751 0.0167994 0.0141472 38 3991 45 6.79088e+06 282912 678818. 2348.85 5.23 0.13251 0.116659 25966 169698 -1 3206 18 1440 4261 252755 53927 0 0 252755 53927 4261 2195 0 0 13148 11323 0 0 20495 14245 0 0 4261 2622 0 0 104261 12012 0 0 106329 11530 0 0 4261 0 0 2821 5496 5095 34087 0 0 6.71732 6.71732 -161.735 -6.71732 0 0 902133. 3121.57 0.29 0.06 0.12 -1 -1 0.29 0.0176548 0.016124 141 193 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_023.v common 6.31 vpr 63.25 MiB -1 -1 0.11 20412 9 0.09 -1 -1 35488 -1 -1 18 26 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64772 26 32 152 184 1 122 76 17 17 289 -1 unnamed_device 24.7 MiB 1.09 674 63.3 MiB 0.04 0.00 3.8527 -78.6612 -3.8527 3.8527 0.77 0.000120304 9.9505e-05 0.00958496 0.00798748 30 1657 19 6.79088e+06 242496 556674. 1926.21 2.42 0.0511562 0.0437466 24526 138013 -1 1355 15 631 1421 69387 16771 0 0 69387 16771 1421 793 0 0 4431 3786 0 0 6710 4762 0 0 1421 854 0 0 27573 3307 0 0 27831 3269 0 0 1421 0 0 790 440 962 7287 0 0 3.8527 3.8527 -88.3248 -3.8527 0 0 706193. 2443.58 0.23 0.02 0.08 -1 -1 0.23 0.00705089 0.00637014 67 69 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_024.v common 8.55 vpr 63.90 MiB -1 -1 0.14 20772 13 0.27 -1 -1 36220 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65436 32 32 287 319 1 214 87 17 17 289 -1 unnamed_device 25.4 MiB 1.90 1311 63.9 MiB 0.05 0.00 6.87423 -142.05 -6.87423 6.87423 0.91 0.000236777 0.000187678 0.0138264 0.0115876 38 3622 26 6.79088e+06 309856 678818. 2348.85 3.42 0.0956924 0.0830523 25966 169698 -1 2790 16 1324 3715 194990 44294 0 0 194990 44294 3715 1885 0 0 11609 9931 0 0 17639 12451 0 0 3715 2266 0 0 77247 9139 0 0 81065 8622 0 0 3715 0 0 2391 3594 3585 24733 0 0 7.12483 7.12483 -159.274 -7.12483 0 0 902133. 3121.57 0.28 0.05 0.10 -1 -1 0.28 0.0163373 0.0149677 136 192 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_025.v common 7.76 vpr 63.21 MiB -1 -1 0.11 20236 8 0.06 -1 -1 35468 -1 -1 11 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64724 32 32 154 186 1 126 75 17 17 289 -1 unnamed_device 24.7 MiB 1.88 594 63.2 MiB 0.02 0.00 3.54052 -79.1271 -3.54052 3.54052 0.83 0.000114905 9.4229e-05 0.00496558 0.00421226 34 1907 28 6.79088e+06 148192 618332. 2139.56 3.20 0.0581896 0.0502526 25102 150614 -1 1573 18 765 1737 127620 37356 0 0 127620 37356 1737 1170 0 0 5765 5029 0 0 10482 7068 0 0 1737 1293 0 0 51343 11395 0 0 56556 11401 0 0 1737 0 0 972 1272 1391 9324 0 0 3.62662 3.62662 -96.1783 -3.62662 0 0 787024. 2723.27 0.25 0.03 0.09 -1 -1 0.25 0.00759221 0.00683236 60 59 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_026.v common 7.20 vpr 63.70 MiB -1 -1 0.15 20716 15 0.23 -1 -1 35952 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65224 32 32 254 286 1 202 82 17 17 289 -1 unnamed_device 25.3 MiB 2.26 1261 63.7 MiB 0.07 0.00 7.39781 -151.302 -7.39781 7.39781 0.76 0.000202912 0.000167224 0.0183185 0.015481 38 3438 32 6.79088e+06 242496 678818. 2348.85 1.80 0.0863006 0.075103 25966 169698 -1 2850 16 1295 3596 194773 43832 0 0 194773 43832 3596 1907 0 0 11223 9674 0 0 16942 12055 0 0 3596 2223 0 0 77825 9440 0 0 81591 8533 0 0 3596 0 0 2301 3677 3608 26000 0 0 7.52311 7.52311 -169.052 -7.52311 0 0 902133. 3121.57 0.48 0.05 0.11 -1 -1 0.48 0.0179711 0.0165673 121 159 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_027.v common 8.97 vpr 64.03 MiB -1 -1 0.15 21088 13 0.24 -1 -1 35944 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65568 32 32 260 292 1 195 82 17 17 289 -1 unnamed_device 25.4 MiB 1.79 1140 64.0 MiB 0.06 0.00 5.68905 -123.926 -5.68905 5.68905 0.71 0.000204614 0.000168797 0.0149494 0.0126235 40 2968 34 6.79088e+06 242496 706193. 2443.58 4.09 0.127148 0.110296 26254 175826 -1 2884 18 1334 3849 270791 58154 0 0 270791 58154 3849 2057 0 0 12592 10895 0 0 22593 14737 0 0 3849 2466 0 0 112342 14481 0 0 115566 13518 0 0 3849 0 0 2515 4253 4435 29236 0 0 6.19025 6.19025 -143.982 -6.19025 0 0 926341. 3205.33 0.31 0.06 0.11 -1 -1 0.31 0.0170925 0.0156432 117 165 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_028.v common 10.36 vpr 63.91 MiB -1 -1 0.15 21236 13 0.26 -1 -1 35984 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65440 32 32 279 311 1 202 82 17 17 289 -1 unnamed_device 25.4 MiB 1.46 1164 63.9 MiB 0.07 0.00 6.49822 -139.112 -6.49822 6.49822 0.85 0.000224691 0.000186025 0.0272185 0.0243243 38 3593 36 6.79088e+06 242496 678818. 2348.85 5.60 0.185184 0.161654 25966 169698 -1 2677 26 1515 4449 342136 112003 0 0 342136 112003 4449 2142 0 0 13511 11634 0 0 23568 15213 0 0 4449 2630 0 0 152226 42511 0 0 143933 37873 0 0 4449 0 0 2934 5457 5313 34659 0 0 6.91332 6.91332 -162.074 -6.91332 0 0 902133. 3121.57 0.46 0.09 0.11 -1 -1 0.46 0.0223518 0.020324 136 184 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_029.v common 10.28 vpr 63.51 MiB -1 -1 0.13 21012 12 0.15 -1 -1 35836 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65036 32 32 238 270 1 186 80 17 17 289 -1 unnamed_device 25.1 MiB 1.82 1104 63.5 MiB 0.06 0.00 5.61414 -128.399 -5.61414 5.61414 0.78 0.000201711 0.000151365 0.0165761 0.0140153 36 2688 38 6.79088e+06 215552 648988. 2245.63 5.52 0.12868 0.111403 25390 158009 -1 2372 16 1013 2342 157242 34732 0 0 157242 34732 2342 1490 0 0 7760 6560 0 0 12994 9179 0 0 2342 1623 0 0 64619 8210 0 0 67185 7670 0 0 2342 0 0 1329 1705 1777 12693 0 0 5.86474 5.86474 -145.476 -5.86474 0 0 828058. 2865.25 0.27 0.04 0.10 -1 -1 0.27 0.0120857 0.0109801 103 143 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_030.v common 14.54 vpr 63.36 MiB -1 -1 0.15 20580 11 0.15 -1 -1 35948 -1 -1 18 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64876 30 32 213 245 1 164 80 17 17 289 -1 unnamed_device 24.9 MiB 2.01 1022 63.4 MiB 0.04 0.00 5.07364 -114.347 -5.07364 5.07364 0.68 0.000165637 0.000136293 0.0105564 0.00882432 36 2615 24 6.79088e+06 242496 648988. 2245.63 9.89 0.111021 0.0953955 25390 158009 -1 2272 15 978 2361 154150 34252 0 0 154150 34252 2361 1570 0 0 7879 6703 0 0 12652 9089 0 0 2361 1737 0 0 60894 8210 0 0 68003 6943 0 0 2361 0 0 1383 1866 1808 13046 0 0 5.32424 5.32424 -134.352 -5.32424 0 0 828058. 2865.25 0.26 0.04 0.10 -1 -1 0.26 0.0105216 0.00964434 95 122 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_031.v common 6.45 vpr 63.38 MiB -1 -1 0.15 20904 11 0.16 -1 -1 35860 -1 -1 21 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64904 28 32 227 259 1 171 81 17 17 289 -1 unnamed_device 24.9 MiB 1.45 997 63.4 MiB 0.04 0.00 5.49223 -108.467 -5.49223 5.49223 0.76 0.000179275 0.00014827 0.0103649 0.00877269 34 2718 35 6.79088e+06 282912 618332. 2139.56 1.98 0.0667511 0.0581167 25102 150614 -1 2246 15 963 2598 166353 36984 0 0 166353 36984 2598 1475 0 0 8591 7260 0 0 14876 10294 0 0 2598 1700 0 0 68009 8180 0 0 69681 8075 0 0 2598 0 0 1635 2681 2450 17854 0 0 5.99343 5.99343 -127.534 -5.99343 0 0 787024. 2723.27 0.27 0.04 0.10 -1 -1 0.27 0.0119885 0.0110214 109 140 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_032.v common 15.32 vpr 63.65 MiB -1 -1 0.13 20676 12 0.21 -1 -1 35292 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65180 32 32 274 306 1 209 81 17 17 289 -1 unnamed_device 25.1 MiB 2.56 1143 63.7 MiB 0.07 0.00 5.90738 -136.439 -5.90738 5.90738 0.75 0.000225213 0.000183329 0.0183087 0.0153531 38 2855 28 6.79088e+06 229024 678818. 2348.85 9.85 0.196748 0.173807 25966 169698 -1 2410 17 1327 3186 174033 40190 0 0 174033 40190 3186 1841 0 0 10077 8545 0 0 16139 11178 0 0 3186 2119 0 0 69534 8478 0 0 71911 8029 0 0 3186 0 0 1859 2199 2398 17936 0 0 6.15798 6.15798 -150.842 -6.15798 0 0 902133. 3121.57 0.28 0.05 0.10 -1 -1 0.28 0.0150215 0.0136944 119 179 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_033.v common 8.70 vpr 63.57 MiB -1 -1 0.14 20708 12 0.15 -1 -1 35936 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65100 31 32 237 269 1 176 80 17 17 289 -1 unnamed_device 25.0 MiB 2.42 971 63.6 MiB 0.04 0.00 5.55148 -116.926 -5.55148 5.55148 0.74 0.000193647 0.000161295 0.0102856 0.00873886 36 2673 29 6.79088e+06 229024 648988. 2245.63 3.32 0.0969837 0.0839171 25390 158009 -1 2207 23 1341 3567 205322 46650 0 0 205322 46650 3567 1860 0 0 11144 9645 0 0 20003 13005 0 0 3567 2156 0 0 83671 9882 0 0 83370 10102 0 0 3567 0 0 2226 3384 3350 23675 0 0 6.05268 6.05268 -140.818 -6.05268 0 0 828058. 2865.25 0.26 0.05 0.10 -1 -1 0.26 0.0153511 0.0138893 101 144 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_034.v common 7.64 vpr 63.57 MiB -1 -1 0.15 20784 10 0.13 -1 -1 35928 -1 -1 17 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65100 29 32 220 252 1 166 78 17 17 289 -1 unnamed_device 25.0 MiB 1.71 976 63.6 MiB 0.05 0.00 4.98748 -113.133 -4.98748 4.98748 1.02 0.000172991 0.000142071 0.0127856 0.0106391 36 2698 22 6.79088e+06 229024 648988. 2245.63 2.89 0.0907518 0.0781876 25390 158009 -1 2144 17 861 2417 139133 31044 0 0 139133 31044 2417 1198 0 0 7829 6502 0 0 12591 8761 0 0 2417 1406 0 0 56390 6798 0 0 57489 6379 0 0 2417 0 0 1556 2644 2569 18718 0 0 5.23808 5.23808 -126.29 -5.23808 0 0 828058. 2865.25 0.26 0.04 0.10 -1 -1 0.26 0.0123473 0.0112375 103 131 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_035.v common 9.01 vpr 64.15 MiB -1 -1 0.17 21632 13 0.27 -1 -1 35748 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65692 32 32 315 347 1 232 85 17 17 289 -1 unnamed_device 25.7 MiB 1.74 1344 64.2 MiB 0.09 0.00 6.6382 -140.913 -6.6382 6.6382 0.84 0.000308541 0.000261307 0.0246019 0.0206948 46 3363 20 6.79088e+06 282912 828058. 2865.25 4.00 0.183527 0.161667 27406 200422 -1 2754 34 1460 4125 425837 184595 0 0 425837 184595 4125 1925 0 0 12728 10877 0 0 22880 15233 0 0 4125 2381 0 0 191651 78121 0 0 190328 76058 0 0 4125 0 0 2665 4614 5113 35219 0 0 6.6851 6.6851 -153.5 -6.6851 0 0 1.01997e+06 3529.29 0.31 0.16 0.12 -1 -1 0.31 0.03172 0.0282009 149 220 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_036.v common 9.11 vpr 64.06 MiB -1 -1 0.18 21476 14 0.31 -1 -1 35976 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65596 32 32 282 314 1 225 82 17 17 289 -1 unnamed_device 25.5 MiB 2.06 1246 64.1 MiB 0.05 0.00 6.74118 -146.348 -6.74118 6.74118 0.75 0.00025706 0.000217129 0.0122628 0.0104806 44 3445 20 6.79088e+06 242496 787024. 2723.27 3.84 0.138118 0.119565 27118 194962 -1 2673 16 1408 3930 202335 46200 0 0 202335 46200 3930 1857 0 0 12005 10450 0 0 19959 13486 0 0 3930 2197 0 0 80385 9270 0 0 82126 8940 0 0 3930 0 0 2522 3572 3831 27905 0 0 7.07788 7.07788 -163.627 -7.07788 0 0 997811. 3452.63 0.30 0.05 0.12 -1 -1 0.30 0.015555 0.014274 136 187 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_037.v common 7.95 vpr 63.40 MiB -1 -1 0.15 20748 12 0.16 -1 -1 35540 -1 -1 16 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64924 31 32 241 273 1 173 79 17 17 289 -1 unnamed_device 24.8 MiB 2.16 1100 63.4 MiB 0.04 0.00 5.82898 -130.14 -5.82898 5.82898 0.76 0.000186207 0.000154401 0.0120667 0.0101454 36 2759 19 6.79088e+06 215552 648988. 2245.63 2.78 0.0804239 0.0698781 25390 158009 -1 2279 16 910 2497 158327 35072 0 0 158327 35072 2497 1332 0 0 8127 6969 0 0 13931 9643 0 0 2497 1580 0 0 66026 7860 0 0 65249 7688 0 0 2497 0 0 1587 2825 2826 19297 0 0 6.33018 6.33018 -149.237 -6.33018 0 0 828058. 2865.25 0.27 0.04 0.13 -1 -1 0.27 0.0122691 0.0112077 101 148 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_038.v common 9.01 vpr 64.05 MiB -1 -1 0.16 20988 12 0.27 -1 -1 35804 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65592 31 32 307 339 1 226 87 17 17 289 -1 unnamed_device 25.7 MiB 2.50 1378 64.1 MiB 0.06 0.00 6.09421 -131.718 -6.09421 6.09421 0.77 0.000254501 0.000213523 0.0148855 0.0127081 38 3915 48 6.79088e+06 323328 678818. 2348.85 3.31 0.125512 0.109215 25966 169698 -1 3123 19 1583 4700 260296 57264 0 0 260296 57264 4700 2236 0 0 14067 12176 0 0 22513 15133 0 0 4700 2703 0 0 106080 12717 0 0 108236 12299 0 0 4700 0 0 3117 4380 4742 33257 0 0 6.42321 6.42321 -148.255 -6.42321 0 0 902133. 3121.57 0.29 0.07 0.11 -1 -1 0.29 0.0209395 0.0190436 146 214 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_039.v common 6.90 vpr 63.98 MiB -1 -1 0.18 21276 14 0.34 -1 -1 36092 -1 -1 22 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65516 31 32 293 325 1 209 85 17 17 289 -1 unnamed_device 25.5 MiB 1.29 1297 64.0 MiB 0.06 0.00 6.88537 -142.73 -6.88537 6.88537 0.78 0.000233025 0.000193776 0.0159166 0.0135469 36 3297 27 6.79088e+06 296384 648988. 2245.63 2.42 0.117358 0.104313 25390 158009 -1 2792 19 1306 3736 216112 49810 0 0 216112 49810 3736 2061 0 0 12489 10668 0 0 20260 14610 0 0 3736 2446 0 0 86849 10204 0 0 89042 9821 0 0 3736 0 0 2430 3745 3559 25280 0 0 7.42577 7.42577 -159.856 -7.42577 0 0 828058. 2865.25 0.28 0.06 0.10 -1 -1 0.28 0.0185358 0.0169586 142 200 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_040.v common 7.14 vpr 64.09 MiB -1 -1 0.17 21304 13 0.26 -1 -1 36256 -1 -1 23 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65624 31 32 276 308 1 215 86 17 17 289 -1 unnamed_device 25.6 MiB 2.05 1206 64.1 MiB 0.03 0.00 7.31166 -143.361 -7.31166 7.31166 0.73 0.000220988 0.000184163 0.00938736 0.00829827 38 3394 28 6.79088e+06 309856 678818. 2348.85 2.10 0.0885397 0.0774299 25966 169698 -1 2769 16 1281 3377 185970 42099 0 0 185970 42099 3377 1825 0 0 10689 9159 0 0 16470 11656 0 0 3377 2164 0 0 77037 8449 0 0 75020 8846 0 0 3377 0 0 2096 2903 3026 21214 0 0 7.43696 7.43696 -156.939 -7.43696 0 0 902133. 3121.57 0.27 0.05 0.10 -1 -1 0.27 0.0157565 0.0144742 136 183 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_041.v common 8.87 vpr 64.01 MiB -1 -1 0.16 21188 13 0.25 -1 -1 36140 -1 -1 21 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65548 31 32 269 301 1 204 84 17 17 289 -1 unnamed_device 25.5 MiB 1.93 1198 64.0 MiB 0.09 0.00 6.67042 -135.628 -6.67042 6.67042 0.97 0.000219101 0.000181805 0.018637 0.0158547 40 3399 31 6.79088e+06 282912 706193. 2443.58 3.60 0.105679 0.0922117 26254 175826 -1 3053 33 1436 4248 621885 254789 0 0 621885 254789 4248 2479 0 0 13832 11817 0 0 27597 17552 0 0 4248 2860 0 0 290837 110321 0 0 281123 109760 0 0 4248 0 0 2812 6319 5488 37173 0 0 6.92102 6.92102 -154.998 -6.92102 0 0 926341. 3205.33 0.28 0.17 0.11 -1 -1 0.28 0.0273102 0.024737 125 176 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_042.v common 7.79 vpr 63.64 MiB -1 -1 0.15 20768 12 0.18 -1 -1 36072 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65164 32 32 264 296 1 184 80 17 17 289 -1 unnamed_device 25.1 MiB 2.06 1008 63.6 MiB 0.06 0.00 5.64559 -119.642 -5.64559 5.64559 0.74 0.000199079 0.000163363 0.0168928 0.0141931 34 3403 42 6.79088e+06 215552 618332. 2139.56 2.80 0.0904938 0.078461 25102 150614 -1 2432 16 1098 2945 183609 42838 0 0 183609 42838 2945 1659 0 0 9883 8328 0 0 16694 11669 0 0 2945 1816 0 0 75083 9667 0 0 76059 9699 0 0 2945 0 0 1847 3340 3712 23138 0 0 6.01795 6.01795 -142.566 -6.01795 0 0 787024. 2723.27 0.26 0.05 0.09 -1 -1 0.26 0.0141699 0.0129645 111 169 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_043.v common 7.54 vpr 64.37 MiB -1 -1 0.19 22128 14 0.40 -1 -1 36028 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65916 32 32 324 356 1 241 85 17 17 289 -1 unnamed_device 25.8 MiB 1.10 1568 64.4 MiB 0.06 0.00 6.7243 -147.587 -6.7243 6.7243 0.94 0.000274596 0.000229367 0.0173632 0.014746 38 4302 48 6.79088e+06 282912 678818. 2348.85 3.00 0.126627 0.112166 25966 169698 -1 3550 16 1633 4927 291289 63734 0 0 291289 63734 4927 2570 0 0 15432 13398 0 0 24074 16749 0 0 4927 2997 0 0 121143 13984 0 0 120786 14036 0 0 4927 0 0 3294 6188 6401 42490 0 0 7.4761 7.4761 -173.672 -7.4761 0 0 902133. 3121.57 0.29 0.07 0.11 -1 -1 0.29 0.0203225 0.0187375 159 229 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_044.v common 7.67 vpr 63.57 MiB -1 -1 0.14 20608 11 0.19 -1 -1 35932 -1 -1 16 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65092 31 32 249 281 1 186 79 17 17 289 -1 unnamed_device 25.1 MiB 2.28 1170 63.6 MiB 0.04 0.00 5.48104 -120.034 -5.48104 5.48104 0.74 0.000226927 0.000191725 0.00989325 0.00841032 38 3180 32 6.79088e+06 215552 678818. 2348.85 2.62 0.0963892 0.0821146 25966 169698 -1 2562 18 1263 3688 199284 44094 0 0 199284 44094 3688 1782 0 0 11154 9796 0 0 17727 12076 0 0 3688 2130 0 0 80946 9227 0 0 82081 9083 0 0 3688 0 0 2425 3402 3429 24674 0 0 5.61747 5.61747 -134.753 -5.61747 0 0 902133. 3121.57 0.27 0.05 0.10 -1 -1 0.27 0.0142081 0.0129587 112 156 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_045.v common 6.86 vpr 63.86 MiB -1 -1 0.16 21344 13 0.27 -1 -1 35964 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65388 31 32 284 316 1 193 83 17 17 289 -1 unnamed_device 25.3 MiB 1.67 1191 63.9 MiB 0.08 0.02 6.38411 -136.826 -6.38411 6.38411 0.76 0.000225904 0.00018696 0.0175614 0.0148561 36 3382 39 6.79088e+06 269440 648988. 2245.63 2.16 0.0942403 0.0820247 25390 158009 -1 2793 18 1275 3958 262785 58474 0 0 262785 58474 3958 1950 0 0 12936 11144 0 0 21603 15061 0 0 3958 2243 0 0 108527 14534 0 0 111803 13542 0 0 3958 0 0 2683 4881 5199 35149 0 0 6.92102 6.92102 -158.525 -6.92102 0 0 828058. 2865.25 0.32 0.07 0.10 -1 -1 0.32 0.0180159 0.0163458 137 191 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_046.v common 9.95 vpr 64.12 MiB -1 -1 0.16 21044 12 0.26 -1 -1 36092 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65660 32 32 303 335 1 212 85 17 17 289 -1 unnamed_device 25.5 MiB 1.99 1242 64.1 MiB 0.08 0.00 5.95428 -129.63 -5.95428 5.95428 0.96 0.000244799 0.000202556 0.0229955 0.0191236 38 3842 26 6.79088e+06 282912 678818. 2348.85 4.59 0.112462 0.0973045 25966 169698 -1 2964 18 1450 4542 249019 55572 0 0 249019 55572 4542 2257 0 0 13918 12162 0 0 21941 15040 0 0 4542 2723 0 0 101846 11717 0 0 102230 11673 0 0 4542 0 0 3092 5490 5812 39212 0 0 6.33362 6.33362 -150.493 -6.33362 0 0 902133. 3121.57 0.29 0.06 0.20 -1 -1 0.29 0.0180151 0.0164418 146 208 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_047.v common 9.88 vpr 63.86 MiB -1 -1 0.14 20772 13 0.22 -1 -1 36184 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65396 32 32 272 304 1 200 86 17 17 289 -1 unnamed_device 25.5 MiB 1.28 1153 63.9 MiB 0.06 0.00 6.47021 -137.224 -6.47021 6.47021 0.75 0.00022744 0.00018791 0.0165801 0.0139487 30 3447 47 6.79088e+06 296384 556674. 1926.21 5.63 0.145016 0.125472 24526 138013 -1 2557 21 1326 3374 181089 42906 0 0 181089 42906 3374 1749 0 0 10679 9010 0 0 15855 11528 0 0 3374 2042 0 0 74637 9161 0 0 73170 9416 0 0 3374 0 0 2048 2588 2814 20266 0 0 6.72081 6.72081 -154.235 -6.72081 0 0 706193. 2443.58 0.27 0.05 0.08 -1 -1 0.27 0.0180056 0.0163748 131 177 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_048.v common 9.42 vpr 63.99 MiB -1 -1 0.17 20908 13 0.22 -1 -1 36028 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65528 32 32 271 303 1 212 82 17 17 289 -1 unnamed_device 25.6 MiB 2.55 1131 64.0 MiB 0.07 0.00 6.13346 -131.55 -6.13346 6.13346 0.75 0.00022635 0.000183616 0.0173492 0.0143828 38 3477 33 6.79088e+06 242496 678818. 2348.85 3.89 0.104362 0.0910011 25966 169698 -1 2576 17 1358 3482 186250 42774 0 0 186250 42774 3482 1833 0 0 10650 9119 0 0 16376 11363 0 0 3482 2115 0 0 75127 9380 0 0 77133 8964 0 0 3482 0 0 2124 3738 3477 25915 0 0 6.21956 6.21956 -145.004 -6.21956 0 0 902133. 3121.57 0.28 0.05 0.10 -1 -1 0.28 0.0152365 0.0139503 124 176 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_049.v common 7.94 vpr 64.30 MiB -1 -1 0.17 20872 12 0.25 -1 -1 36348 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65844 32 32 288 320 1 218 84 17 17 289 -1 unnamed_device 25.7 MiB 2.13 1384 64.3 MiB 0.05 0.00 6.20837 -138.171 -6.20837 6.20837 0.73 0.000249813 0.000209652 0.0125863 0.0107314 36 3857 50 6.79088e+06 269440 648988. 2245.63 2.96 0.124137 0.108862 25390 158009 -1 3100 17 1353 4232 273311 57661 0 0 273311 57661 4232 2210 0 0 13329 11425 0 0 23056 15452 0 0 4232 2557 0 0 112376 13378 0 0 116086 12639 0 0 4232 0 0 2879 6311 6462 41244 0 0 6.45897 6.45897 -155.686 -6.45897 0 0 828058. 2865.25 0.26 0.06 0.10 -1 -1 0.26 0.0176954 0.0161618 140 193 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_050.v common 15.92 vpr 64.00 MiB -1 -1 0.18 21304 13 0.28 -1 -1 35960 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65536 32 32 306 338 1 225 84 17 17 289 -1 unnamed_device 25.4 MiB 1.67 1323 64.0 MiB 0.03 0.00 6.76001 -142.904 -6.76001 6.76001 0.90 0.000241979 0.000199996 0.00826011 0.00712837 38 3431 33 6.79088e+06 269440 678818. 2348.85 10.99 0.162657 0.141658 25966 169698 -1 2788 17 1446 4091 196976 47240 0 0 196976 47240 4091 1997 0 0 12734 10865 0 0 19417 13679 0 0 4091 2411 0 0 76914 9565 0 0 79729 8723 0 0 4091 0 0 2645 4275 3840 29589 0 0 7.01061 7.01061 -162.523 -7.01061 0 0 902133. 3121.57 0.27 0.05 0.10 -1 -1 0.27 0.0186216 0.0170541 145 211 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_051.v common 8.46 vpr 63.94 MiB -1 -1 0.14 20712 14 0.27 -1 -1 35936 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65472 32 32 262 294 1 194 84 17 17 289 -1 unnamed_device 25.5 MiB 1.38 1242 63.9 MiB 0.07 0.00 6.67048 -139.801 -6.67048 6.67048 0.76 0.000211836 0.000175552 0.019012 0.0158707 38 3218 25 6.79088e+06 269440 678818. 2348.85 4.07 0.130269 0.113104 25966 169698 -1 2623 18 1189 3382 185220 42073 0 0 185220 42073 3382 1741 0 0 10795 9380 0 0 16834 11918 0 0 3382 2145 0 0 75953 8404 0 0 74874 8485 0 0 3382 0 0 2193 3340 3656 24965 0 0 7.46497 7.46497 -165.2 -7.46497 0 0 902133. 3121.57 0.27 0.05 0.10 -1 -1 0.27 0.0167065 0.0153547 125 167 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_052.v common 7.99 vpr 63.99 MiB -1 -1 0.15 21064 13 0.26 -1 -1 36240 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65524 32 32 291 323 1 214 85 17 17 289 -1 unnamed_device 25.5 MiB 2.24 1289 64.0 MiB 0.08 0.00 6.51285 -133.328 -6.51285 6.51285 0.78 0.000236367 0.000195638 0.0218557 0.0184672 36 3598 30 6.79088e+06 282912 648988. 2245.63 2.53 0.0961287 0.0833882 25390 158009 -1 3150 18 1499 4133 253139 56552 0 0 253139 56552 4133 2336 0 0 13380 11269 0 0 22388 15552 0 0 4133 2706 0 0 104804 12484 0 0 104301 12205 0 0 4133 0 0 2634 3719 4277 28725 0 0 6.84955 6.84955 -155.659 -6.84955 0 0 828058. 2865.25 0.30 0.06 0.12 -1 -1 0.30 0.0173227 0.0158159 136 196 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_053.v common 6.80 vpr 63.99 MiB -1 -1 0.19 21248 13 0.28 -1 -1 36052 -1 -1 21 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65524 31 32 302 334 1 224 84 17 17 289 -1 unnamed_device 25.4 MiB 1.83 1358 64.0 MiB 0.04 0.00 6.45902 -141.579 -6.45902 6.45902 0.72 0.000258621 0.000208126 0.0110902 0.00941917 38 3856 47 6.79088e+06 282912 678818. 2348.85 1.97 0.0945883 0.0823556 25966 169698 -1 3015 19 1644 4634 257332 56401 0 0 257332 56401 4634 2439 0 0 14162 12164 0 0 22414 15365 0 0 4634 2878 0 0 104647 11916 0 0 106841 11639 0 0 4634 0 0 2990 5428 4962 34784 0 0 6.87412 6.87412 -161.98 -6.87412 0 0 902133. 3121.57 0.29 0.06 0.10 -1 -1 0.29 0.0192762 0.0175373 144 209 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_054.v common 8.84 vpr 64.39 MiB -1 -1 0.17 21052 12 0.29 -1 -1 36252 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65936 32 32 308 340 1 225 85 17 17 289 -1 unnamed_device 25.7 MiB 1.72 1293 64.4 MiB 0.07 0.00 6.36178 -135.889 -6.36178 6.36178 0.71 0.000236792 0.000195278 0.0205837 0.0172214 44 3519 21 6.79088e+06 282912 787024. 2723.27 3.97 0.138955 0.120008 27118 194962 -1 2727 19 1417 3995 212419 49124 0 0 212419 49124 3995 2008 0 0 12558 10967 0 0 20986 14613 0 0 3995 2396 0 0 85040 9575 0 0 85845 9565 0 0 3995 0 0 2578 3458 3892 26505 0 0 6.70613 6.70613 -152.493 -6.70613 0 0 997811. 3452.63 0.31 0.05 0.12 -1 -1 0.31 0.0184373 0.0168403 147 213 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_055.v common 6.36 vpr 63.55 MiB -1 -1 0.12 21012 11 0.13 -1 -1 35840 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65080 32 32 216 248 1 160 78 17 17 289 -1 unnamed_device 25.1 MiB 1.20 794 63.6 MiB 0.04 0.00 5.10508 -108.421 -5.10508 5.10508 0.77 0.000181764 0.000152153 0.00936407 0.00816804 36 2535 27 6.79088e+06 188608 648988. 2245.63 2.27 0.098053 0.0891661 25390 158009 -1 1909 16 859 2142 120134 29045 0 0 120134 29045 2142 1235 0 0 7107 5911 0 0 11209 8208 0 0 2142 1422 0 0 45661 6618 0 0 51873 5651 0 0 2142 0 0 1283 1772 1695 13122 0 0 5.44178 5.44178 -127.487 -5.44178 0 0 828058. 2865.25 0.25 0.03 0.10 -1 -1 0.25 0.01065 0.00968816 91 121 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_056.v common 6.95 vpr 63.65 MiB -1 -1 0.15 21264 13 0.21 -1 -1 35656 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65180 32 32 254 286 1 197 84 17 17 289 -1 unnamed_device 25.0 MiB 1.78 1142 63.7 MiB 0.03 0.00 6.36948 -134.926 -6.36948 6.36948 0.78 0.000213958 0.000174292 0.00890693 0.00761945 36 3290 30 6.79088e+06 269440 648988. 2245.63 2.18 0.089913 0.0794648 25390 158009 -1 2439 18 1120 2954 162547 38551 0 0 162547 38551 2954 1674 0 0 9368 7964 0 0 15838 10868 0 0 2954 1857 0 0 64262 8590 0 0 67171 7598 0 0 2954 0 0 1834 3024 2839 19761 0 0 6.78797 6.78797 -156.153 -6.78797 0 0 828058. 2865.25 0.26 0.04 0.10 -1 -1 0.26 0.0145392 0.0133101 118 159 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_057.v common 8.21 vpr 64.21 MiB -1 -1 0.18 21828 14 0.43 -1 -1 36196 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65752 32 32 338 370 1 251 88 17 17 289 -1 unnamed_device 25.8 MiB 1.22 1624 64.2 MiB 0.06 0.00 7.64076 -154.409 -7.64076 7.64076 0.77 0.000306309 0.000250218 0.0170964 0.0143549 46 4202 24 6.79088e+06 323328 828058. 2865.25 3.51 0.165357 0.149893 27406 200422 -1 3421 18 1753 5178 282114 60969 0 0 282114 60969 5178 2433 0 0 15956 13869 0 0 25043 17275 0 0 5178 3034 0 0 112465 12587 0 0 118294 11771 0 0 5178 0 0 3425 5368 5353 37738 0 0 8.2315 8.2315 -177.544 -8.2315 0 0 1.01997e+06 3529.29 0.33 0.13 0.12 -1 -1 0.33 0.0424338 0.0402716 171 243 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_058.v common 8.82 vpr 64.05 MiB -1 -1 0.17 21316 13 0.26 -1 -1 36204 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65592 32 32 271 303 1 215 85 17 17 289 -1 unnamed_device 25.6 MiB 1.50 1376 64.1 MiB 0.05 0.00 6.76001 -145.356 -6.76001 6.76001 1.00 0.00021665 0.000179164 0.0134376 0.0113907 44 3288 30 6.79088e+06 282912 787024. 2723.27 3.98 0.124725 0.10814 27118 194962 -1 2771 15 1175 3162 178831 39965 0 0 178831 39965 3162 1625 0 0 10172 8763 0 0 16679 11637 0 0 3162 1930 0 0 72694 7899 0 0 72962 8111 0 0 3162 0 0 1987 2989 3471 22971 0 0 7.13591 7.13591 -167.393 -7.13591 0 0 997811. 3452.63 0.35 0.07 0.12 -1 -1 0.35 0.0281015 0.0267545 134 176 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_059.v common 5.18 vpr 63.60 MiB -1 -1 0.15 20880 11 0.16 -1 -1 35908 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65124 30 32 224 256 1 163 79 17 17 289 -1 unnamed_device 25.1 MiB 0.86 1031 63.6 MiB 0.03 0.00 5.69249 -122.087 -5.69249 5.69249 0.73 0.00017787 0.000145884 0.00717456 0.00610064 30 3073 40 6.79088e+06 229024 556674. 1926.21 1.56 0.0562474 0.048767 24526 138013 -1 2346 19 1081 3063 181983 40071 0 0 181983 40071 3063 1680 0 0 9470 8233 0 0 15314 10317 0 0 3063 1926 0 0 75231 8929 0 0 75842 8986 0 0 3063 0 0 1982 3192 3343 22052 0 0 6.15444 6.15444 -143.566 -6.15444 0 0 706193. 2443.58 0.23 0.04 0.09 -1 -1 0.23 0.0132275 0.0119927 101 133 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_060.v common 7.33 vpr 64.41 MiB -1 -1 0.18 21604 15 0.51 -1 -1 36228 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65952 32 32 351 383 1 259 89 17 17 289 -1 unnamed_device 25.9 MiB 1.06 1508 64.4 MiB 0.12 0.00 7.85565 -160.53 -7.85565 7.85565 1.07 0.000314542 0.000256659 0.0319188 0.0288541 46 3663 20 6.79088e+06 336800 828058. 2865.25 2.38 0.183224 0.167638 27406 200422 -1 3103 17 1631 4799 231484 53930 0 0 231484 53930 4799 2080 0 0 15074 12867 0 0 23290 16492 0 0 4799 2548 0 0 92947 10009 0 0 90575 9934 0 0 4799 0 0 3168 5380 4993 38516 0 0 8.35685 8.35685 -180.562 -8.35685 0 0 1.01997e+06 3529.29 0.35 0.07 0.13 -1 -1 0.35 0.0242742 0.0218444 179 256 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_061.v common 7.37 vpr 64.12 MiB -1 -1 0.16 21100 13 0.31 -1 -1 36372 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65660 32 32 297 329 1 217 84 17 17 289 -1 unnamed_device 25.5 MiB 1.06 1287 64.1 MiB 0.08 0.00 6.76001 -145.768 -6.76001 6.76001 0.77 0.000325647 0.000280116 0.0209019 0.0175271 36 3383 42 6.79088e+06 269440 648988. 2245.63 3.17 0.116077 0.10088 25390 158009 -1 2867 19 1274 3509 197103 44628 0 0 197103 44628 3509 1907 0 0 11327 9593 0 0 18708 12930 0 0 3509 2201 0 0 80404 9050 0 0 79646 8947 0 0 3509 0 0 2235 3472 4127 25943 0 0 7.01061 7.01061 -163.515 -7.01061 0 0 828058. 2865.25 0.31 0.05 0.10 -1 -1 0.31 0.0190472 0.0174242 139 202 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_062.v common 7.39 vpr 63.34 MiB -1 -1 0.13 20512 11 0.12 -1 -1 35824 -1 -1 13 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64860 32 32 231 263 1 165 77 17 17 289 -1 unnamed_device 24.8 MiB 1.33 1049 63.3 MiB 0.06 0.00 5.40613 -119.346 -5.40613 5.40613 0.77 0.000177706 0.000146683 0.0141032 0.0118303 38 2501 18 6.79088e+06 175136 678818. 2348.85 3.27 0.105789 0.0885374 25966 169698 -1 2078 17 839 2186 126988 28238 0 0 126988 28238 2186 1129 0 0 7007 5970 0 0 10962 7712 0 0 2186 1309 0 0 51543 6314 0 0 53104 5804 0 0 2186 0 0 1347 1941 1895 14229 0 0 5.65673 5.65673 -135.986 -5.65673 0 0 902133. 3121.57 0.29 0.04 0.10 -1 -1 0.29 0.0124962 0.0114177 94 136 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_063.v common 11.34 vpr 64.15 MiB -1 -1 0.15 21200 12 0.31 -1 -1 35696 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65692 32 32 305 337 1 217 84 17 17 289 -1 unnamed_device 25.8 MiB 1.08 1356 64.2 MiB 0.06 0.00 6.42326 -135.664 -6.42326 6.42326 1.05 0.000251504 0.000207131 0.0162334 0.0137163 36 3830 50 6.79088e+06 269440 648988. 2245.63 6.80 0.122722 0.106905 25390 158009 -1 3091 17 1441 4415 280502 60401 0 0 280502 60401 4415 2273 0 0 14144 12138 0 0 23784 16345 0 0 4415 2596 0 0 116912 13560 0 0 116832 13489 0 0 4415 0 0 2974 6248 6866 43877 0 0 6.58776 6.58776 -155.475 -6.58776 0 0 828058. 2865.25 0.27 0.06 0.18 -1 -1 0.27 0.0174388 0.0158623 146 210 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_064.v common 8.29 vpr 63.87 MiB -1 -1 0.13 20624 12 0.19 -1 -1 35576 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65400 32 32 243 275 1 187 82 17 17 289 -1 unnamed_device 25.4 MiB 1.21 1012 63.9 MiB 0.07 0.00 6.07963 -128.905 -6.07963 6.07963 0.74 0.000199341 0.000165769 0.0174953 0.0147362 46 2516 23 6.79088e+06 242496 828058. 2865.25 4.05 0.128613 0.111851 27406 200422 -1 1971 15 1088 2864 147118 34832 0 0 147118 34832 2864 1402 0 0 9160 7723 0 0 14122 10016 0 0 2864 1708 0 0 59392 6865 0 0 58716 7118 0 0 2864 0 0 1776 2052 2367 17531 0 0 6.20493 6.20493 -138.381 -6.20493 0 0 1.01997e+06 3529.29 0.33 0.04 0.13 -1 -1 0.33 0.0131616 0.012069 113 148 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_065.v common 6.24 vpr 63.75 MiB -1 -1 0.14 20768 12 0.17 -1 -1 36004 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65276 30 32 228 260 1 166 79 17 17 289 -1 unnamed_device 25.3 MiB 0.97 926 63.7 MiB 0.08 0.00 6.16917 -122.538 -6.16917 6.16917 0.82 0.000288274 0.000250745 0.0220788 0.0207946 36 2568 26 6.79088e+06 229024 648988. 2245.63 2.27 0.0946805 0.0838524 25390 158009 -1 2063 17 878 2406 142807 32932 0 0 142807 32932 2406 1201 0 0 7977 6761 0 0 12991 9334 0 0 2406 1427 0 0 58419 7094 0 0 58608 7115 0 0 2406 0 0 1528 2395 2643 17920 0 0 6.41977 6.41977 -138.797 -6.41977 0 0 828058. 2865.25 0.30 0.04 0.13 -1 -1 0.30 0.0124595 0.01138 106 137 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_066.v common 7.69 vpr 63.85 MiB -1 -1 0.18 21028 12 0.27 -1 -1 36064 -1 -1 26 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65380 29 32 275 307 1 201 87 17 17 289 -1 unnamed_device 25.3 MiB 2.12 1225 63.8 MiB 0.03 0.00 6.25527 -120.607 -6.25527 6.25527 0.76 0.000243406 0.000205314 0.00846641 0.00728542 38 3120 32 6.79088e+06 350272 678818. 2348.85 2.35 0.0952202 0.0835898 25966 169698 -1 2713 19 1201 3592 194871 42943 0 0 194871 42943 3592 1701 0 0 11085 9504 0 0 17111 11905 0 0 3592 2081 0 0 81361 8731 0 0 78130 9021 0 0 3592 0 0 2391 4824 4309 30766 0 0 6.38057 6.38057 -135.001 -6.38057 0 0 902133. 3121.57 0.30 0.05 0.11 -1 -1 0.30 0.0184426 0.0168651 140 186 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_067.v common 9.53 vpr 64.25 MiB -1 -1 0.16 20992 13 0.33 -1 -1 35948 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65796 32 32 330 362 1 257 87 17 17 289 -1 unnamed_device 25.7 MiB 0.97 1481 64.3 MiB 0.07 0.00 6.67386 -141.288 -6.67386 6.67386 0.84 0.000264989 0.000219047 0.0171216 0.014509 36 4215 42 6.79088e+06 309856 648988. 2245.63 5.37 0.125206 0.108829 25390 158009 -1 3406 19 2106 5143 310073 68109 0 0 310073 68109 5143 3052 0 0 16056 13641 0 0 27416 18637 0 0 5143 3504 0 0 129173 14467 0 0 127142 14808 0 0 5143 0 0 3037 4449 4966 30917 0 0 7.21077 7.21077 -168.857 -7.21077 0 0 828058. 2865.25 0.27 0.07 0.10 -1 -1 0.27 0.0202385 0.0184154 160 235 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_068.v common 8.41 vpr 64.02 MiB -1 -1 0.16 21064 12 0.22 -1 -1 36256 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65560 32 32 290 322 1 218 84 17 17 289 -1 unnamed_device 25.5 MiB 1.21 1320 64.0 MiB 0.05 0.00 6.70187 -141.173 -6.70187 6.70187 0.79 0.000249935 0.000204386 0.0140295 0.0120841 44 3292 19 6.79088e+06 269440 787024. 2723.27 3.89 0.132213 0.11524 27118 194962 -1 2765 18 1432 4103 230287 50909 0 0 230287 50909 4103 2050 0 0 12956 11265 0 0 21661 14935 0 0 4103 2512 0 0 92461 10316 0 0 95003 9831 0 0 4103 0 0 2671 4698 4728 31704 0 0 6.71306 6.71306 -155.751 -6.71306 0 0 997811. 3452.63 0.32 0.06 0.12 -1 -1 0.32 0.0175988 0.0160173 140 195 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_069.v common 7.59 vpr 63.48 MiB -1 -1 0.13 21060 12 0.14 -1 -1 36488 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65004 32 32 214 246 1 160 79 17 17 289 -1 unnamed_device 25.0 MiB 1.94 952 63.5 MiB 0.03 0.00 6.12227 -127.798 -6.12227 6.12227 0.76 0.000174046 0.000144032 0.00651855 0.00558972 36 2406 15 6.79088e+06 202080 648988. 2245.63 2.79 0.0612568 0.0531778 25390 158009 -1 2184 36 909 2448 343078 150126 0 0 343078 150126 2448 1388 0 0 8118 6918 0 0 15492 10602 0 0 2448 1575 0 0 157428 62973 0 0 157144 66670 0 0 2448 0 0 1539 2404 2734 17475 0 0 6.37287 6.37287 -148.343 -6.37287 0 0 828058. 2865.25 0.26 0.09 0.10 -1 -1 0.26 0.0183762 0.0164117 93 119 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_070.v common 7.91 vpr 63.62 MiB -1 -1 0.16 21328 12 0.21 -1 -1 36024 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65152 31 32 244 276 1 178 82 17 17 289 -1 unnamed_device 25.1 MiB 1.34 1153 63.6 MiB 0.05 0.00 5.97433 -125.395 -5.97433 5.97433 0.78 0.000200862 0.000168224 0.0120758 0.0101931 36 3013 21 6.79088e+06 255968 648988. 2245.63 3.40 0.0876014 0.0756854 25390 158009 -1 2369 16 1001 2695 161961 36273 0 0 161961 36273 2695 1544 0 0 8638 7318 0 0 14350 9959 0 0 2695 1736 0 0 65494 8012 0 0 68089 7704 0 0 2695 0 0 1694 2553 2768 18590 0 0 6.60083 6.60083 -146.474 -6.60083 0 0 828058. 2865.25 0.26 0.04 0.10 -1 -1 0.26 0.013261 0.0120707 111 151 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_071.v common 8.35 vpr 63.92 MiB -1 -1 0.16 21236 11 0.17 -1 -1 35988 -1 -1 20 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65452 30 32 276 308 1 190 82 17 17 289 -1 unnamed_device 25.6 MiB 1.41 1156 63.9 MiB 0.05 0.00 5.62872 -116.366 -5.62872 5.62872 0.76 0.000227084 0.000188159 0.0138678 0.0117569 38 2961 36 6.79088e+06 269440 678818. 2348.85 3.85 0.135989 0.118236 25966 169698 -1 2482 16 1082 3379 174707 39067 0 0 174707 39067 3379 1681 0 0 10495 8873 0 0 15897 11231 0 0 3379 2030 0 0 70622 7724 0 0 70935 7528 0 0 3379 0 0 2297 4143 4025 29376 0 0 5.87932 5.87932 -131.688 -5.87932 0 0 902133. 3121.57 0.32 0.05 0.13 -1 -1 0.32 0.0155055 0.0142194 125 185 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_072.v common 7.71 vpr 63.82 MiB -1 -1 0.13 20588 11 0.19 -1 -1 35944 -1 -1 19 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65356 28 32 253 285 1 176 79 17 17 289 -1 unnamed_device 25.3 MiB 1.20 1024 63.8 MiB 0.03 0.00 5.48104 -107.687 -5.48104 5.48104 0.76 0.000216478 0.000182141 0.00904902 0.00778487 36 2845 25 6.79088e+06 255968 648988. 2245.63 3.66 0.0827716 0.0716452 25390 158009 -1 2459 20 1173 3478 212141 46901 0 0 212141 46901 3478 1782 0 0 11107 9641 0 0 18966 12959 0 0 3478 2049 0 0 86579 10362 0 0 88533 10108 0 0 3478 0 0 2305 4002 4323 28966 0 0 5.60634 5.60634 -122.59 -5.60634 0 0 828058. 2865.25 0.28 0.05 0.10 -1 -1 0.28 0.0161621 0.0146954 116 166 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_073.v common 9.14 vpr 63.50 MiB -1 -1 0.15 21328 13 0.19 -1 -1 35892 -1 -1 18 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65024 30 32 235 267 1 172 80 17 17 289 -1 unnamed_device 25.1 MiB 1.72 1061 63.5 MiB 0.06 0.00 5.9509 -124.204 -5.9509 5.9509 0.76 0.00020179 0.000169562 0.01783 0.0152826 36 3047 41 6.79088e+06 242496 648988. 2245.63 4.26 0.0971798 0.0843146 25390 158009 -1 2333 17 998 2787 160649 36602 0 0 160649 36602 2787 1444 0 0 9084 7800 0 0 14818 10464 0 0 2787 1769 0 0 66366 7589 0 0 64807 7536 0 0 2787 0 0 1789 2651 2720 19045 0 0 6.11529 6.11529 -139.373 -6.11529 0 0 828058. 2865.25 0.37 0.08 0.10 -1 -1 0.37 0.0214285 0.0200647 108 144 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_074.v common 6.95 vpr 63.61 MiB -1 -1 0.15 21280 12 0.19 -1 -1 35828 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65132 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 25.0 MiB 2.23 1225 63.6 MiB 0.04 0.00 5.66792 -136.48 -5.66792 5.66792 0.77 0.00020403 0.000168046 0.010139 0.00857277 38 3074 27 6.79088e+06 242496 678818. 2348.85 1.81 0.0708404 0.0617416 25966 169698 -1 2595 15 1142 3015 165664 37565 0 0 165664 37565 3015 1653 0 0 9531 8186 0 0 14911 10483 0 0 3015 1889 0 0 67073 7853 0 0 68119 7501 0 0 3015 0 0 1873 2739 2468 19209 0 0 6.12992 6.12992 -156.414 -6.12992 0 0 902133. 3121.57 0.29 0.04 0.11 -1 -1 0.29 0.0144377 0.0132649 120 169 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_075.v common 6.54 vpr 63.81 MiB -1 -1 0.15 20824 13 0.29 -1 -1 36056 -1 -1 21 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65344 31 32 278 310 1 200 84 17 17 289 -1 unnamed_device 25.3 MiB 1.59 1261 63.8 MiB 0.05 0.00 6.92806 -142.643 -6.92806 6.92806 0.75 0.000226045 0.000187654 0.0141074 0.0119664 36 3374 35 6.79088e+06 282912 648988. 2245.63 2.00 0.105288 0.0915479 25390 158009 -1 2604 17 1213 3219 169237 39678 0 0 169237 39678 3219 1711 0 0 10606 8863 0 0 16699 12008 0 0 3219 1976 0 0 67770 7625 0 0 67724 7495 0 0 3219 0 0 2006 2749 3093 21359 0 0 7.26127 7.26127 -158.56 -7.26127 0 0 828058. 2865.25 0.27 0.05 0.10 -1 -1 0.27 0.0166318 0.0152403 137 185 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_076.v common 8.44 vpr 63.80 MiB -1 -1 0.18 21092 14 0.25 -1 -1 36668 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65336 32 32 290 322 1 212 84 17 17 289 -1 unnamed_device 25.3 MiB 1.23 1354 63.8 MiB 0.08 0.00 7.26476 -155.727 -7.26476 7.26476 0.75 0.00025769 0.000217009 0.020999 0.0175838 44 3438 36 6.79088e+06 269440 787024. 2723.27 3.95 0.148509 0.129719 27118 194962 -1 2756 18 1272 3722 204052 44566 0 0 204052 44566 3722 1786 0 0 11551 10017 0 0 19281 12961 0 0 3722 2125 0 0 84267 8782 0 0 81509 8895 0 0 3722 0 0 2450 4024 4599 30565 0 0 7.76595 7.76595 -173.791 -7.76595 0 0 997811. 3452.63 0.41 0.10 0.12 -1 -1 0.41 0.0302648 0.0285812 132 195 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_077.v common 10.20 vpr 63.89 MiB -1 -1 0.16 21336 14 0.24 -1 -1 36480 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65424 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 25.5 MiB 2.23 995 63.9 MiB 0.07 0.00 6.75231 -131.012 -6.75231 6.75231 0.73 0.000222587 0.000177711 0.0202058 0.0170026 44 2815 39 6.79088e+06 229024 787024. 2723.27 4.94 0.168667 0.146436 27118 194962 -1 2185 16 1134 3295 165921 39502 0 0 165921 39502 3295 1602 0 0 10369 8859 0 0 16713 11734 0 0 3295 1907 0 0 64804 7785 0 0 67445 7615 0 0 3295 0 0 2161 4023 3975 28086 0 0 6.87761 6.87761 -145.007 -6.87761 0 0 997811. 3452.63 0.33 0.04 0.12 -1 -1 0.33 0.0156244 0.0143719 122 174 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_078.v common 6.58 vpr 64.05 MiB -1 -1 0.16 21552 13 0.35 -1 -1 36060 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65588 32 32 296 328 1 223 86 17 17 289 -1 unnamed_device 25.7 MiB 1.73 1453 64.1 MiB 0.05 0.00 6.99948 -143.294 -6.99948 6.99948 0.76 0.000263272 0.000210098 0.013573 0.0114373 38 3714 28 6.79088e+06 296384 678818. 2348.85 1.78 0.0882232 0.075413 25966 169698 -1 3334 18 1698 4611 267713 58059 0 0 267713 58059 4611 2402 0 0 14268 12431 0 0 22877 15548 0 0 4611 2896 0 0 110019 12425 0 0 111327 12357 0 0 4611 0 0 2913 5269 5166 34387 0 0 7.37538 7.37538 -167.095 -7.37538 0 0 902133. 3121.57 0.27 0.06 0.11 -1 -1 0.27 0.019316 0.0175924 144 201 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_079.v common 7.97 vpr 63.63 MiB -1 -1 0.15 20684 13 0.19 -1 -1 36012 -1 -1 18 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65156 30 32 234 266 1 175 80 17 17 289 -1 unnamed_device 25.2 MiB 2.07 1067 63.6 MiB 0.06 0.00 5.91857 -125.062 -5.91857 5.91857 0.76 0.000187733 0.000155309 0.015508 0.0130276 36 2711 34 6.79088e+06 242496 648988. 2245.63 2.81 0.0864139 0.0747199 25390 158009 -1 2376 14 955 2530 164045 36069 0 0 164045 36069 2530 1466 0 0 8159 6869 0 0 13526 9378 0 0 2530 1664 0 0 68764 8367 0 0 68536 8325 0 0 2530 0 0 1575 2380 2589 16870 0 0 6.29447 6.29447 -143.712 -6.29447 0 0 828058. 2865.25 0.48 0.04 0.10 -1 -1 0.48 0.0123177 0.0113176 104 143 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_080.v common 6.71 vpr 64.02 MiB -1 -1 0.16 21296 13 0.41 -1 -1 35976 -1 -1 22 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65560 30 32 291 323 1 225 84 17 17 289 -1 unnamed_device 25.6 MiB 1.81 1324 64.0 MiB 0.07 0.00 6.54518 -135.575 -6.54518 6.54518 0.73 0.000241149 0.000200186 0.0187392 0.0157999 38 3721 22 6.79088e+06 296384 678818. 2348.85 1.71 0.0885433 0.0769203 25966 169698 -1 3041 31 2315 6678 538664 194146 0 0 538664 194146 6678 3435 0 0 19244 17149 0 0 34765 21940 0 0 6678 4151 0 0 232973 73035 0 0 238326 74436 0 0 6678 0 0 4363 7163 7168 46970 0 0 7.07433 7.07433 -156.587 -7.07433 0 0 902133. 3121.57 0.27 0.13 0.10 -1 -1 0.27 0.0261786 0.0234885 145 200 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_081.v common 6.60 vpr 63.69 MiB -1 -1 0.17 20996 14 0.28 -1 -1 36052 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65216 32 32 274 306 1 205 82 17 17 289 -1 unnamed_device 25.2 MiB 1.57 1283 63.7 MiB 0.05 0.00 7.05762 -149.408 -7.05762 7.05762 0.77 0.00023098 0.000193253 0.0196425 0.0181279 44 3170 28 6.79088e+06 242496 787024. 2723.27 1.99 0.0956887 0.0841016 27118 194962 -1 2578 16 1204 3465 207944 44927 0 0 207944 44927 3465 1796 0 0 11013 9484 0 0 19118 12939 0 0 3465 2095 0 0 82894 9921 0 0 87989 8692 0 0 3465 0 0 2261 4315 3901 27654 0 0 7.43352 7.43352 -166.778 -7.43352 0 0 997811. 3452.63 0.32 0.05 0.12 -1 -1 0.32 0.0160954 0.0147727 128 179 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_082.v common 7.86 vpr 63.63 MiB -1 -1 0.17 21260 13 0.21 -1 -1 36236 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65160 31 32 266 298 1 196 82 17 17 289 -1 unnamed_device 25.0 MiB 1.74 1171 63.6 MiB 0.06 0.00 6.28333 -136.535 -6.28333 6.28333 0.74 0.000214575 0.000177892 0.0164741 0.0138911 36 3329 48 6.79088e+06 255968 648988. 2245.63 3.16 0.11199 0.0972467 25390 158009 -1 2765 21 1494 4164 269381 58623 0 0 269381 58623 4164 2248 0 0 13128 11198 0 0 23358 15149 0 0 4164 2628 0 0 111207 13896 0 0 113360 13504 0 0 4164 0 0 2670 4227 4428 29701 0 0 6.76985 6.76985 -157.172 -6.76985 0 0 828058. 2865.25 0.25 0.06 0.10 -1 -1 0.25 0.0160286 0.0144632 124 173 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_083.v common 8.83 vpr 63.81 MiB -1 -1 0.17 21240 13 0.20 -1 -1 36632 -1 -1 19 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65340 30 32 266 298 1 199 81 17 17 289 -1 unnamed_device 25.4 MiB 1.59 1189 63.8 MiB 0.05 0.00 6.13113 -122.629 -6.13113 6.13113 1.01 0.000205943 0.000169743 0.0140766 0.0119026 38 3434 26 6.79088e+06 255968 678818. 2348.85 3.95 0.120465 0.104227 25966 169698 -1 2641 18 1430 3947 221861 48351 0 0 221861 48351 3947 2127 0 0 11850 10347 0 0 19236 12835 0 0 3947 2459 0 0 89975 10694 0 0 92906 9889 0 0 3947 0 0 2517 4238 4201 29349 0 0 6.24519 6.24519 -138.118 -6.24519 0 0 902133. 3121.57 0.28 0.05 0.10 -1 -1 0.28 0.0162998 0.0147772 121 175 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_084.v common 26.77 vpr 64.12 MiB -1 -1 0.18 21340 14 0.36 -1 -1 35984 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65660 32 32 310 342 1 231 85 17 17 289 -1 unnamed_device 25.7 MiB 1.56 1563 64.1 MiB 0.07 0.00 7.30047 -154.524 -7.30047 7.30047 0.96 0.000309396 0.000252883 0.0217464 0.0186182 38 4314 37 6.79088e+06 282912 678818. 2348.85 21.47 0.195994 0.169315 25966 169698 -1 3455 16 1606 4685 258380 56170 0 0 258380 56170 4685 2489 0 0 14075 12327 0 0 22501 15051 0 0 4685 2899 0 0 107100 11535 0 0 105334 11869 0 0 4685 0 0 3079 4311 5242 34349 0 0 7.42577 7.42577 -169.943 -7.42577 0 0 902133. 3121.57 0.37 0.11 0.10 -1 -1 0.37 0.021455 0.0198081 154 215 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_085.v common 8.79 vpr 63.79 MiB -1 -1 0.17 20784 11 0.27 -1 -1 35424 -1 -1 23 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65324 29 32 262 294 1 201 84 17 17 289 -1 unnamed_device 25.3 MiB 1.95 1042 63.8 MiB 0.06 0.00 6.13002 -115.481 -6.13002 6.13002 0.76 0.000217332 0.00018004 0.0155767 0.0132689 40 2567 18 6.79088e+06 309856 706193. 2443.58 3.75 0.122635 0.10623 26254 175826 -1 2452 28 1315 3739 329666 127728 0 0 329666 127728 3739 1983 0 0 12482 10711 0 0 22176 15102 0 0 3739 2265 0 0 144221 48889 0 0 143309 48778 0 0 3739 0 0 2424 3676 4452 28161 0 0 6.38062 6.38062 -132.26 -6.38062 0 0 926341. 3205.33 0.30 0.09 0.11 -1 -1 0.30 0.021748 0.0195816 136 173 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_086.v common 11.12 vpr 63.51 MiB -1 -1 0.13 20856 13 0.15 -1 -1 36208 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65032 32 32 222 254 1 182 78 17 17 289 -1 unnamed_device 25.0 MiB 2.87 970 63.5 MiB 0.04 0.00 5.95084 -134.489 -5.95084 5.95084 0.79 0.00018011 0.000149 0.00892527 0.00759878 36 3480 30 6.79088e+06 188608 648988. 2245.63 5.22 0.0770103 0.066991 25390 158009 -1 2487 27 1378 3181 305747 99817 0 0 305747 99817 3181 2024 0 0 10321 8969 0 0 18768 12419 0 0 3181 2311 0 0 132833 36563 0 0 137463 37531 0 0 3181 0 0 1803 2568 2360 16646 0 0 6.11185 6.11185 -154.675 -6.11185 0 0 828058. 2865.25 0.33 0.19 0.10 -1 -1 0.33 0.0502287 0.0484664 98 127 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_087.v common 8.72 vpr 63.62 MiB -1 -1 0.17 21276 14 0.22 -1 -1 36112 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65144 32 32 267 299 1 200 81 17 17 289 -1 unnamed_device 25.0 MiB 1.77 1036 63.6 MiB 0.04 0.00 7.17517 -141.92 -7.17517 7.17517 0.81 0.000766277 0.000726577 0.0110579 0.00944981 38 3274 23 6.79088e+06 229024 678818. 2348.85 3.75 0.124796 0.108113 25966 169698 -1 2451 16 1193 3138 167604 38496 0 0 167604 38496 3138 1660 0 0 9749 8175 0 0 14838 10460 0 0 3138 1978 0 0 66234 8468 0 0 70507 7755 0 0 3138 0 0 1945 3535 2988 22540 0 0 7.17517 7.17517 -156.944 -7.17517 0 0 902133. 3121.57 0.33 0.04 0.12 -1 -1 0.33 0.0151994 0.0138782 122 172 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_088.v common 9.10 vpr 64.17 MiB -1 -1 0.18 21244 15 0.40 -1 -1 35708 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65712 32 32 334 366 1 254 87 17 17 289 -1 unnamed_device 25.6 MiB 1.71 1439 64.2 MiB 0.06 0.00 7.43275 -158.86 -7.43275 7.43275 0.77 0.000273698 0.000228021 0.0154313 0.0131322 46 3719 38 6.79088e+06 309856 828058. 2865.25 4.19 0.162245 0.140762 27406 200422 -1 3134 18 1657 4342 233356 51707 0 0 233356 51707 4342 2191 0 0 13469 11726 0 0 21255 14725 0 0 4342 2648 0 0 92863 10572 0 0 97085 9845 0 0 4342 0 0 2685 3407 3631 27085 0 0 7.89474 7.89474 -178.519 -7.89474 0 0 1.01997e+06 3529.29 0.33 0.07 0.13 -1 -1 0.33 0.0242239 0.0223638 163 239 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_089.v common 6.49 vpr 63.57 MiB -1 -1 0.15 20680 11 0.15 -1 -1 35816 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65096 32 32 220 252 1 168 79 17 17 289 -1 unnamed_device 25.1 MiB 1.57 972 63.6 MiB 0.05 0.00 5.50342 -119.473 -5.50342 5.50342 0.89 0.000169651 0.000139625 0.0127336 0.0107112 30 2696 50 6.79088e+06 202080 556674. 1926.21 1.77 0.0658236 0.0575083 24526 138013 -1 2220 16 946 2522 147242 33404 0 0 147242 33404 2522 1498 0 0 8053 6920 0 0 12142 8764 0 0 2522 1651 0 0 60658 7459 0 0 61345 7112 0 0 2522 0 0 1576 2784 2607 19179 0 0 5.82887 5.82887 -141.368 -5.82887 0 0 706193. 2443.58 0.24 0.04 0.08 -1 -1 0.24 0.0115323 0.0105092 97 125 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_090.v common 6.55 vpr 63.60 MiB -1 -1 0.14 20792 12 0.18 -1 -1 36064 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65124 31 32 244 276 1 193 80 17 17 289 -1 unnamed_device 25.2 MiB 1.57 1184 63.6 MiB 0.04 0.00 5.44959 -123.238 -5.44959 5.44959 0.77 0.000203561 0.000159552 0.00975904 0.00818999 36 3582 31 6.79088e+06 229024 648988. 2245.63 1.95 0.0731345 0.0634515 25390 158009 -1 2755 18 1392 3916 241313 53688 0 0 241313 53688 3916 2362 0 0 12412 10830 0 0 21265 14385 0 0 3916 2717 0 0 98548 12001 0 0 101256 11393 0 0 3916 0 0 2524 4127 4270 27195 0 0 5.822 5.822 -145.271 -5.822 0 0 828058. 2865.25 0.28 0.05 0.11 -1 -1 0.28 0.0138571 0.0126162 112 151 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_091.v common 8.57 vpr 64.04 MiB -1 -1 0.17 21328 12 0.29 -1 -1 36376 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65580 32 32 300 332 1 219 83 17 17 289 -1 unnamed_device 25.6 MiB 1.15 1382 64.0 MiB 0.04 0.00 6.21181 -135.633 -6.21181 6.21181 0.84 0.000241788 0.000200302 0.0101718 0.00881031 44 3306 21 6.79088e+06 255968 787024. 2723.27 4.17 0.136286 0.118955 27118 194962 -1 2772 16 1242 3724 184761 42377 0 0 184761 42377 3724 1621 0 0 11628 10069 0 0 18480 13010 0 0 3724 1970 0 0 69964 8575 0 0 77241 7132 0 0 3724 0 0 2482 3956 4195 30309 0 0 6.54851 6.54851 -157.826 -6.54851 0 0 997811. 3452.63 0.33 0.05 0.13 -1 -1 0.33 0.0188631 0.0172562 143 205 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_092.v common 9.31 vpr 63.77 MiB -1 -1 0.17 20944 12 0.25 -1 -1 36092 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65304 32 32 271 303 1 209 82 17 17 289 -1 unnamed_device 25.3 MiB 1.85 1312 63.8 MiB 0.06 0.00 6.08307 -132.83 -6.08307 6.08307 0.85 0.000219446 0.000182175 0.0149021 0.0126493 36 3951 41 6.79088e+06 242496 648988. 2245.63 4.34 0.0952036 0.0827981 25390 158009 -1 3115 16 1351 3776 246383 53168 0 0 246383 53168 3776 2109 0 0 12162 10551 0 0 20302 14080 0 0 3776 2448 0 0 102181 12350 0 0 104186 11630 0 0 3776 0 0 2425 3861 3961 27399 0 0 6.41977 6.41977 -153.408 -6.41977 0 0 828058. 2865.25 0.27 0.06 0.10 -1 -1 0.27 0.0160575 0.0146934 130 176 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_093.v common 10.56 vpr 64.27 MiB -1 -1 0.17 21428 14 0.44 -1 -1 36004 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65816 32 32 327 359 1 233 86 17 17 289 -1 unnamed_device 25.7 MiB 1.75 1384 64.3 MiB 0.05 0.00 7.5658 -152.044 -7.5658 7.5658 0.76 0.000296464 0.000243307 0.0139759 0.0118998 44 3511 46 6.79088e+06 296384 787024. 2723.27 5.30 0.207499 0.169072 27118 194962 -1 2970 18 1613 4948 253814 56404 0 0 253814 56404 4948 2181 0 0 14959 12706 0 0 25130 16852 0 0 4948 2897 0 0 100370 10980 0 0 103459 10788 0 0 4948 0 0 3335 4652 5511 37222 0 0 7.6911 7.6911 -167.874 -7.6911 0 0 997811. 3452.63 0.33 0.07 0.12 -1 -1 0.33 0.0233879 0.0214185 167 232 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_094.v common 15.25 vpr 63.60 MiB -1 -1 0.16 21004 12 0.19 -1 -1 36332 -1 -1 19 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65128 30 32 246 278 1 185 81 17 17 289 -1 unnamed_device 25.1 MiB 1.58 1057 63.6 MiB 0.06 0.00 6.07188 -116.532 -6.07188 6.07188 0.95 0.000208437 0.000173644 0.0151603 0.0127639 40 2785 34 6.79088e+06 255968 706193. 2443.58 10.51 0.167389 0.146125 26254 175826 -1 2465 15 1066 3048 191245 42406 0 0 191245 42406 3048 1712 0 0 10164 8356 0 0 17036 11758 0 0 3048 2040 0 0 76222 9942 0 0 81727 8598 0 0 3048 0 0 1982 3597 3156 23345 0 0 6.49468 6.49468 -134.709 -6.49468 0 0 926341. 3205.33 0.29 0.05 0.11 -1 -1 0.29 0.0152433 0.0140038 121 155 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_095.v common 6.05 vpr 63.44 MiB -1 -1 0.15 21032 11 0.18 -1 -1 36064 -1 -1 19 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64960 27 32 219 251 1 163 78 17 17 289 -1 unnamed_device 24.8 MiB 1.95 900 63.4 MiB 0.05 0.00 5.66792 -104.013 -5.66792 5.66792 0.73 0.000172559 0.000142821 0.0137303 0.0115536 28 2759 46 6.79088e+06 255968 531479. 1839.03 1.14 0.0608044 0.0525071 23950 126010 -1 2168 17 1061 2691 167754 38601 0 0 167754 38601 2691 1682 0 0 8981 7544 0 0 14571 10455 0 0 2691 1848 0 0 70761 8375 0 0 68059 8697 0 0 2691 0 0 1630 2208 2753 16602 0 0 5.70363 5.70363 -121.758 -5.70363 0 0 648988. 2245.63 0.22 0.04 0.08 -1 -1 0.22 0.012587 0.0114428 104 134 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_096.v common 10.66 vpr 64.57 MiB -1 -1 0.19 21864 13 0.43 -1 -1 36484 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66116 32 32 380 412 1 276 90 17 17 289 -1 unnamed_device 25.9 MiB 1.51 1693 64.6 MiB 0.07 0.00 6.75763 -141.164 -6.75763 6.75763 0.80 0.000319098 0.000263358 0.0207416 0.0174847 44 4792 33 6.79088e+06 350272 787024. 2723.27 5.56 0.210951 0.183696 27118 194962 -1 3711 20 1876 5904 320171 70261 0 0 320171 70261 5904 2649 0 0 18133 15718 0 0 30383 20725 0 0 5904 3455 0 0 130905 13541 0 0 128942 14173 0 0 5904 0 0 4028 6932 6886 48996 0 0 7.05513 7.05513 -157.496 -7.05513 0 0 997811. 3452.63 0.33 0.08 0.12 -1 -1 0.33 0.0258132 0.0234824 188 285 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_097.v common 8.91 vpr 64.09 MiB -1 -1 0.18 21204 14 0.24 -1 -1 36304 -1 -1 22 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65632 31 32 277 309 1 197 85 17 17 289 -1 unnamed_device 25.7 MiB 1.65 1195 64.1 MiB 0.04 0.00 6.8027 -140.243 -6.8027 6.8027 0.73 0.000220465 0.000182867 0.00990446 0.00845151 38 2982 24 6.79088e+06 296384 678818. 2348.85 4.21 0.162889 0.145352 25966 169698 -1 2519 18 1203 3317 168321 38982 0 0 168321 38982 3317 1651 0 0 10403 8766 0 0 16115 11271 0 0 3317 1931 0 0 67482 7773 0 0 67687 7590 0 0 3317 0 0 2114 2957 2925 21877 0 0 7.0533 7.0533 -155.464 -7.0533 0 0 902133. 3121.57 0.35 0.07 0.10 -1 -1 0.35 0.0176395 0.016148 130 184 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_098.v common 10.60 vpr 63.42 MiB -1 -1 0.15 21112 12 0.16 -1 -1 36304 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64944 32 32 229 261 1 174 82 17 17 289 -1 unnamed_device 24.9 MiB 1.67 1163 63.4 MiB 0.05 0.00 6.02467 -132.982 -6.02467 6.02467 0.73 0.000185464 0.0001538 0.0115098 0.00976177 34 3143 48 6.79088e+06 242496 618332. 2139.56 6.14 0.128729 0.111553 25102 150614 -1 2528 17 1086 2740 167858 37955 0 0 167858 37955 2740 1653 0 0 9061 7689 0 0 15247 10499 0 0 2740 1837 0 0 68772 8155 0 0 69298 8122 0 0 2740 0 0 1654 2093 2379 16140 0 0 6.52587 6.52587 -155.05 -6.52587 0 0 787024. 2723.27 0.26 0.05 0.09 -1 -1 0.26 0.0147555 0.0135377 109 134 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_099.v common 7.82 vpr 63.81 MiB -1 -1 0.16 21248 13 0.28 -1 -1 36036 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65340 32 32 263 295 1 199 82 17 17 289 -1 unnamed_device 25.4 MiB 1.33 1285 63.8 MiB 0.05 0.00 6.71317 -144.222 -6.71317 6.71317 0.74 0.000221835 0.000183233 0.0123791 0.0104804 44 3017 17 6.79088e+06 242496 787024. 2723.27 3.23 0.109027 0.0948404 27118 194962 -1 2513 16 1044 2925 155885 35184 0 0 155885 35184 2925 1384 0 0 9333 7928 0 0 14782 10575 0 0 2925 1675 0 0 64972 6606 0 0 60948 7016 0 0 2925 0 0 1881 2321 2895 19852 0 0 6.71317 6.71317 -154.529 -6.71317 0 0 997811. 3452.63 0.35 0.04 0.15 -1 -1 0.35 0.0152711 0.0140576 128 168 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_100.v common 10.06 vpr 64.35 MiB -1 -1 0.18 21316 13 0.32 -1 -1 36564 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65892 31 32 321 353 1 241 87 17 17 289 -1 unnamed_device 25.9 MiB 1.88 1388 64.3 MiB 0.07 0.00 6.07958 -131.417 -6.07958 6.07958 1.00 0.000285445 0.000229045 0.0178337 0.0149676 44 3845 33 6.79088e+06 323328 787024. 2723.27 4.60 0.17007 0.147291 27118 194962 -1 2954 17 1495 4265 218625 49558 0 0 218625 49558 4265 1924 0 0 13327 11511 0 0 21796 14960 0 0 4265 2421 0 0 88888 9112 0 0 86084 9630 0 0 4265 0 0 2770 3670 4773 31864 0 0 6.07958 6.07958 -142.333 -6.07958 0 0 997811. 3452.63 0.45 0.06 0.12 -1 -1 0.45 0.0194336 0.0178549 157 228 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_101.v common 6.84 vpr 63.96 MiB -1 -1 0.15 21260 11 0.25 -1 -1 36092 -1 -1 22 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65500 30 32 287 319 1 201 84 17 17 289 -1 unnamed_device 25.4 MiB 1.66 1263 64.0 MiB 0.06 0.00 5.66792 -118.324 -5.66792 5.66792 0.74 0.000242403 0.000194029 0.016005 0.0132319 36 3475 36 6.79088e+06 296384 648988. 2245.63 2.13 0.0921436 0.0801158 25390 158009 -1 2870 19 1468 4651 280331 61929 0 0 280331 61929 4651 2319 0 0 15014 13013 0 0 25364 17497 0 0 4651 2773 0 0 114621 13296 0 0 116030 13031 0 0 4651 0 0 3183 6018 6035 39285 0 0 5.91852 5.91852 -134.884 -5.91852 0 0 828058. 2865.25 0.25 0.09 0.10 -1 -1 0.25 0.0190802 0.017475 141 196 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_102.v common 6.70 vpr 64.05 MiB -1 -1 0.17 20964 15 0.35 -1 -1 35520 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65592 32 32 296 328 1 220 86 17 17 289 -1 unnamed_device 25.6 MiB 1.43 1350 64.1 MiB 0.06 0.00 7.21442 -154.203 -7.21442 7.21442 0.80 0.000251444 0.000209358 0.0160003 0.0136124 38 3568 28 6.79088e+06 296384 678818. 2348.85 2.09 0.0887445 0.0776075 25966 169698 -1 2823 16 1292 4110 210792 47621 0 0 210792 47621 4110 1919 0 0 12633 10784 0 0 19987 13704 0 0 4110 2348 0 0 84176 9644 0 0 85776 9222 0 0 4110 0 0 2818 4603 4730 34469 0 0 7.67991 7.67991 -172.743 -7.67991 0 0 902133. 3121.57 0.28 0.05 0.10 -1 -1 0.28 0.0172665 0.0158513 147 201 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_103.v common 9.18 vpr 64.01 MiB -1 -1 0.16 21628 13 0.32 -1 -1 36240 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65548 32 32 285 317 1 217 85 17 17 289 -1 unnamed_device 25.4 MiB 2.01 1369 64.0 MiB 0.10 0.00 6.57668 -145.772 -6.57668 6.57668 0.84 0.000258261 0.000217459 0.0232133 0.0213225 38 3571 41 6.79088e+06 282912 678818. 2348.85 3.78 0.164871 0.144671 25966 169698 -1 2812 17 1353 4018 200343 45591 0 0 200343 45591 4018 1939 0 0 12400 10433 0 0 18991 13422 0 0 4018 2296 0 0 78230 9052 0 0 82686 8449 0 0 4018 0 0 2665 4512 4340 31495 0 0 7.03867 7.03867 -166.923 -7.03867 0 0 902133. 3121.57 0.42 0.09 0.10 -1 -1 0.42 0.0202614 0.0188087 143 190 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_104.v common 7.76 vpr 63.85 MiB -1 -1 0.15 20736 12 0.20 -1 -1 35868 -1 -1 18 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65380 29 32 239 271 1 185 79 17 17 289 -1 unnamed_device 25.4 MiB 1.80 1011 63.8 MiB 0.03 0.00 6.04731 -123.992 -6.04731 6.04731 0.74 0.000190413 0.000157801 0.00834152 0.0070943 36 3129 47 6.79088e+06 242496 648988. 2245.63 3.03 0.0867589 0.075522 25390 158009 -1 2400 15 1130 2768 162451 36932 0 0 162451 36932 2768 1717 0 0 8903 7499 0 0 14302 10017 0 0 2768 1988 0 0 66004 7880 0 0 67706 7831 0 0 2768 0 0 1638 1965 2148 15261 0 0 6.58771 6.58771 -146.853 -6.58771 0 0 828058. 2865.25 0.27 0.04 0.10 -1 -1 0.27 0.0139456 0.0128013 111 150 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_105.v common 8.16 vpr 63.31 MiB -1 -1 0.15 20708 11 0.15 -1 -1 35928 -1 -1 14 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64828 32 32 235 267 1 172 78 17 17 289 -1 unnamed_device 24.7 MiB 1.46 1018 63.3 MiB 0.04 0.00 5.57833 -123.024 -5.57833 5.57833 0.90 0.000176153 0.000145439 0.00948113 0.00810214 34 3187 33 6.79088e+06 188608 618332. 2139.56 3.71 0.0955414 0.0824813 25102 150614 -1 2423 15 1109 2695 175547 39921 0 0 175547 39921 2695 1702 0 0 9142 7897 0 0 14971 10519 0 0 2695 1961 0 0 72877 8975 0 0 73167 8867 0 0 2695 0 0 1586 1923 2192 15122 0 0 5.86813 5.86813 -142.187 -5.86813 0 0 787024. 2723.27 0.26 0.04 0.09 -1 -1 0.26 0.0121657 0.0111347 98 140 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_106.v common 6.89 vpr 64.03 MiB -1 -1 0.15 20984 13 0.32 -1 -1 35952 -1 -1 21 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65568 31 32 294 326 1 212 84 17 17 289 -1 unnamed_device 25.5 MiB 1.21 1312 64.0 MiB 0.05 0.00 6.9357 -137.041 -6.9357 6.9357 0.76 0.000254098 0.000213779 0.0147278 0.0125925 38 3289 23 6.79088e+06 282912 678818. 2348.85 2.62 0.105847 0.0920669 25966 169698 -1 2757 20 1686 5025 264884 58128 0 0 264884 58128 5025 2406 0 0 14978 13058 0 0 24819 16460 0 0 5025 2954 0 0 106333 11823 0 0 108704 11427 0 0 5025 0 0 3339 5290 6360 41456 0 0 7.3116 7.3116 -152.105 -7.3116 0 0 902133. 3121.57 0.28 0.06 0.10 -1 -1 0.28 0.0186133 0.0168578 143 201 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_107.v common 8.11 vpr 63.46 MiB -1 -1 0.15 21088 10 0.15 -1 -1 35948 -1 -1 17 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64988 29 32 219 251 1 163 78 17 17 289 -1 unnamed_device 24.9 MiB 1.77 784 63.5 MiB 0.05 0.00 5.03782 -104.747 -5.03782 5.03782 0.92 0.000174443 0.000144154 0.0129561 0.0109363 34 2723 48 6.79088e+06 229024 618332. 2139.56 3.21 0.104692 0.0901551 25102 150614 -1 1979 19 973 2484 139477 34009 0 0 139477 34009 2484 1435 0 0 8263 6950 0 0 13665 9623 0 0 2484 1593 0 0 55129 7205 0 0 57452 7203 0 0 2484 0 0 1511 2074 2167 15364 0 0 5.41372 5.41372 -122.984 -5.41372 0 0 787024. 2723.27 0.29 0.04 0.18 -1 -1 0.29 0.0128152 0.0115544 101 130 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_108.v common 9.82 vpr 63.55 MiB -1 -1 0.15 20916 14 0.17 -1 -1 35988 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65076 32 32 239 271 1 184 82 17 17 289 -1 unnamed_device 25.1 MiB 2.74 1042 63.6 MiB 0.07 0.00 6.62358 -137.051 -6.62358 6.62358 0.76 0.000213964 0.000170246 0.0173136 0.0143906 34 3062 46 6.79088e+06 242496 618332. 2139.56 4.17 0.188839 0.171893 25102 150614 -1 2646 18 1364 3641 252537 55382 0 0 252537 55382 3641 2207 0 0 12247 10397 0 0 20627 14268 0 0 3641 2462 0 0 103804 13591 0 0 108577 12457 0 0 3641 0 0 2277 3948 4045 26123 0 0 6.90989 6.90989 -158.652 -6.90989 0 0 787024. 2723.27 0.27 0.06 0.09 -1 -1 0.27 0.0160436 0.0146414 110 144 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_109.v common 9.54 vpr 63.78 MiB -1 -1 0.17 21116 13 0.28 -1 -1 36076 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65308 31 32 266 298 1 209 83 17 17 289 -1 unnamed_device 25.4 MiB 2.37 1245 63.8 MiB 0.05 0.00 6.34835 -135.308 -6.34835 6.34835 0.79 0.000210484 0.000174463 0.0132912 0.0112778 44 3030 38 6.79088e+06 269440 787024. 2723.27 3.96 0.127005 0.110636 27118 194962 -1 2486 15 1221 3155 169521 38422 0 0 169521 38422 3155 1606 0 0 9877 8609 0 0 16336 11382 0 0 3155 1902 0 0 69147 7476 0 0 67851 7447 0 0 3155 0 0 1934 2632 2718 20471 0 0 6.63815 6.63815 -152.531 -6.63815 0 0 997811. 3452.63 0.35 0.05 0.15 -1 -1 0.35 0.0157394 0.0144604 125 173 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_110.v common 9.87 vpr 63.36 MiB -1 -1 0.14 20980 12 0.15 -1 -1 35856 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64884 31 32 225 257 1 172 80 17 17 289 -1 unnamed_device 24.9 MiB 3.28 889 63.4 MiB 0.04 0.00 5.57833 -115.945 -5.57833 5.57833 0.82 0.000184208 0.000153814 0.0103511 0.00882768 44 2551 25 6.79088e+06 229024 787024. 2723.27 3.54 0.0937751 0.0810233 27118 194962 -1 1940 16 940 2410 140575 33585 0 0 140575 33585 2410 1307 0 0 7850 6775 0 0 13485 9215 0 0 2410 1536 0 0 55397 7555 0 0 59023 7197 0 0 2410 0 0 1470 2062 2356 15914 0 0 5.78203 5.78203 -131.686 -5.78203 0 0 997811. 3452.63 0.34 0.04 0.12 -1 -1 0.34 0.0119143 0.0109212 99 132 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_111.v common 8.26 vpr 63.94 MiB -1 -1 0.17 21340 12 0.19 -1 -1 36356 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65476 32 32 288 320 1 203 82 17 17 289 -1 unnamed_device 25.5 MiB 2.07 1075 63.9 MiB 0.05 0.00 5.91508 -127.079 -5.91508 5.91508 0.70 0.000225101 0.000186203 0.013204 0.011172 44 2604 18 6.79088e+06 242496 787024. 2723.27 3.24 0.122956 0.107904 27118 194962 -1 2148 16 1027 3109 161248 36550 0 0 161248 36550 3109 1388 0 0 9767 8206 0 0 15685 10934 0 0 3109 1684 0 0 62227 7342 0 0 67351 6996 0 0 3109 0 0 2082 3619 4226 27502 0 0 6.29098 6.29098 -140.486 -6.29098 0 0 997811. 3452.63 0.31 0.04 0.12 -1 -1 0.31 0.0160795 0.0146793 130 193 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_112.v common 8.48 vpr 64.07 MiB -1 -1 0.18 21028 13 0.29 -1 -1 35960 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65608 31 32 282 314 1 212 83 17 17 289 -1 unnamed_device 25.5 MiB 1.09 1245 64.1 MiB 0.07 0.00 6.69391 -144.564 -6.69391 6.69391 0.78 0.000228184 0.000188415 0.0206337 0.0174338 44 3263 16 6.79088e+06 269440 787024. 2723.27 4.13 0.112716 0.0976771 27118 194962 -1 2662 17 1221 3507 184131 41655 0 0 184131 41655 3507 1731 0 0 11171 9503 0 0 17529 12561 0 0 3507 2072 0 0 76763 7523 0 0 71654 8265 0 0 3507 0 0 2286 3210 3445 25160 0 0 6.78001 6.78001 -157.619 -6.78001 0 0 997811. 3452.63 0.34 0.05 0.12 -1 -1 0.34 0.017895 0.0164336 143 189 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_113.v common 6.53 vpr 63.67 MiB -1 -1 0.14 20992 11 0.16 -1 -1 35816 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65200 32 32 233 265 1 183 80 17 17 289 -1 unnamed_device 25.0 MiB 1.98 1038 63.7 MiB 0.06 0.00 5.0702 -121.482 -5.0702 5.0702 0.73 0.000182525 0.000150937 0.0155394 0.0130332 36 3335 29 6.79088e+06 215552 648988. 2245.63 1.69 0.0830331 0.070595 25390 158009 -1 2690 16 1261 3261 227468 53601 0 0 227468 53601 3261 1962 0 0 10277 8748 0 0 17208 11797 0 0 3261 2271 0 0 93742 15024 0 0 99719 13799 0 0 3261 0 0 2000 3071 3085 20227 0 0 5.52445 5.52445 -144.169 -5.52445 0 0 828058. 2865.25 0.26 0.05 0.10 -1 -1 0.26 0.0130777 0.0119787 106 138 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_114.v common 9.61 vpr 64.05 MiB -1 -1 0.14 20732 13 0.21 -1 -1 35944 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65584 32 32 254 286 1 188 79 17 17 289 -1 unnamed_device 25.4 MiB 2.60 1103 64.0 MiB 0.05 0.00 6.29458 -136.168 -6.29458 6.29458 0.88 0.000202781 0.000167256 0.0143707 0.0121014 38 3050 33 6.79088e+06 202080 678818. 2348.85 3.93 0.115421 0.099935 25966 169698 -1 2469 17 1177 3139 178035 40112 0 0 178035 40112 3139 1746 0 0 9872 8477 0 0 15357 10637 0 0 3139 2011 0 0 71965 8881 0 0 74563 8360 0 0 3139 0 0 1962 3351 2870 21866 0 0 6.54518 6.54518 -153.384 -6.54518 0 0 902133. 3121.57 0.27 0.05 0.10 -1 -1 0.27 0.0146354 0.0133305 113 159 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_115.v common 10.31 vpr 63.88 MiB -1 -1 0.14 21060 13 0.25 -1 -1 36404 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65408 32 32 285 317 1 214 83 17 17 289 -1 unnamed_device 25.4 MiB 1.13 1307 63.9 MiB 0.06 0.00 6.49473 -143.781 -6.49473 6.49473 0.77 0.000262116 0.000222436 0.0163756 0.0139208 36 4061 35 6.79088e+06 255968 648988. 2245.63 6.17 0.105581 0.0917675 25390 158009 -1 3243 16 1457 4028 273866 59372 0 0 273866 59372 4028 2460 0 0 13238 11178 0 0 22455 15689 0 0 4028 2820 0 0 113368 14022 0 0 116749 13203 0 0 4028 0 0 2571 4468 4570 28629 0 0 6.94909 6.94909 -166.5 -6.94909 0 0 828058. 2865.25 0.27 0.06 0.10 -1 -1 0.27 0.0166043 0.0151508 136 190 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_116.v common 8.67 vpr 63.93 MiB -1 -1 0.15 21296 11 0.20 -1 -1 35984 -1 -1 19 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65468 29 32 243 275 1 184 80 17 17 289 -1 unnamed_device 25.4 MiB 2.14 973 63.9 MiB 0.06 0.00 5.38344 -106.741 -5.38344 5.38344 0.75 0.000204593 0.000170251 0.0162237 0.0135287 44 2679 23 6.79088e+06 255968 787024. 2723.27 3.60 0.110231 0.0947722 27118 194962 -1 2004 14 878 2626 131317 30463 0 0 131317 30463 2626 1215 0 0 8160 6964 0 0 13311 9217 0 0 2626 1460 0 0 51381 6006 0 0 53213 5601 0 0 2626 0 0 1748 3079 3129 22536 0 0 5.63404 5.63404 -121.384 -5.63404 0 0 997811. 3452.63 0.33 0.04 0.13 -1 -1 0.33 0.0131573 0.0120982 116 154 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_117.v common 8.32 vpr 64.25 MiB -1 -1 0.18 21404 14 0.33 -1 -1 36428 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65788 32 32 318 350 1 238 87 17 17 289 -1 unnamed_device 25.8 MiB 1.63 1358 64.2 MiB 0.07 0.00 7.68761 -161.022 -7.68761 7.68761 0.74 0.000266275 0.000222699 0.0196236 0.0164239 44 3241 18 6.79088e+06 309856 787024. 2723.27 3.42 0.137085 0.118538 27118 194962 -1 2680 18 1330 3529 181202 41270 0 0 181202 41270 3529 1763 0 0 11222 9418 0 0 17401 12652 0 0 3529 2114 0 0 72375 7827 0 0 73146 7496 0 0 3529 0 0 2199 3249 3293 23678 0 0 7.72675 7.72675 -177.297 -7.72675 0 0 997811. 3452.63 0.31 0.05 0.12 -1 -1 0.31 0.0193146 0.0176874 159 223 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_118.v common 6.93 vpr 63.41 MiB -1 -1 0.13 20432 12 0.14 -1 -1 36368 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64928 31 32 222 254 1 188 82 17 17 289 -1 unnamed_device 24.9 MiB 2.53 1087 63.4 MiB 0.06 0.00 5.36349 -125.306 -5.36349 5.36349 0.72 0.000188037 0.000157209 0.0152241 0.0127257 38 2705 25 6.79088e+06 255968 678818. 2348.85 1.47 0.0619627 0.0538047 25966 169698 -1 2326 17 1087 2540 147294 32505 0 0 147294 32505 2540 1519 0 0 7917 6631 0 0 11979 8481 0 0 2540 1720 0 0 60519 7243 0 0 61799 6911 0 0 2540 0 0 1453 1825 2187 14265 0 0 5.82549 5.82549 -143.04 -5.82549 0 0 902133. 3121.57 0.29 0.04 0.10 -1 -1 0.29 0.0119081 0.0108833 106 129 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_119.v common 7.64 vpr 63.90 MiB -1 -1 0.18 21444 13 0.29 -1 -1 36640 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65436 32 32 282 314 1 211 84 17 17 289 -1 unnamed_device 25.4 MiB 1.36 1333 63.9 MiB 0.04 0.00 6.66283 -141.183 -6.66283 6.66283 0.78 0.000253612 0.000199404 0.00991489 0.00841895 38 3556 22 6.79088e+06 269440 678818. 2348.85 3.17 0.100593 0.0824524 25966 169698 -1 3015 18 1376 4005 206847 46644 0 0 206847 46644 4005 1986 0 0 12291 10549 0 0 18788 13051 0 0 4005 2366 0 0 80690 10074 0 0 87068 8618 0 0 4005 0 0 2629 4880 4139 30833 0 0 7.17511 7.17511 -161.281 -7.17511 0 0 902133. 3121.57 0.28 0.05 0.10 -1 -1 0.28 0.0167667 0.0153174 136 187 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_120.v common 7.87 vpr 63.55 MiB -1 -1 0.16 21412 13 0.17 -1 -1 36204 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65072 32 32 238 270 1 180 84 17 17 289 -1 unnamed_device 25.1 MiB 1.26 1076 63.5 MiB 0.06 0.00 6.33716 -140.535 -6.33716 6.33716 0.75 0.000191326 0.000159399 0.0150768 0.0126576 36 3088 33 6.79088e+06 269440 648988. 2245.63 3.63 0.104904 0.0906388 25390 158009 -1 2496 17 1127 2945 193875 43508 0 0 193875 43508 2945 1687 0 0 9722 8276 0 0 16380 11531 0 0 2945 1946 0 0 83049 9856 0 0 78834 10212 0 0 2945 0 0 1818 2433 2778 18038 0 0 6.67386 6.67386 -161.639 -6.67386 0 0 828058. 2865.25 0.25 0.04 0.10 -1 -1 0.25 0.012618 0.0115202 107 143 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_121.v common 7.35 vpr 63.79 MiB -1 -1 0.16 21240 12 0.22 -1 -1 36324 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65324 32 32 269 301 1 190 83 17 17 289 -1 unnamed_device 25.4 MiB 1.53 1198 63.8 MiB 0.04 0.00 5.87167 -132.399 -5.87167 5.87167 0.73 0.000237284 0.000188331 0.0124231 0.0105142 36 3180 21 6.79088e+06 255968 648988. 2245.63 2.69 0.0893747 0.0776957 25390 158009 -1 2518 17 1085 3212 179823 40993 0 0 179823 40993 3212 1657 0 0 10508 8905 0 0 16897 11932 0 0 3212 1906 0 0 73121 8238 0 0 72873 8355 0 0 3212 0 0 2127 3755 3896 26448 0 0 6.24757 6.24757 -147.287 -6.24757 0 0 828058. 2865.25 0.54 0.05 0.10 -1 -1 0.54 0.0164747 0.0151041 128 174 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_122.v common 9.13 vpr 64.62 MiB -1 -1 0.19 21768 15 0.48 -1 -1 36176 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66172 32 32 350 382 1 256 89 17 17 289 -1 unnamed_device 26.0 MiB 1.12 1565 64.6 MiB 0.13 0.00 7.68761 -162.589 -7.68761 7.68761 0.81 0.000314765 0.00026465 0.0396015 0.0357501 46 4030 29 6.79088e+06 336800 828058. 2865.25 4.28 0.185104 0.162139 27406 200422 -1 3359 20 1758 5410 271519 61243 0 0 271519 61243 5410 2351 0 0 16490 14230 0 0 26591 17918 0 0 5410 2965 0 0 108851 11823 0 0 108767 11956 0 0 5410 0 0 3652 5938 6585 45117 0 0 8.22801 8.22801 -182.744 -8.22801 0 0 1.01997e+06 3529.29 0.34 0.10 0.13 -1 -1 0.34 0.0267273 0.0243427 183 255 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_123.v common 5.86 vpr 62.91 MiB -1 -1 0.13 20528 10 0.07 -1 -1 35520 -1 -1 12 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64424 30 32 172 204 1 133 74 17 17 289 -1 unnamed_device 24.5 MiB 1.49 777 62.9 MiB 0.03 0.00 4.08102 -100.592 -4.08102 4.08102 0.92 0.000137164 0.000113106 0.00768182 0.00642949 38 1821 19 6.79088e+06 161664 678818. 2348.85 1.52 0.0682804 0.0533221 25966 169698 -1 1528 15 667 1610 79756 18836 0 0 79756 18836 1610 907 0 0 4994 4311 0 0 7837 5442 0 0 1610 1041 0 0 33019 3526 0 0 30686 3609 0 0 1610 0 0 943 785 1241 8487 0 0 4.08102 4.08102 -109.614 -4.08102 0 0 902133. 3121.57 0.29 0.03 0.11 -1 -1 0.29 0.00842671 0.00769295 66 81 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_124.v common 6.92 vpr 63.61 MiB -1 -1 0.14 20928 13 0.17 -1 -1 35664 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65140 30 32 228 260 1 176 79 17 17 289 -1 unnamed_device 25.2 MiB 1.50 921 63.6 MiB 0.04 0.00 6.47021 -131.827 -6.47021 6.47021 0.90 0.000178086 0.000147484 0.00901181 0.00767643 30 3176 33 6.79088e+06 229024 556674. 1926.21 2.37 0.0558305 0.0485678 24526 138013 -1 2353 15 1110 2797 150848 35247 0 0 150848 35247 2797 1740 0 0 8643 7350 0 0 12886 9142 0 0 2797 1989 0 0 60527 7698 0 0 63198 7328 0 0 2797 0 0 1687 2036 2061 15671 0 0 6.72081 6.72081 -151.395 -6.72081 0 0 706193. 2443.58 0.26 0.04 0.09 -1 -1 0.26 0.0127854 0.0117342 103 137 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_125.v common 6.97 vpr 63.69 MiB -1 -1 0.14 21080 12 0.20 -1 -1 35804 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65220 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 25.1 MiB 2.08 1186 63.7 MiB 0.07 0.00 5.90733 -133.846 -5.90733 5.90733 0.93 0.000204422 0.000167266 0.0187323 0.0155964 36 2874 19 6.79088e+06 242496 648988. 2245.63 1.75 0.0721132 0.0624647 25390 158009 -1 2722 15 1203 2948 179704 40203 0 0 179704 40203 2948 1752 0 0 9670 8300 0 0 15993 11089 0 0 2948 1975 0 0 73548 8715 0 0 74597 8372 0 0 2948 0 0 1745 2370 2712 18531 0 0 6.36938 6.36938 -160.172 -6.36938 0 0 828058. 2865.25 0.26 0.05 0.10 -1 -1 0.26 0.0138301 0.012641 117 169 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_126.v common 6.65 vpr 63.16 MiB -1 -1 0.14 20448 9 0.12 -1 -1 35668 -1 -1 18 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64676 25 32 183 215 1 134 75 17 17 289 -1 unnamed_device 24.7 MiB 0.84 672 63.2 MiB 0.05 0.00 4.27129 -82.6904 -4.27129 4.27129 0.74 0.000151456 0.000126359 0.0132593 0.0110655 34 2057 21 6.79088e+06 242496 618332. 2139.56 2.86 0.079658 0.0681381 25102 150614 -1 1783 22 840 2420 242161 87077 0 0 242161 87077 2420 1376 0 0 7876 6682 0 0 14987 9698 0 0 2420 1589 0 0 105513 33252 0 0 108945 34480 0 0 2420 0 0 1580 2397 2343 17388 0 0 4.73329 4.73329 -100.948 -4.73329 0 0 787024. 2723.27 0.25 0.05 0.09 -1 -1 0.25 0.011459 0.0103467 86 102 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_127.v common 8.58 vpr 63.96 MiB -1 -1 0.16 20888 12 0.26 -1 -1 35700 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65492 32 32 300 332 1 226 85 17 17 289 -1 unnamed_device 25.4 MiB 1.45 1452 64.0 MiB 0.08 0.00 6.04387 -138.13 -6.04387 6.04387 0.91 0.000245179 0.000204282 0.0221724 0.0185135 40 3622 42 6.79088e+06 282912 706193. 2443.58 3.75 0.113168 0.097563 26254 175826 -1 3259 20 1671 4751 355690 79020 0 0 355690 79020 4751 2628 0 0 15341 13204 0 0 27738 18063 0 0 4751 3097 0 0 152912 21104 0 0 150197 20924 0 0 4751 0 0 3080 5451 5433 34399 0 0 6.66688 6.66688 -162.485 -6.66688 0 0 926341. 3205.33 0.30 0.09 0.11 -1 -1 0.30 0.0192856 0.017559 143 205 -1 -1 -1 -1 - fixed_k6_frac_N8_22nm.xml mult_128.v common 7.32 vpr 64.16 MiB -1 -1 0.17 21716 13 0.30 -1 -1 35960 -1 -1 22 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65704 31 32 290 322 1 215 85 17 17 289 -1 unnamed_device 25.8 MiB 1.97 1318 64.2 MiB 0.06 0.00 6.928 -146.391 -6.928 6.928 0.95 0.000256613 0.000210336 0.0155816 0.0133518 38 3565 21 6.79088e+06 296384 678818. 2348.85 2.01 0.100315 0.0874284 25966 169698 -1 2879 18 1389 4026 211878 48031 0 0 211878 48031 4026 2080 0 0 12717 10857 0 0 19408 13718 0 0 4026 2437 0 0 85054 9756 0 0 86647 9183 0 0 4026 0 0 2637 4704 4233 30270 0 0 6.9672 6.9672 -159.654 -6.9672 0 0 902133. 3121.57 0.27 0.05 0.10 -1 -1 0.27 0.0172018 0.0156343 147 197 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 7.39 vpr 64.05 MiB -1 -1 0.11 20924 1 0.01 -1 -1 33188 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65588 32 32 354 285 1 207 90 17 17 289 -1 unnamed_device 25.5 MiB 3.35 1204 64.1 MiB 0.08 0.00 4.31702 -132.808 -4.31702 4.31702 0.78 0.000183507 0.000152251 0.0129629 0.0108673 34 2906 26 6.87369e+06 363320 618332. 2139.56 1.45 0.0724388 0.0625289 25762 151098 -1 2438 19 1509 2395 197731 43351 0 0 197731 43351 2395 1966 0 0 9284 8148 0 0 13998 11251 0 0 2395 2038 0 0 87074 9719 0 0 82585 10229 0 0 2395 0 0 886 1141 1140 8180 0 0 4.63095 4.63095 -157.652 -4.63095 0 0 787024. 2723.27 0.27 0.05 0.10 -1 -1 0.27 0.0111099 0.00990866 142 47 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 7.25 vpr 64.03 MiB -1 -1 0.12 20632 1 0.02 -1 -1 33256 -1 -1 24 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65564 30 32 363 293 1 199 86 17 17 289 -1 unnamed_device 25.5 MiB 2.51 1023 64.0 MiB 0.06 0.00 3.65445 -111.378 -3.65445 3.65445 0.77 0.000161944 0.000132731 0.00996966 0.00840631 30 2165 22 6.87369e+06 335372 556674. 1926.21 2.01 0.0714007 0.0607095 25186 138497 -1 1828 21 1461 2266 106800 28654 0 0 106800 28654 2266 1595 0 0 7883 6632 0 0 10285 8477 0 0 2266 1703 0 0 40904 5431 0 0 43196 4816 0 0 2266 0 0 805 1029 674 6384 0 0 3.82346 3.82346 -132.348 -3.82346 0 0 706193. 2443.58 0.24 0.04 0.09 -1 -1 0.24 0.0115256 0.0101946 138 58 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 6.59 vpr 63.76 MiB -1 -1 0.11 20600 1 0.01 -1 -1 33340 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65292 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 25.1 MiB 2.45 1042 63.8 MiB 0.05 0.00 3.45035 -102.032 -3.45035 3.45035 0.93 0.000152425 0.000126974 0.0071143 0.00600103 34 2514 22 6.87369e+06 293451 618332. 2139.56 1.28 0.0514694 0.044075 25762 151098 -1 2079 20 1254 1740 124840 29614 0 0 124840 29614 1740 1475 0 0 6787 5987 0 0 9995 8155 0 0 1740 1541 0 0 52613 6153 0 0 51965 6303 0 0 1740 0 0 486 397 570 4323 0 0 3.65436 3.65436 -121.595 -3.65436 0 0 787024. 2723.27 0.27 0.03 0.10 -1 -1 0.27 0.00971663 0.00863199 124 26 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 6.23 vpr 63.77 MiB -1 -1 0.11 20572 1 0.01 -1 -1 33180 -1 -1 29 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65304 29 32 308 248 1 172 90 17 17 289 -1 unnamed_device 25.2 MiB 1.01 978 63.8 MiB 0.08 0.00 3.69012 -105.3 -3.69012 3.69012 0.79 0.000145477 0.000118074 0.0114038 0.00893341 34 2326 24 6.87369e+06 405241 618332. 2139.56 2.61 0.0778658 0.0654346 25762 151098 -1 2007 22 1517 2770 206471 47736 0 0 206471 47736 2770 2026 0 0 10543 9114 0 0 16831 13106 0 0 2770 2200 0 0 85716 10941 0 0 87841 10349 0 0 2770 0 0 1253 1636 1623 11086 0 0 3.8134 3.8134 -122.26 -3.8134 0 0 787024. 2723.27 0.25 0.05 0.09 -1 -1 0.25 0.010455 0.00921777 124 25 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 7.08 vpr 64.30 MiB -1 -1 0.11 20884 1 0.01 -1 -1 33212 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65844 32 32 336 268 1 181 91 17 17 289 -1 unnamed_device 25.8 MiB 1.18 1069 64.3 MiB 0.07 0.00 3.56782 -109.183 -3.56782 3.56782 1.04 0.000346392 0.000127005 0.0113507 0.00927817 34 2765 30 6.87369e+06 377294 618332. 2139.56 2.99 0.0907978 0.0775291 25762 151098 -1 2246 22 1698 3319 233457 55698 0 0 233457 55698 3319 2300 0 0 12793 11517 0 0 19823 15695 0 0 3319 2582 0 0 97510 11505 0 0 96693 12099 0 0 3319 0 0 1621 1914 1883 13417 0 0 3.7854 3.7854 -134.332 -3.7854 0 0 787024. 2723.27 0.25 0.05 0.09 -1 -1 0.25 0.0108863 0.00957812 131 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 6.70 vpr 64.18 MiB -1 -1 0.11 20872 1 0.01 -1 -1 32984 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65720 32 32 366 295 1 189 94 17 17 289 -1 unnamed_device 25.7 MiB 1.44 1066 64.2 MiB 0.07 0.00 2.67957 -99.0661 -2.67957 2.67957 0.76 0.000188099 0.000156683 0.0114655 0.0097022 32 2694 24 6.87369e+06 419215 586450. 2029.24 2.69 0.0748339 0.0637534 25474 144626 -1 2184 21 1429 2255 177295 41458 0 0 177295 41458 2255 1783 0 0 8754 7782 0 0 15216 11799 0 0 2255 1897 0 0 75458 9019 0 0 73357 9178 0 0 2255 0 0 826 963 1016 7598 0 0 2.98531 2.98531 -122.433 -2.98531 0 0 744469. 2576.02 0.24 0.04 0.09 -1 -1 0.24 0.0114335 0.0100908 136 55 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 6.85 vpr 63.81 MiB -1 -1 0.11 20516 1 0.01 -1 -1 33344 -1 -1 19 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65340 27 32 259 221 1 141 78 17 17 289 -1 unnamed_device 25.2 MiB 2.13 623 63.8 MiB 0.05 0.00 2.94598 -84.4417 -2.94598 2.94598 0.78 0.000122466 0.000100482 0.0104863 0.00873061 28 1724 21 6.87369e+06 265503 531479. 1839.03 1.81 0.059799 0.0504894 24610 126494 -1 1506 19 1105 1811 128352 30527 0 0 128352 30527 1811 1474 0 0 6635 5616 0 0 9869 7918 0 0 1811 1503 0 0 54781 6830 0 0 53445 7186 0 0 1811 0 0 706 837 856 5950 0 0 3.05826 3.05826 -103.377 -3.05826 0 0 648988. 2245.63 0.38 0.04 0.08 -1 -1 0.38 0.00978594 0.00748468 97 26 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 7.48 vpr 64.05 MiB -1 -1 0.11 20752 1 0.01 -1 -1 33328 -1 -1 32 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65584 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 25.5 MiB 0.83 910 64.0 MiB 0.08 0.00 2.74825 -84.2401 -2.74825 2.74825 0.77 0.000137113 0.000111454 0.0119308 0.00982828 26 2805 42 6.87369e+06 447163 503264. 1741.40 4.12 0.0719035 0.0612707 24322 120374 -1 2226 21 1294 2226 210596 51140 0 0 210596 51140 2226 1611 0 0 8455 6976 0 0 12868 10195 0 0 2226 1733 0 0 91278 15861 0 0 93543 14764 0 0 2226 0 0 932 1491 1701 10130 0 0 3.33616 3.33616 -113.004 -3.33616 0 0 618332. 2139.56 0.21 0.05 0.08 -1 -1 0.21 0.0105434 0.00942279 119 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 6.33 vpr 64.09 MiB -1 -1 0.12 20916 1 0.01 -1 -1 33192 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65624 31 32 317 271 1 175 80 17 17 289 -1 unnamed_device 25.4 MiB 2.40 740 64.1 MiB 0.04 0.00 2.65757 -87.8608 -2.65757 2.65757 0.77 0.000150925 0.000123965 0.00798533 0.00666097 34 2329 24 6.87369e+06 237555 618332. 2139.56 1.37 0.0520149 0.0440377 25762 151098 -1 1734 20 1348 1931 145988 35137 0 0 145988 35137 1931 1678 0 0 7497 6516 0 0 11030 8887 0 0 1931 1721 0 0 60317 8319 0 0 63282 8016 0 0 1931 0 0 583 591 518 4789 0 0 3.19191 3.19191 -114.086 -3.19191 0 0 787024. 2723.27 0.24 0.04 0.09 -1 -1 0.24 0.0102839 0.0092098 113 60 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 7.66 vpr 63.68 MiB -1 -1 0.10 20876 1 0.01 -1 -1 33184 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65204 32 32 298 248 1 162 80 17 17 289 -1 unnamed_device 25.3 MiB 3.69 788 63.7 MiB 0.05 0.00 3.21683 -107.397 -3.21683 3.21683 0.95 0.000142324 0.000116414 0.00724605 0.00603392 34 2094 21 6.87369e+06 223581 618332. 2139.56 1.18 0.0521067 0.0423486 25762 151098 -1 1688 20 1211 2028 140639 35012 0 0 140639 35012 2028 1607 0 0 7651 6709 0 0 11756 9387 0 0 2028 1663 0 0 57961 7696 0 0 59215 7950 0 0 2028 0 0 817 969 1081 7241 0 0 2.90196 2.90196 -116.848 -2.90196 0 0 787024. 2723.27 0.25 0.03 0.09 -1 -1 0.25 0.00879433 0.00773785 107 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 6.97 vpr 63.99 MiB -1 -1 0.11 20492 1 0.02 -1 -1 33132 -1 -1 16 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65524 30 32 303 262 1 148 78 17 17 289 -1 unnamed_device 25.5 MiB 3.05 738 64.0 MiB 0.04 0.00 3.28893 -97.5252 -3.28893 3.28893 0.95 0.000140208 0.000115511 0.00720133 0.0060615 34 1857 20 6.87369e+06 223581 618332. 2139.56 1.21 0.0445488 0.0377192 25762 151098 -1 1562 18 849 1397 90909 21806 0 0 90909 21806 1397 996 0 0 5212 4438 0 0 7749 6062 0 0 1397 1082 0 0 38504 4530 0 0 36650 4698 0 0 1397 0 0 548 492 617 4590 0 0 2.95696 2.95696 -108.436 -2.95696 0 0 787024. 2723.27 0.24 0.03 0.09 -1 -1 0.24 0.00833059 0.00740638 98 58 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 6.15 vpr 63.68 MiB -1 -1 0.11 20520 1 0.01 -1 -1 33220 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65212 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 25.3 MiB 2.20 1005 63.7 MiB 0.06 0.00 3.0081 -102.337 -3.0081 3.0081 0.79 0.000141046 0.000116585 0.0107969 0.00895211 34 2346 26 6.87369e+06 237555 618332. 2139.56 1.16 0.0501372 0.0424484 25762 151098 -1 1987 20 1074 1457 105690 25624 0 0 105690 25624 1457 1265 0 0 5812 5184 0 0 8653 7170 0 0 1457 1319 0 0 44780 5258 0 0 43531 5428 0 0 1457 0 0 383 354 331 3309 0 0 3.04261 3.04261 -117.084 -3.04261 0 0 787024. 2723.27 0.24 0.03 0.09 -1 -1 0.24 0.00854075 0.00751312 107 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 7.59 vpr 63.98 MiB -1 -1 0.10 20768 1 0.01 -1 -1 33032 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65520 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 25.5 MiB 3.56 1017 64.0 MiB 0.10 0.00 3.36593 -110.453 -3.36593 3.36593 0.84 0.00017817 0.000141632 0.0169738 0.0140127 34 2756 22 6.87369e+06 321398 618332. 2139.56 1.29 0.0696636 0.0592661 25762 151098 -1 2349 21 1890 2857 230928 53309 0 0 230928 53309 2857 2366 0 0 11311 10234 0 0 17536 14256 0 0 2857 2398 0 0 99602 11948 0 0 96765 12107 0 0 2857 0 0 967 1102 976 7836 0 0 3.25291 3.25291 -125.762 -3.25291 0 0 787024. 2723.27 0.25 0.05 0.10 -1 -1 0.25 0.0114914 0.0102286 142 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 6.33 vpr 64.19 MiB -1 -1 0.11 21160 1 0.01 -1 -1 33116 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65728 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 25.6 MiB 2.35 1021 64.2 MiB 0.15 0.00 3.88148 -120.44 -3.88148 3.88148 0.88 0.000177208 0.000146704 0.0156515 0.0130467 26 2784 36 6.87369e+06 433189 503264. 1741.40 1.13 0.0510175 0.0435241 24322 120374 -1 2451 25 1940 3135 271074 61701 0 0 271074 61701 3135 2485 0 0 12547 11208 0 0 19913 15572 0 0 3135 2642 0 0 119178 14510 0 0 113166 15284 0 0 3135 0 0 1195 1553 1607 10816 0 0 4.50896 4.50896 -153.465 -4.50896 0 0 618332. 2139.56 0.20 0.06 0.07 -1 -1 0.20 0.0120359 0.0105486 133 58 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 6.98 vpr 63.51 MiB -1 -1 0.11 20424 1 0.01 -1 -1 33216 -1 -1 19 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65036 29 32 248 215 1 142 80 17 17 289 -1 unnamed_device 25.0 MiB 1.52 596 63.5 MiB 0.04 0.00 2.63557 -78.8285 -2.63557 2.63557 0.74 0.000132489 0.000109893 0.0077436 0.00641493 28 1964 34 6.87369e+06 265503 531479. 1839.03 2.51 0.0685836 0.0584292 24610 126494 -1 1631 20 1025 1617 119178 29427 0 0 119178 29427 1617 1254 0 0 6077 5243 0 0 8706 7066 0 0 1617 1277 0 0 48523 7342 0 0 52638 7245 0 0 1617 0 0 592 798 617 5004 0 0 3.04661 3.04661 -103.047 -3.04661 0 0 648988. 2245.63 0.31 0.12 0.08 -1 -1 0.31 0.00848247 0.0074983 94 21 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 7.50 vpr 64.03 MiB -1 -1 0.10 20872 1 0.02 -1 -1 33112 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65568 32 32 370 297 1 191 88 17 17 289 -1 unnamed_device 25.5 MiB 2.01 865 64.0 MiB 0.06 0.00 2.9366 -95.9942 -2.9366 2.9366 0.81 0.000168058 0.000138789 0.0114847 0.00960227 34 2745 26 6.87369e+06 335372 618332. 2139.56 2.82 0.09278 0.0790752 25762 151098 -1 1943 23 1600 2805 214244 50958 0 0 214244 50958 2805 2058 0 0 10861 9679 0 0 16660 13337 0 0 2805 2212 0 0 91693 11265 0 0 89420 12407 0 0 2805 0 0 1205 1463 1590 10539 0 0 3.22491 3.22491 -119.221 -3.22491 0 0 787024. 2723.27 0.26 0.05 0.09 -1 -1 0.26 0.0123356 0.0108692 135 55 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 7.77 vpr 63.93 MiB -1 -1 0.10 20904 1 0.01 -1 -1 33124 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65468 32 32 338 269 1 204 85 17 17 289 -1 unnamed_device 25.4 MiB 3.99 1050 63.9 MiB 0.05 0.00 3.24063 -108.847 -3.24063 3.24063 0.75 0.000194788 0.00016374 0.00947769 0.00798008 34 2630 21 6.87369e+06 293451 618332. 2139.56 1.25 0.0582768 0.049691 25762 151098 -1 2151 22 1718 2539 191980 43292 0 0 191980 43292 2539 2075 0 0 9419 8066 0 0 14314 11265 0 0 2539 2192 0 0 81062 9622 0 0 82107 10072 0 0 2539 0 0 821 812 877 6691 0 0 3.03621 3.03621 -120.891 -3.03621 0 0 787024. 2723.27 0.25 0.04 0.09 -1 -1 0.25 0.0108982 0.009649 140 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 7.42 vpr 63.93 MiB -1 -1 0.11 20936 1 0.01 -1 -1 33180 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65468 32 32 323 276 1 156 92 17 17 289 -1 unnamed_device 25.3 MiB 2.33 895 63.9 MiB 0.12 0.00 2.46506 -93.2432 -2.46506 2.46506 0.76 0.000151158 0.000123491 0.0131247 0.0109246 34 2070 21 6.87369e+06 391268 618332. 2139.56 2.42 0.0731118 0.0618992 25762 151098 -1 1772 20 1061 1548 113303 27055 0 0 113303 27055 1548 1128 0 0 6106 5305 0 0 9437 7588 0 0 1548 1258 0 0 46029 6234 0 0 48635 5542 0 0 1548 0 0 487 698 640 5027 0 0 2.31317 2.31317 -105.825 -2.31317 0 0 787024. 2723.27 0.27 0.03 0.10 -1 -1 0.27 0.00975686 0.00834301 109 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 5.69 vpr 63.33 MiB -1 -1 0.10 20536 1 0.00 -1 -1 33248 -1 -1 14 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64852 30 32 222 206 1 117 76 17 17 289 -1 unnamed_device 24.7 MiB 0.65 678 63.3 MiB 0.05 0.00 2.10903 -75.4981 -2.10903 2.10903 0.73 0.000108738 8.8448e-05 0.0088305 0.00727034 32 1581 19 6.87369e+06 195634 586450. 2029.24 2.21 0.0772302 0.0690032 25474 144626 -1 1358 16 660 918 75493 17520 0 0 75493 17520 918 833 0 0 3617 3165 0 0 5653 4512 0 0 918 856 0 0 31737 4346 0 0 32650 3808 0 0 918 0 0 258 210 291 2281 0 0 2.19412 2.19412 -92.1058 -2.19412 0 0 744469. 2576.02 0.24 0.04 0.09 -1 -1 0.24 0.00605423 0.00536348 71 29 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 6.90 vpr 63.74 MiB -1 -1 0.12 20360 1 0.01 -1 -1 33468 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65268 31 32 291 243 1 178 82 17 17 289 -1 unnamed_device 25.2 MiB 3.02 998 63.7 MiB 0.05 0.00 3.93483 -123.477 -3.93483 3.93483 0.73 0.000135666 0.00011154 0.00904524 0.00753046 34 2148 24 6.87369e+06 265503 618332. 2139.56 1.09 0.0498367 0.0424304 25762 151098 -1 1871 24 1177 1775 132459 30131 0 0 132459 30131 1775 1570 0 0 6502 5627 0 0 9835 7723 0 0 1775 1621 0 0 57168 6321 0 0 55404 7269 0 0 1775 0 0 598 625 656 4972 0 0 3.55586 3.55586 -133.974 -3.55586 0 0 787024. 2723.27 0.26 0.04 0.09 -1 -1 0.26 0.0106303 0.00941058 116 30 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 5.98 vpr 64.05 MiB -1 -1 0.11 20936 1 0.01 -1 -1 33424 -1 -1 35 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65588 32 32 342 271 1 181 99 17 17 289 -1 unnamed_device 25.5 MiB 0.81 1192 64.1 MiB 0.09 0.00 3.33899 -111.682 -3.33899 3.33899 0.76 0.000169298 0.000140851 0.0149334 0.0124442 32 2596 22 6.87369e+06 489084 586450. 2029.24 2.40 0.0867463 0.0736349 25474 144626 -1 2153 19 1462 2184 160277 37110 0 0 160277 37110 2184 1655 0 0 8581 7284 0 0 13453 10728 0 0 2184 1803 0 0 66748 8069 0 0 67127 7571 0 0 2184 0 0 722 823 896 6949 0 0 3.7734 3.7734 -137.428 -3.7734 0 0 744469. 2576.02 0.30 0.04 0.09 -1 -1 0.30 0.00969385 0.00857744 137 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 8.38 vpr 64.08 MiB -1 -1 0.12 20816 1 0.01 -1 -1 33352 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65620 32 32 372 300 1 206 86 17 17 289 -1 unnamed_device 25.5 MiB 2.17 1104 64.1 MiB 0.05 0.00 3.42215 -106.583 -3.42215 3.42215 0.76 0.000173188 0.000142529 0.0088749 0.00743618 38 2390 20 6.87369e+06 307425 678818. 2348.85 3.37 0.112027 0.0895182 26626 170182 -1 2147 20 1388 2314 165710 36591 0 0 165710 36591 2314 1587 0 0 8287 7351 0 0 11588 9508 0 0 2314 1911 0 0 70341 8295 0 0 70866 7939 0 0 2314 0 0 926 1120 1067 7883 0 0 3.84876 3.84876 -126.255 -3.84876 0 0 902133. 3121.57 0.31 0.04 0.11 -1 -1 0.31 0.011599 0.0103002 142 59 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 6.66 vpr 63.36 MiB -1 -1 0.09 20716 1 0.01 -1 -1 33364 -1 -1 17 26 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64876 26 32 190 182 1 108 75 17 17 289 -1 unnamed_device 24.9 MiB 1.66 344 63.4 MiB 0.03 0.00 2.06503 -56.4036 -2.06503 2.06503 0.79 9.3474e-05 7.5902e-05 0.00693024 0.00577894 34 1278 29 6.87369e+06 237555 618332. 2139.56 2.37 0.0491035 0.0411128 25762 151098 -1 799 17 583 771 49854 14782 0 0 49854 14782 771 640 0 0 3090 2741 0 0 4661 3895 0 0 771 662 0 0 21340 3204 0 0 19221 3640 0 0 771 0 0 188 240 168 1851 0 0 2.37877 2.37877 -75.7147 -2.37877 0 0 787024. 2723.27 0.26 0.02 0.09 -1 -1 0.26 0.00527655 0.00466849 67 21 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 5.22 vpr 63.93 MiB -1 -1 0.11 20672 1 0.01 -1 -1 33352 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65468 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 25.4 MiB 1.18 998 63.9 MiB 0.03 0.00 3.71512 -108.094 -3.71512 3.71512 0.78 0.000141971 0.000116823 0.0050822 0.00430156 34 2324 21 6.87369e+06 321398 618332. 2139.56 1.22 0.0500573 0.0430338 25762 151098 -1 2076 21 1369 2500 190743 42423 0 0 190743 42423 2500 2075 0 0 9513 8324 0 0 14309 11154 0 0 2500 2219 0 0 83833 8810 0 0 78088 9841 0 0 2500 0 0 1131 1433 1654 9984 0 0 3.7041 3.7041 -126.435 -3.7041 0 0 787024. 2723.27 0.27 0.04 0.10 -1 -1 0.27 0.00980103 0.00870136 119 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 4.65 vpr 63.24 MiB -1 -1 0.09 20188 1 0.01 -1 -1 32972 -1 -1 12 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64760 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 24.9 MiB 0.39 533 63.2 MiB 0.04 0.00 2.08703 -66.0984 -2.08703 2.08703 0.78 9.6377e-05 7.811e-05 0.00719773 0.00589046 28 1388 27 6.87369e+06 167686 531479. 1839.03 1.72 0.0421919 0.0356924 24610 126494 -1 1231 20 676 820 66783 16500 0 0 66783 16500 820 733 0 0 3211 2646 0 0 4567 3768 0 0 820 754 0 0 28984 4298 0 0 28381 4301 0 0 820 0 0 144 86 152 1490 0 0 2.40077 2.40077 -85.6913 -2.40077 0 0 648988. 2245.63 0.24 0.04 0.09 -1 -1 0.24 0.0120104 0.00510895 65 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 6.35 vpr 63.81 MiB -1 -1 0.10 20504 1 0.01 -1 -1 33180 -1 -1 30 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65340 32 32 300 245 1 169 94 17 17 289 -1 unnamed_device 25.3 MiB 0.94 827 63.8 MiB 0.06 0.00 3.63082 -102.435 -3.63082 3.63082 0.75 0.000156623 0.000127366 0.00908177 0.00747376 26 2525 42 6.87369e+06 419215 503264. 1741.40 2.92 0.0811503 0.0598619 24322 120374 -1 2041 30 1628 2619 202583 48889 0 0 202583 48889 2619 2110 0 0 9815 8345 0 0 15190 11850 0 0 2619 2247 0 0 84082 12133 0 0 88258 12204 0 0 2619 0 0 991 1539 1328 9471 0 0 3.8784 3.8784 -128.473 -3.8784 0 0 618332. 2139.56 0.21 0.05 0.08 -1 -1 0.21 0.0123283 0.0107783 120 21 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 5.87 vpr 63.93 MiB -1 -1 0.10 20480 1 0.01 -1 -1 33144 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65464 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.3 MiB 1.05 1035 63.9 MiB 0.07 0.00 2.73725 -91.0691 -2.73725 2.73725 0.83 0.000161724 0.000127404 0.0112386 0.00918177 26 2459 30 6.87369e+06 433189 503264. 1741.40 1.89 0.0654211 0.0554905 24322 120374 -1 2154 24 1500 2618 244505 70438 0 0 244505 70438 2618 1904 0 0 9973 8191 0 0 15834 12107 0 0 2618 2075 0 0 107662 22960 0 0 105800 23201 0 0 2618 0 0 1118 1616 1713 10877 0 0 3.19186 3.19186 -116.312 -3.19186 0 0 618332. 2139.56 0.38 0.06 0.10 -1 -1 0.38 0.0109198 0.00960382 130 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 5.95 vpr 63.95 MiB -1 -1 0.12 20916 1 0.01 -1 -1 33164 -1 -1 28 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65480 32 32 338 277 1 186 92 17 17 289 -1 unnamed_device 25.4 MiB 1.89 1001 63.9 MiB 0.07 0.00 3.71518 -107.036 -3.71518 3.71518 0.82 0.000165815 0.000138222 0.0101598 0.00849782 34 2422 29 6.87369e+06 391268 618332. 2139.56 1.35 0.0603117 0.0515817 25762 151098 -1 1972 20 1449 2495 161761 39009 0 0 161761 39009 2495 1828 0 0 9271 8184 0 0 14318 11064 0 0 2495 2029 0 0 66389 8031 0 0 66793 7873 0 0 2495 0 0 1046 1339 1354 9298 0 0 3.95476 3.95476 -131.899 -3.95476 0 0 787024. 2723.27 0.26 0.05 0.10 -1 -1 0.26 0.0108626 0.00963888 131 47 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 6.55 vpr 63.71 MiB -1 -1 0.11 20344 1 0.01 -1 -1 33136 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65236 32 32 284 241 1 148 80 17 17 289 -1 unnamed_device 25.3 MiB 0.83 758 63.7 MiB 0.09 0.00 2.61357 -89.9597 -2.61357 2.61357 0.79 0.000137009 0.000111272 0.0117505 0.00979029 28 2120 39 6.87369e+06 223581 531479. 1839.03 2.85 0.0766668 0.0650733 24610 126494 -1 1770 23 1086 1691 144113 33971 0 0 144113 33971 1691 1452 0 0 6318 5414 0 0 9414 7580 0 0 1691 1548 0 0 62025 9148 0 0 62974 8829 0 0 1691 0 0 605 728 727 5237 0 0 3.00781 3.00781 -111.1 -3.00781 0 0 648988. 2245.63 0.41 0.04 0.08 -1 -1 0.41 0.00952748 0.00838342 99 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 6.33 vpr 63.55 MiB -1 -1 0.11 20484 1 0.01 -1 -1 33288 -1 -1 26 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65072 30 32 262 227 1 138 88 17 17 289 -1 unnamed_device 24.9 MiB 1.11 725 63.5 MiB 0.05 0.00 2.60257 -83.4629 -2.60257 2.60257 0.78 0.000132341 0.000107148 0.0077277 0.00641322 32 1803 21 6.87369e+06 363320 586450. 2029.24 2.57 0.0667976 0.0588628 25474 144626 -1 1556 21 1005 1681 130182 29469 0 0 130182 29469 1681 1272 0 0 6518 5538 0 0 10394 7922 0 0 1681 1422 0 0 54667 6811 0 0 55241 6504 0 0 1681 0 0 676 758 811 5878 0 0 2.81626 2.81626 -96.6932 -2.81626 0 0 744469. 2576.02 0.24 0.03 0.09 -1 -1 0.24 0.00834222 0.0073308 97 29 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 6.09 vpr 63.72 MiB -1 -1 0.12 20352 1 0.01 -1 -1 33076 -1 -1 18 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65252 28 32 260 223 1 140 78 17 17 289 -1 unnamed_device 25.2 MiB 0.90 653 63.7 MiB 0.06 0.00 2.8296 -82.4227 -2.8296 2.8296 0.77 0.000128965 0.000105347 0.0109596 0.00905496 34 1757 21 6.87369e+06 251529 618332. 2139.56 2.55 0.0584454 0.0496162 25762 151098 -1 1440 20 946 1692 114518 29348 0 0 114518 29348 1692 1232 0 0 6377 5545 0 0 9634 7615 0 0 1692 1348 0 0 49815 7099 0 0 45308 6509 0 0 1692 0 0 746 824 765 6075 0 0 2.93826 2.93826 -99.3061 -2.93826 0 0 787024. 2723.27 0.26 0.03 0.11 -1 -1 0.26 0.00780199 0.0068777 95 27 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 5.99 vpr 63.55 MiB -1 -1 0.10 20500 1 0.01 -1 -1 33360 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65080 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 25.0 MiB 0.85 819 63.6 MiB 0.05 0.00 3.20393 -100.286 -3.20393 3.20393 0.75 0.000129804 0.00010703 0.00782502 0.00650003 32 2255 23 6.87369e+06 237555 586450. 2029.24 2.39 0.0579217 0.0492681 25474 144626 -1 1808 21 1269 2106 164988 37624 0 0 164988 37624 2106 1635 0 0 7900 6998 0 0 12981 9923 0 0 2106 1778 0 0 69358 8733 0 0 70537 8557 0 0 2106 0 0 837 980 1063 7140 0 0 2.88426 2.88426 -114.521 -2.88426 0 0 744469. 2576.02 0.26 0.04 0.09 -1 -1 0.26 0.00870951 0.00772283 101 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 5.67 vpr 63.44 MiB -1 -1 0.11 20468 1 0.01 -1 -1 33216 -1 -1 26 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64960 31 32 271 231 1 149 89 17 17 289 -1 unnamed_device 25.1 MiB 0.81 778 63.4 MiB 0.05 0.00 2.8296 -88.0864 -2.8296 2.8296 0.78 0.000136394 0.000111595 0.00877013 0.00750736 30 1983 23 6.87369e+06 363320 556674. 1926.21 2.08 0.0586083 0.0499323 25186 138497 -1 1560 22 829 1544 100736 23830 0 0 100736 23830 1544 1051 0 0 5428 4701 0 0 7630 6157 0 0 1544 1163 0 0 41556 5454 0 0 43034 5304 0 0 1544 0 0 715 784 919 6412 0 0 2.83496 2.83496 -104.177 -2.83496 0 0 706193. 2443.58 0.23 0.03 0.13 -1 -1 0.23 0.00872603 0.00767359 102 26 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 6.97 vpr 63.82 MiB -1 -1 0.12 20840 1 0.01 -1 -1 33356 -1 -1 25 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65356 29 32 291 250 1 154 86 17 17 289 -1 unnamed_device 25.4 MiB 2.91 860 63.8 MiB 0.07 0.00 2.42106 -82.6823 -2.42106 2.42106 0.78 0.000130931 0.000106514 0.0113553 0.00942894 34 1912 20 6.87369e+06 349346 618332. 2139.56 1.29 0.0785886 0.0708414 25762 151098 -1 1687 20 1148 1708 118687 28776 0 0 118687 28776 1708 1305 0 0 6628 5896 0 0 9918 7998 0 0 1708 1412 0 0 50554 6096 0 0 48171 6069 0 0 1708 0 0 560 633 766 5305 0 0 2.57007 2.57007 -100.677 -2.57007 0 0 787024. 2723.27 0.29 0.03 0.09 -1 -1 0.29 0.00875451 0.00774052 106 48 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 7.04 vpr 64.15 MiB -1 -1 0.12 21028 1 0.01 -1 -1 33296 -1 -1 40 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65692 32 32 367 282 1 201 104 17 17 289 -1 unnamed_device 25.7 MiB 3.48 1171 64.2 MiB 0.09 0.00 3.28579 -102.179 -3.28579 3.28579 0.76 0.000201176 0.000168617 0.0136562 0.0113985 28 2728 23 6.87369e+06 558954 531479. 1839.03 1.03 0.0494817 0.042541 24610 126494 -1 2434 21 1622 3164 256367 55212 0 0 256367 55212 3164 1906 0 0 11825 10071 0 0 18405 14362 0 0 3164 2122 0 0 113512 12716 0 0 106297 14035 0 0 3164 0 0 1542 2986 3275 18655 0 0 3.8527 3.8527 -125.257 -3.8527 0 0 648988. 2245.63 0.22 0.06 0.08 -1 -1 0.22 0.0126603 0.0112795 156 26 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 8.45 vpr 64.10 MiB -1 -1 0.13 20760 1 0.01 -1 -1 33188 -1 -1 38 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65636 32 32 391 311 1 194 102 17 17 289 -1 unnamed_device 25.7 MiB 3.37 1097 64.1 MiB 0.09 0.00 3.09148 -109.588 -3.09148 3.09148 0.76 0.000183328 0.000151218 0.0144359 0.011952 34 2315 21 6.87369e+06 531006 618332. 2139.56 2.45 0.0941462 0.0800563 25762 151098 -1 2022 22 1734 2924 178611 41610 0 0 178611 41610 2924 1885 0 0 10753 9002 0 0 15901 12353 0 0 2924 2139 0 0 74604 7683 0 0 71505 8548 0 0 2924 0 0 1190 1439 1726 11375 0 0 2.91196 2.91196 -118.091 -2.91196 0 0 787024. 2723.27 0.26 0.05 0.10 -1 -1 0.26 0.0124674 0.0109865 148 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 5.96 vpr 63.80 MiB -1 -1 0.11 20820 1 0.01 -1 -1 33428 -1 -1 18 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65336 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 25.3 MiB 1.92 884 63.8 MiB 0.07 0.00 3.32193 -102.37 -3.32193 3.32193 0.89 0.00014553 0.000121193 0.0123155 0.0102686 34 2318 22 6.87369e+06 251529 618332. 2139.56 1.34 0.0635343 0.048504 25762 151098 -1 1895 23 1257 1916 152107 35165 0 0 152107 35165 1916 1680 0 0 7545 6584 0 0 11227 9188 0 0 1916 1772 0 0 63949 8382 0 0 65554 7559 0 0 1916 0 0 659 888 952 6351 0 0 3.37221 3.37221 -120.615 -3.37221 0 0 787024. 2723.27 0.24 0.04 0.09 -1 -1 0.24 0.00942827 0.00833291 109 30 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 6.71 vpr 64.05 MiB -1 -1 0.12 21172 1 0.01 -1 -1 33412 -1 -1 26 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65584 31 32 370 297 1 187 89 17 17 289 -1 unnamed_device 25.5 MiB 2.44 877 64.0 MiB 0.12 0.00 2.9678 -96.0281 -2.9678 2.9678 0.77 0.000195109 0.000160588 0.0161417 0.0133804 34 2650 25 6.87369e+06 363320 618332. 2139.56 1.55 0.104172 0.0938337 25762 151098 -1 2014 20 1600 2672 177830 42997 0 0 177830 42997 2672 2028 0 0 9699 8191 0 0 14634 11190 0 0 2672 2170 0 0 72939 9908 0 0 75214 9510 0 0 2672 0 0 1072 1253 1301 9246 0 0 3.11861 3.11861 -119.374 -3.11861 0 0 787024. 2723.27 0.31 0.04 0.09 -1 -1 0.31 0.0111744 0.00989793 136 57 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 8.56 vpr 64.16 MiB -1 -1 0.12 20676 1 0.02 -1 -1 33464 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65700 31 32 377 302 1 237 88 17 17 289 -1 unnamed_device 25.8 MiB 3.75 1235 64.2 MiB 0.10 0.00 4.36902 -137.043 -4.36902 4.36902 0.79 0.000181548 0.000150823 0.0177525 0.014935 34 3425 37 6.87369e+06 349346 618332. 2139.56 1.91 0.0827939 0.0712809 25762 151098 -1 2740 21 2217 3306 285405 64333 0 0 285405 64333 3306 2856 0 0 12968 11443 0 0 20224 16028 0 0 3306 2998 0 0 122227 15827 0 0 123374 15181 0 0 3306 0 0 1089 1073 1212 8858 0 0 4.9525 4.9525 -167.429 -4.9525 0 0 787024. 2723.27 0.26 0.07 0.09 -1 -1 0.26 0.0130411 0.0116089 159 60 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 9.43 vpr 64.46 MiB -1 -1 0.12 20740 1 0.01 -1 -1 33424 -1 -1 27 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66008 31 32 383 305 1 212 90 17 17 289 -1 unnamed_device 26.0 MiB 3.24 1074 64.5 MiB 0.12 0.00 4.33584 -133.913 -4.33584 4.33584 0.77 0.000185337 0.000153576 0.0171178 0.0143302 30 2743 27 6.87369e+06 377294 556674. 1926.21 3.29 0.0907514 0.0775356 25186 138497 -1 2088 23 1550 2443 158862 36330 0 0 158862 36330 2443 1861 0 0 8564 7172 0 0 11459 9413 0 0 2443 1914 0 0 67931 7995 0 0 66022 7975 0 0 2443 0 0 893 971 876 7189 0 0 4.5118 4.5118 -156.016 -4.5118 0 0 706193. 2443.58 0.23 0.04 0.09 -1 -1 0.23 0.0126252 0.0110777 152 60 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 7.80 vpr 63.95 MiB -1 -1 0.11 20940 1 0.02 -1 -1 33264 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65484 31 32 352 285 1 186 88 17 17 289 -1 unnamed_device 25.5 MiB 2.77 972 63.9 MiB 0.06 0.00 3.22963 -104.153 -3.22963 3.22963 0.72 0.000162194 0.000132847 0.00996443 0.00829293 32 3145 37 6.87369e+06 349346 586450. 2029.24 2.44 0.0903232 0.0765667 25474 144626 -1 2348 26 1768 2993 242060 56934 0 0 242060 56934 2993 2527 0 0 11870 10548 0 0 20639 15810 0 0 2993 2718 0 0 101918 12678 0 0 101647 12653 0 0 2993 0 0 1225 1705 1637 10994 0 0 3.43321 3.43321 -124.88 -3.43321 0 0 744469. 2576.02 0.24 0.05 0.09 -1 -1 0.24 0.0129113 0.0113407 131 51 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 6.48 vpr 63.79 MiB -1 -1 0.11 20760 1 0.01 -1 -1 33216 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65324 32 32 291 242 1 183 84 17 17 289 -1 unnamed_device 25.2 MiB 2.69 1056 63.8 MiB 0.09 0.00 3.52545 -100.891 -3.52545 3.52545 0.72 0.00013764 0.000112639 0.0230816 0.0209401 34 2510 27 6.87369e+06 279477 618332. 2139.56 1.28 0.064941 0.0567494 25762 151098 -1 2046 22 1393 2119 159303 37037 0 0 159303 37037 2119 1663 0 0 8349 7411 0 0 12738 10172 0 0 2119 1733 0 0 65681 8348 0 0 68297 7710 0 0 2119 0 0 726 1060 892 6653 0 0 3.59616 3.59616 -116.869 -3.59616 0 0 787024. 2723.27 0.25 0.04 0.09 -1 -1 0.25 0.00993026 0.00878733 119 24 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 7.00 vpr 64.44 MiB -1 -1 0.12 21192 1 0.02 -1 -1 33240 -1 -1 38 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65984 32 32 457 356 1 225 102 17 17 289 -1 unnamed_device 26.0 MiB 3.14 1268 64.4 MiB 0.23 0.00 3.94568 -130.369 -3.94568 3.94568 1.03 0.000232676 0.000194971 0.0181839 0.0158206 32 3569 33 6.87369e+06 531006 586450. 2029.24 0.86 0.0595493 0.0513721 25474 144626 -1 2671 20 1855 2907 216210 50558 0 0 216210 50558 2907 2306 0 0 11311 9725 0 0 18462 14410 0 0 2907 2387 0 0 89761 11055 0 0 90862 10675 0 0 2907 0 0 1052 1577 1601 11017 0 0 4.15206 4.15206 -155.221 -4.15206 0 0 744469. 2576.02 0.23 0.05 0.09 -1 -1 0.23 0.0129917 0.0115247 173 84 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 5.02 vpr 63.89 MiB -1 -1 0.10 20592 1 0.01 -1 -1 33288 -1 -1 22 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65428 31 32 261 225 1 148 85 17 17 289 -1 unnamed_device 25.3 MiB 1.71 885 63.9 MiB 0.06 0.00 2.78925 -88.8806 -2.78925 2.78925 0.73 0.000131759 0.000108036 0.010063 0.00827091 32 2098 26 6.87369e+06 307425 586450. 2029.24 0.73 0.0347906 0.0296122 25474 144626 -1 1804 21 1104 1870 164976 36106 0 0 164976 36106 1870 1512 0 0 7196 6241 0 0 11957 8994 0 0 1870 1617 0 0 72294 8399 0 0 69789 9343 0 0 1870 0 0 766 1025 1053 6778 0 0 2.80496 2.80496 -105.327 -2.80496 0 0 744469. 2576.02 0.25 0.04 0.09 -1 -1 0.25 0.0088625 0.00779404 96 24 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 7.82 vpr 63.99 MiB -1 -1 0.12 20736 1 0.01 -1 -1 33392 -1 -1 23 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65528 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 25.5 MiB 2.37 1163 64.0 MiB 0.09 0.00 3.78918 -119.277 -3.78918 3.78918 0.74 0.000179374 0.000148486 0.015073 0.0126422 34 3004 22 6.87369e+06 321398 618332. 2139.56 2.78 0.0887212 0.0756423 25762 151098 -1 2479 22 1877 2832 234402 53921 0 0 234402 53921 2832 2347 0 0 11187 10071 0 0 17723 14275 0 0 2832 2486 0 0 103838 11854 0 0 95990 12888 0 0 2832 0 0 955 1095 1236 8464 0 0 3.98096 3.98096 -141.013 -3.98096 0 0 787024. 2723.27 0.24 0.05 0.10 -1 -1 0.24 0.0116455 0.0104057 140 30 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 7.63 vpr 63.88 MiB -1 -1 0.12 20864 1 0.01 -1 -1 33132 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65416 32 32 349 284 1 183 96 17 17 289 -1 unnamed_device 25.5 MiB 2.28 960 63.9 MiB 0.09 0.00 2.9146 -93.1402 -2.9146 2.9146 0.70 0.000173615 0.000144842 0.0148675 0.0124848 30 2406 21 6.87369e+06 447163 556674. 1926.21 2.63 0.104231 0.0807839 25186 138497 -1 1876 22 1169 2097 122901 28930 0 0 122901 28930 2097 1418 0 0 7246 5941 0 0 9877 8008 0 0 2097 1555 0 0 51163 5991 0 0 50421 6017 0 0 2097 0 0 928 1095 1170 8182 0 0 2.88001 2.88001 -106.901 -2.88001 0 0 706193. 2443.58 0.35 0.04 0.15 -1 -1 0.35 0.0109356 0.00963039 132 50 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 5.68 vpr 63.76 MiB -1 -1 0.11 20472 1 0.01 -1 -1 33116 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65288 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 25.2 MiB 0.63 1057 63.8 MiB 0.08 0.00 3.35979 -108.146 -3.35979 3.35979 0.72 0.000156613 0.000130584 0.0117455 0.00973304 28 2542 22 6.87369e+06 363320 531479. 1839.03 2.55 0.073559 0.0626654 24610 126494 -1 2265 23 1558 2994 235200 52837 0 0 235200 52837 2994 2310 0 0 11214 9836 0 0 17319 13828 0 0 2994 2480 0 0 104004 11743 0 0 96675 12640 0 0 2994 0 0 1436 1798 2183 12841 0 0 3.7964 3.7964 -130.566 -3.7964 0 0 648988. 2245.63 0.23 0.05 0.08 -1 -1 0.23 0.0101652 0.00894593 123 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 8.16 vpr 64.29 MiB -1 -1 0.11 21088 1 0.01 -1 -1 33244 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65832 32 32 353 287 1 203 86 17 17 289 -1 unnamed_device 25.8 MiB 2.87 1082 64.3 MiB 0.06 0.00 3.93315 -121.002 -3.93315 3.93315 0.76 0.000158643 0.00012982 0.00980572 0.00819849 30 2602 31 6.87369e+06 307425 556674. 1926.21 2.68 0.0758548 0.0643299 25186 138497 -1 2064 18 1114 1573 93849 23606 0 0 93849 23606 1573 1343 0 0 5563 4525 0 0 7176 6011 0 0 1573 1366 0 0 38664 5313 0 0 39300 5048 0 0 1573 0 0 459 551 532 4251 0 0 3.4645 3.4645 -128.185 -3.4645 0 0 706193. 2443.58 0.32 0.03 0.10 -1 -1 0.32 0.0103407 0.0092443 136 52 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 8.84 vpr 63.99 MiB -1 -1 0.12 20880 1 0.01 -1 -1 33300 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65528 32 32 361 291 1 189 96 17 17 289 -1 unnamed_device 25.5 MiB 3.00 1027 64.0 MiB 0.09 0.00 2.9366 -98.844 -2.9366 2.9366 0.77 0.000162718 0.000132853 0.014063 0.0117368 34 2642 30 6.87369e+06 447163 618332. 2139.56 2.69 0.0991602 0.0853418 25762 151098 -1 2111 20 1449 2607 171112 41198 0 0 171112 41198 2607 1815 0 0 9673 8503 0 0 14920 11781 0 0 2607 1948 0 0 69744 8965 0 0 71561 8186 0 0 2607 0 0 1158 1606 1896 12089 0 0 3.09961 3.09961 -117.545 -3.09961 0 0 787024. 2723.27 0.45 0.04 0.23 -1 -1 0.45 0.0110053 0.00975901 136 52 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 9.94 vpr 64.23 MiB -1 -1 0.10 21156 1 0.01 -1 -1 33152 -1 -1 35 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65768 32 32 382 305 1 193 99 17 17 289 -1 unnamed_device 25.9 MiB 3.17 920 64.2 MiB 0.09 0.00 3.24063 -105.558 -3.24063 3.24063 0.70 0.000188091 0.000157099 0.0159382 0.0131956 36 2774 42 6.87369e+06 489084 648988. 2245.63 4.27 0.120179 0.102488 26050 158493 -1 2100 19 1603 2597 188272 44530 0 0 188272 44530 2597 1925 0 0 9507 7966 0 0 14119 11070 0 0 2597 2214 0 0 80195 10312 0 0 79257 11043 0 0 2597 0 0 994 1451 1189 9041 0 0 3.12961 3.12961 -118.612 -3.12961 0 0 828058. 2865.25 0.27 0.05 0.10 -1 -1 0.27 0.0116333 0.0103368 144 59 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 6.21 vpr 64.18 MiB -1 -1 0.11 20608 1 0.01 -1 -1 33292 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65716 32 32 306 248 1 170 97 17 17 289 -1 unnamed_device 25.5 MiB 0.86 900 64.2 MiB 0.06 0.00 3.39279 -101.124 -3.39279 3.39279 0.76 0.00015206 0.000124127 0.00874229 0.00725634 26 2577 29 6.87369e+06 461137 503264. 1741.40 2.54 0.0638886 0.054402 24322 120374 -1 2201 23 1497 2638 236440 53047 0 0 236440 53047 2638 1999 0 0 10138 8516 0 0 15494 12170 0 0 2638 2145 0 0 100953 14288 0 0 104579 13929 0 0 2638 0 0 1141 1729 1607 10863 0 0 4.058 4.058 -133.176 -4.058 0 0 618332. 2139.56 0.29 0.05 0.11 -1 -1 0.29 0.0105107 0.00917427 124 21 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 6.09 vpr 64.02 MiB -1 -1 0.11 20852 1 0.01 -1 -1 33168 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65556 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 25.6 MiB 2.08 1105 64.0 MiB 0.06 0.00 3.84368 -115.344 -3.84368 3.84368 0.78 0.000151354 0.000123976 0.00888502 0.00742061 34 2845 30 6.87369e+06 307425 618332. 2139.56 1.41 0.0564655 0.048221 25762 151098 -1 2361 22 1610 2332 168841 38935 0 0 168841 38935 2332 2051 0 0 8741 7399 0 0 13162 10267 0 0 2332 2149 0 0 72632 8357 0 0 69642 8712 0 0 2332 0 0 722 723 883 6104 0 0 3.92176 3.92176 -134.893 -3.92176 0 0 787024. 2723.27 0.26 0.04 0.09 -1 -1 0.26 0.0105788 0.00932124 135 26 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 6.48 vpr 64.14 MiB -1 -1 0.12 20768 1 0.02 -1 -1 33216 -1 -1 22 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65680 31 32 373 299 1 204 85 17 17 289 -1 unnamed_device 25.5 MiB 2.13 1153 64.1 MiB 0.09 0.00 3.72318 -119.527 -3.72318 3.72318 0.77 0.000179428 0.000149742 0.0164017 0.0136688 34 3014 23 6.87369e+06 307425 618332. 2139.56 1.63 0.0683788 0.0583047 25762 151098 -1 2516 21 1596 2554 225666 49521 0 0 225666 49521 2554 2220 0 0 10120 9070 0 0 15776 12773 0 0 2554 2326 0 0 99103 11185 0 0 95559 11947 0 0 2554 0 0 958 1219 1168 8451 0 0 3.98276 3.98276 -142.852 -3.98276 0 0 787024. 2723.27 0.27 0.08 0.09 -1 -1 0.27 0.0118801 0.0105191 141 58 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 6.79 vpr 64.20 MiB -1 -1 0.11 20840 1 0.01 -1 -1 33224 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65740 32 32 387 315 1 194 85 17 17 289 -1 unnamed_device 25.6 MiB 2.74 1055 64.2 MiB 0.07 0.00 3.65075 -115.066 -3.65075 3.65075 0.73 0.000169931 0.000139757 0.0123549 0.0103083 34 3064 47 6.87369e+06 293451 618332. 2139.56 1.32 0.0775545 0.0663936 25762 151098 -1 2510 18 1545 2731 208018 49176 0 0 208018 49176 2731 2286 0 0 10739 9550 0 0 16199 13153 0 0 2731 2363 0 0 87710 11054 0 0 87908 10770 0 0 2731 0 0 1186 1223 1188 9102 0 0 3.67446 3.67446 -133.244 -3.67446 0 0 787024. 2723.27 0.26 0.05 0.09 -1 -1 0.26 0.0115081 0.0102516 135 74 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 5.54 vpr 63.63 MiB -1 -1 0.09 20676 1 0.01 -1 -1 33168 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65156 32 32 251 219 1 141 86 17 17 289 -1 unnamed_device 25.1 MiB 0.66 730 63.6 MiB 0.04 0.00 2.7886 -85.3614 -2.7886 2.7886 0.90 0.000132636 0.000108713 0.00682241 0.00564718 30 1765 40 6.87369e+06 307425 556674. 1926.21 1.95 0.0541741 0.0460298 25186 138497 -1 1537 19 785 1335 83892 20437 0 0 83892 20437 1335 936 0 0 4746 3971 0 0 6277 5184 0 0 1335 1058 0 0 33567 4796 0 0 36632 4492 0 0 1335 0 0 550 545 666 4876 0 0 2.67666 2.67666 -99.0084 -2.67666 0 0 706193. 2443.58 0.24 0.03 0.13 -1 -1 0.24 0.00789098 0.00699231 93 20 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 5.83 vpr 63.87 MiB -1 -1 0.11 21036 1 0.01 -1 -1 33344 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65400 32 32 341 285 1 188 82 17 17 289 -1 unnamed_device 25.4 MiB 1.76 981 63.9 MiB 0.08 0.00 3.03076 -110.051 -3.03076 3.03076 0.84 0.000158234 0.000130385 0.0151715 0.0126251 34 2651 24 6.87369e+06 251529 618332. 2139.56 1.24 0.0629365 0.0536163 25762 151098 -1 2199 22 1716 2488 223086 50062 0 0 223086 50062 2488 2228 0 0 9908 8898 0 0 15678 12481 0 0 2488 2269 0 0 97254 12277 0 0 95270 11909 0 0 2488 0 0 772 834 730 6368 0 0 3.58351 3.58351 -137.547 -3.58351 0 0 787024. 2723.27 0.25 0.05 0.09 -1 -1 0.25 0.0114058 0.0101223 124 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 8.16 vpr 64.51 MiB -1 -1 0.12 20756 1 0.01 -1 -1 33292 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66060 32 32 387 293 1 236 88 17 17 289 -1 unnamed_device 26.0 MiB 2.93 1259 64.5 MiB 0.10 0.00 4.25892 -128.132 -4.25892 4.25892 0.82 0.000182638 0.000151298 0.0173257 0.0145584 34 3856 48 6.87369e+06 335372 618332. 2139.56 2.43 0.0895431 0.0770056 25762 151098 -1 2614 20 1983 3136 222679 54039 0 0 222679 54039 3136 2466 0 0 12259 10686 0 0 18502 14912 0 0 3136 2626 0 0 89507 12582 0 0 96139 10767 0 0 3136 0 0 1153 1271 1291 9607 0 0 4.5646 4.5646 -153.48 -4.5646 0 0 787024. 2723.27 0.26 0.05 0.10 -1 -1 0.26 0.0126016 0.0112349 166 28 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 7.07 vpr 63.98 MiB -1 -1 0.12 20944 1 0.01 -1 -1 33372 -1 -1 34 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65516 32 32 340 270 1 185 98 17 17 289 -1 unnamed_device 25.4 MiB 2.95 1017 64.0 MiB 0.09 0.00 3.45661 -114.141 -3.45661 3.45661 0.82 0.000157131 0.000128627 0.0146931 0.0121389 34 2300 21 6.87369e+06 475111 618332. 2139.56 1.13 0.0633309 0.0525393 25762 151098 -1 1904 21 1270 2053 137702 31689 0 0 137702 31689 2053 1456 0 0 7562 6267 0 0 11745 8998 0 0 2053 1622 0 0 58415 6493 0 0 55874 6853 0 0 2053 0 0 783 920 1074 7460 0 0 2.87796 2.87796 -116.965 -2.87796 0 0 787024. 2723.27 0.26 0.04 0.10 -1 -1 0.26 0.0108016 0.00955659 137 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 5.91 vpr 63.80 MiB -1 -1 0.11 20488 1 0.01 -1 -1 33240 -1 -1 25 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65332 30 32 278 235 1 150 87 17 17 289 -1 unnamed_device 25.4 MiB 0.71 861 63.8 MiB 0.06 0.00 2.9769 -95.6358 -2.9769 2.9769 0.84 0.000132743 0.000108212 0.0106086 0.00888459 34 1963 22 6.87369e+06 349346 618332. 2139.56 2.55 0.0661638 0.055962 25762 151098 -1 1719 17 1015 1628 120637 28138 0 0 120637 28138 1628 1244 0 0 6349 5517 0 0 9661 7847 0 0 1628 1355 0 0 51411 6157 0 0 49960 6018 0 0 1628 0 0 613 632 815 5802 0 0 3.05256 3.05256 -111.213 -3.05256 0 0 787024. 2723.27 0.25 0.03 0.09 -1 -1 0.25 0.00784771 0.00699327 104 29 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 9.63 vpr 64.79 MiB -1 -1 0.12 21064 1 0.01 -1 -1 33324 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66344 32 32 431 332 1 239 89 17 17 289 -1 unnamed_device 25.9 MiB 5.15 1397 64.8 MiB 0.09 0.00 4.57575 -141.302 -4.57575 4.57575 0.98 0.000190019 0.000156312 0.0168116 0.0140665 34 3396 25 6.87369e+06 349346 618332. 2139.56 1.61 0.0854912 0.0734621 25762 151098 -1 2811 21 2295 3416 281183 62366 0 0 281183 62366 3416 2971 0 0 13225 11663 0 0 20342 16091 0 0 3416 3041 0 0 125024 13893 0 0 115760 14707 0 0 3416 0 0 1121 1618 1527 10690 0 0 4.8069 4.8069 -167.02 -4.8069 0 0 787024. 2723.27 0.24 0.06 0.09 -1 -1 0.24 0.0139279 0.0123794 171 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 6.83 vpr 63.96 MiB -1 -1 0.12 21100 1 0.01 -1 -1 33160 -1 -1 35 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65492 32 32 336 268 1 182 99 17 17 289 -1 unnamed_device 25.5 MiB 3.15 1027 64.0 MiB 0.06 0.00 3.70602 -113.448 -3.70602 3.70602 0.75 0.00017604 0.000147075 0.00968049 0.00820178 32 2410 20 6.87369e+06 489084 586450. 2029.24 0.93 0.0432063 0.0378539 25474 144626 -1 2018 21 1514 2483 218647 47899 0 0 218647 47899 2483 1689 0 0 9652 8458 0 0 17222 12904 0 0 2483 1901 0 0 92470 11459 0 0 94337 11488 0 0 2483 0 0 969 1238 1318 9420 0 0 4.0019 4.0019 -134.257 -4.0019 0 0 744469. 2576.02 0.31 0.06 0.09 -1 -1 0.31 0.0119869 0.0107017 135 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 6.58 vpr 63.68 MiB -1 -1 0.10 20420 1 0.01 -1 -1 33116 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65212 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.1 MiB 0.91 774 63.7 MiB 0.06 0.00 2.8436 -83.7272 -2.8436 2.8436 0.74 0.000127336 0.000105881 0.010269 0.00855908 26 2048 44 6.87369e+06 335372 503264. 1741.40 2.95 0.070716 0.0602649 24322 120374 -1 1678 20 1107 1845 140926 34293 0 0 140926 34293 1845 1357 0 0 7176 6276 0 0 10751 8545 0 0 1845 1438 0 0 61080 8197 0 0 58229 8480 0 0 1845 0 0 738 1088 999 7202 0 0 2.93831 2.93831 -104.342 -2.93831 0 0 618332. 2139.56 0.20 0.03 0.07 -1 -1 0.20 0.00756911 0.00668534 94 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 8.90 vpr 64.17 MiB -1 -1 0.11 20828 1 0.02 -1 -1 33276 -1 -1 37 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65712 32 32 349 273 1 191 101 17 17 289 -1 unnamed_device 25.6 MiB 2.01 1148 64.2 MiB 0.10 0.00 4.06437 -112.431 -4.06437 4.06437 0.75 0.000170177 0.000133578 0.0151701 0.0123678 26 3175 50 6.87369e+06 517032 503264. 1741.40 4.26 0.102783 0.0871178 24322 120374 -1 2614 20 1673 3029 243968 55546 0 0 243968 55546 3029 2063 0 0 11808 10012 0 0 18016 14337 0 0 3029 2254 0 0 102210 13913 0 0 105876 12967 0 0 3029 0 0 1356 3012 2539 16902 0 0 5.14705 5.14705 -150.622 -5.14705 0 0 618332. 2139.56 0.20 0.05 0.07 -1 -1 0.20 0.0101949 0.0089919 145 26 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 5.79 vpr 63.64 MiB -1 -1 0.10 20456 1 0.01 -1 -1 33048 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65164 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.1 MiB 0.72 671 63.6 MiB 0.04 0.00 2.8626 -89.6716 -2.8626 2.8626 0.84 0.000125033 0.000102253 0.00656684 0.00546167 30 1876 25 6.87369e+06 265503 556674. 1926.21 2.14 0.0765815 0.0674899 25186 138497 -1 1529 18 792 1373 80662 20344 0 0 80662 20344 1373 949 0 0 4786 3983 0 0 6291 5134 0 0 1373 1036 0 0 33409 4360 0 0 33430 4882 0 0 1373 0 0 581 510 641 4749 0 0 2.82401 2.82401 -107.721 -2.82401 0 0 706193. 2443.58 0.46 0.05 0.09 -1 -1 0.46 0.0188051 0.0147674 98 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 6.77 vpr 63.66 MiB -1 -1 0.11 20540 1 0.01 -1 -1 33196 -1 -1 34 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65184 30 32 278 235 1 151 96 17 17 289 -1 unnamed_device 25.2 MiB 2.42 876 63.7 MiB 0.08 0.00 2.97898 -93.3988 -2.97898 2.97898 0.76 0.000133549 0.000108831 0.0116419 0.00963311 26 2094 20 6.87369e+06 475111 503264. 1741.40 1.28 0.0410048 0.0351948 24322 120374 -1 1869 19 1184 2125 169251 39181 0 0 169251 39181 2125 1411 0 0 8072 6864 0 0 12434 9810 0 0 2125 1603 0 0 70878 10073 0 0 73617 9420 0 0 2125 0 0 941 1260 1262 9226 0 0 3.14786 3.14786 -112.704 -3.14786 0 0 618332. 2139.56 0.46 0.04 0.18 -1 -1 0.46 0.0103809 0.00941823 109 29 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 7.80 vpr 64.08 MiB -1 -1 0.13 21032 1 0.01 -1 -1 33172 -1 -1 24 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65620 29 32 355 287 1 200 85 17 17 289 -1 unnamed_device 25.5 MiB 3.69 939 64.1 MiB 0.06 0.00 3.21063 -97.8768 -3.21063 3.21063 0.79 0.000165265 0.000135834 0.0111158 0.00925948 34 2953 30 6.87369e+06 335372 618332. 2139.56 1.39 0.0677019 0.0574806 25762 151098 -1 2206 20 1730 2591 195497 47720 0 0 195497 47720 2591 2249 0 0 9968 8803 0 0 15085 11974 0 0 2591 2305 0 0 79228 11298 0 0 86034 11091 0 0 2591 0 0 861 752 839 6765 0 0 3.40321 3.40321 -120.276 -3.40321 0 0 787024. 2723.27 0.27 0.05 0.10 -1 -1 0.27 0.0111364 0.00987283 138 56 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 6.26 vpr 63.90 MiB -1 -1 0.11 20836 1 0.01 -1 -1 33088 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65432 32 32 358 289 1 183 90 17 17 289 -1 unnamed_device 25.4 MiB 2.10 1098 63.9 MiB 0.08 0.00 3.48515 -116.696 -3.48515 3.48515 0.74 0.000174259 0.000143772 0.0147798 0.0125068 34 2470 21 6.87369e+06 363320 618332. 2139.56 1.15 0.0627857 0.0537659 25762 151098 -1 2074 22 1677 2598 181665 42033 0 0 181665 42033 2598 1970 0 0 9470 8096 0 0 15231 11670 0 0 2598 2147 0 0 77168 8826 0 0 74600 9324 0 0 2598 0 0 921 1045 1181 8305 0 0 3.7404 3.7404 -136.431 -3.7404 0 0 787024. 2723.27 0.33 0.04 0.16 -1 -1 0.33 0.0116975 0.0103713 132 51 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 7.41 vpr 63.96 MiB -1 -1 0.11 20852 1 0.00 -1 -1 33228 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65496 32 32 353 285 1 188 91 17 17 289 -1 unnamed_device 25.5 MiB 2.21 1149 64.0 MiB 0.07 0.00 3.69318 -116.202 -3.69318 3.69318 0.74 0.000205134 0.000172 0.0126305 0.0105969 32 2896 25 6.87369e+06 377294 586450. 2029.24 2.56 0.0881969 0.0753237 25474 144626 -1 2412 24 1629 2745 226915 53087 0 0 226915 53087 2745 2292 0 0 11076 9914 0 0 18843 14725 0 0 2745 2409 0 0 97229 12078 0 0 94277 11669 0 0 2745 0 0 1116 1481 1489 9983 0 0 4.04706 4.04706 -141.92 -4.04706 0 0 744469. 2576.02 0.25 0.06 0.09 -1 -1 0.25 0.0148708 0.0132672 133 48 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 8.31 vpr 63.75 MiB -1 -1 0.11 20504 1 0.01 -1 -1 33232 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65276 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 25.4 MiB 3.14 1003 63.7 MiB 0.06 0.00 3.74452 -113.984 -3.74452 3.74452 0.77 0.000128647 0.00010451 0.0111655 0.00926146 34 2254 23 6.87369e+06 209608 618332. 2139.56 2.43 0.0731256 0.0627667 25762 151098 -1 2018 19 1077 1491 122057 27370 0 0 122057 27370 1491 1294 0 0 5733 4856 0 0 8489 6819 0 0 1491 1342 0 0 52973 6431 0 0 51880 6628 0 0 1491 0 0 414 363 501 3613 0 0 3.28061 3.28061 -122.258 -3.28061 0 0 787024. 2723.27 0.44 0.03 0.09 -1 -1 0.44 0.00837446 0.00746543 103 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 6.37 vpr 63.86 MiB -1 -1 0.11 21056 1 0.01 -1 -1 33220 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65388 31 32 319 272 1 176 80 17 17 289 -1 unnamed_device 25.3 MiB 2.66 998 63.9 MiB 0.06 0.00 2.99776 -103.303 -2.99776 2.99776 0.69 0.000143856 0.000116851 0.0132016 0.0110615 34 2474 24 6.87369e+06 237555 618332. 2139.56 1.17 0.0583353 0.0494434 25762 151098 -1 2056 23 1378 2022 159777 38010 0 0 159777 38010 2022 1786 0 0 8146 7284 0 0 12415 10096 0 0 2022 1822 0 0 66885 8635 0 0 68287 8387 0 0 2022 0 0 644 699 680 5333 0 0 3.27491 3.27491 -125.712 -3.27491 0 0 787024. 2723.27 0.26 0.04 0.10 -1 -1 0.26 0.010631 0.00938333 114 60 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 7.77 vpr 64.01 MiB -1 -1 0.11 20944 1 0.01 -1 -1 33160 -1 -1 34 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65544 30 32 329 273 1 167 96 17 17 289 -1 unnamed_device 25.6 MiB 2.39 1003 64.0 MiB 0.09 0.00 2.84355 -85.591 -2.84355 2.84355 0.76 0.000155769 0.000128626 0.0147225 0.0122916 32 2480 24 6.87369e+06 475111 586450. 2029.24 2.69 0.0937591 0.0815358 25474 144626 -1 1965 21 1194 2240 156835 36163 0 0 156835 36163 2240 1455 0 0 8521 7303 0 0 13917 10746 0 0 2240 1612 0 0 64145 7789 0 0 65772 7258 0 0 2240 0 0 1046 1766 1825 11477 0 0 2.62666 2.62666 -98.7145 -2.62666 0 0 744469. 2576.02 0.24 0.04 0.09 -1 -1 0.24 0.0100558 0.00888469 124 52 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 7.68 vpr 63.89 MiB -1 -1 0.12 20808 1 0.01 -1 -1 33256 -1 -1 35 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65420 28 32 277 229 1 156 95 17 17 289 -1 unnamed_device 25.3 MiB 2.37 904 63.9 MiB 0.05 0.00 3.27479 -88.419 -3.27479 3.27479 0.75 0.00013105 0.000107486 0.00772435 0.00643938 26 2179 22 6.87369e+06 489084 503264. 1741.40 2.56 0.0634243 0.054069 24322 120374 -1 2118 21 1387 2508 232381 51603 0 0 232381 51603 2508 1740 0 0 9489 8015 0 0 15517 11874 0 0 2508 1843 0 0 100042 14466 0 0 102317 13665 0 0 2508 0 0 1121 1637 1745 11897 0 0 4.1023 4.1023 -121.458 -4.1023 0 0 618332. 2139.56 0.22 0.05 0.07 -1 -1 0.22 0.00917126 0.0080652 117 20 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 8.96 vpr 63.78 MiB -1 -1 0.12 21124 1 0.01 -1 -1 33172 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65308 30 32 317 269 1 155 79 17 17 289 -1 unnamed_device 25.3 MiB 2.66 728 63.8 MiB 0.06 0.00 3.16363 -95.7832 -3.16363 3.16363 0.81 0.000143351 0.000117326 0.0117449 0.00989437 36 2153 23 6.87369e+06 237555 648988. 2245.63 3.27 0.0801192 0.0667221 26050 158493 -1 1620 22 1315 2212 167299 41665 0 0 167299 41665 2212 1661 0 0 8165 7158 0 0 11750 9431 0 0 2212 1837 0 0 71729 10870 0 0 71231 10708 0 0 2212 0 0 897 951 1085 7405 0 0 3.14956 3.14956 -115.837 -3.14956 0 0 828058. 2865.25 0.51 0.04 0.11 -1 -1 0.51 0.00982229 0.00861061 105 58 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 7.62 vpr 64.02 MiB -1 -1 0.11 20736 1 0.01 -1 -1 33004 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65552 32 32 335 282 1 189 81 17 17 289 -1 unnamed_device 25.7 MiB 3.50 1013 64.0 MiB 0.07 0.00 2.9238 -103.215 -2.9238 2.9238 0.74 0.000159568 0.000132811 0.0138571 0.0115836 34 2613 24 6.87369e+06 237555 618332. 2139.56 1.23 0.0610558 0.0518958 25762 151098 -1 2236 21 1481 2234 195407 43963 0 0 195407 43963 2234 1857 0 0 8702 7742 0 0 13928 10948 0 0 2234 1899 0 0 83021 11380 0 0 85288 10137 0 0 2234 0 0 753 819 688 6000 0 0 3.41151 3.41151 -130.93 -3.41151 0 0 787024. 2723.27 0.28 0.05 0.10 -1 -1 0.28 0.0117679 0.0105045 122 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 6.42 vpr 63.98 MiB -1 -1 0.12 20296 1 0.01 -1 -1 33176 -1 -1 31 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65516 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 25.4 MiB 0.81 946 64.0 MiB 0.06 0.00 3.58982 -105.213 -3.58982 3.58982 0.80 0.000154457 0.000128596 0.00860199 0.00720917 34 2297 22 6.87369e+06 433189 618332. 2139.56 2.60 0.0843601 0.0722824 25762 151098 -1 1987 21 1299 2250 148293 35460 0 0 148293 35460 2250 1619 0 0 8555 7305 0 0 12818 10032 0 0 2250 1854 0 0 61683 7154 0 0 60737 7496 0 0 2250 0 0 951 932 1223 8170 0 0 3.4925 3.4925 -120.213 -3.4925 0 0 787024. 2723.27 0.30 0.09 0.11 -1 -1 0.30 0.0233348 0.0122368 129 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 10.44 vpr 64.02 MiB -1 -1 0.14 20856 1 0.01 -1 -1 33416 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65556 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 25.4 MiB 3.91 1027 64.0 MiB 0.09 0.00 3.78918 -118.751 -3.78918 3.78918 0.97 0.000211725 0.000177864 0.0152327 0.012843 34 3226 26 6.87369e+06 321398 618332. 2139.56 2.53 0.108486 0.097222 25762 151098 -1 2480 22 2004 2993 236508 56899 0 0 236508 56899 2993 2700 0 0 11788 10555 0 0 18216 14697 0 0 2993 2759 0 0 97713 13603 0 0 102805 12585 0 0 2993 0 0 989 1140 1064 8164 0 0 4.23836 4.23836 -146.051 -4.23836 0 0 787024. 2723.27 0.47 0.07 0.22 -1 -1 0.47 0.0155417 0.0139848 147 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 11.69 vpr 64.20 MiB -1 -1 0.14 21012 1 0.00 -1 -1 33180 -1 -1 36 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65744 32 32 385 308 1 196 100 17 17 289 -1 unnamed_device 25.9 MiB 5.11 985 64.2 MiB 0.08 0.00 4.24987 -125.238 -4.24987 4.24987 1.03 0.000202584 0.000167378 0.0140628 0.0117579 34 2970 24 6.87369e+06 503058 618332. 2139.56 2.34 0.084812 0.0735416 25762 151098 -1 2313 23 1710 2942 233766 56426 0 0 233766 56426 2942 2251 0 0 11664 10362 0 0 18183 14664 0 0 2942 2399 0 0 95294 13151 0 0 102741 13599 0 0 2942 0 0 1232 1612 1640 11564 0 0 4.43945 4.43945 -145.951 -4.43945 0 0 787024. 2723.27 0.32 0.08 0.19 -1 -1 0.32 0.0135474 0.0119969 147 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 11.75 vpr 64.11 MiB -1 -1 0.14 20724 1 0.02 -1 -1 33232 -1 -1 41 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65652 32 32 387 309 1 192 105 17 17 289 -1 unnamed_device 25.7 MiB 3.68 1135 64.1 MiB 0.11 0.00 3.61982 -117.192 -3.61982 3.61982 0.91 0.000175106 0.000142521 0.0191734 0.0158315 34 2785 23 6.87369e+06 572927 618332. 2139.56 4.52 0.134479 0.105027 25762 151098 -1 2215 24 1788 3285 288286 63977 0 0 288286 63977 3285 2161 0 0 12926 11620 0 0 20958 16600 0 0 3285 2479 0 0 129969 14760 0 0 117863 16357 0 0 3285 0 0 1497 1771 1724 13177 0 0 3.6078 3.6078 -131.543 -3.6078 0 0 787024. 2723.27 0.30 0.07 0.10 -1 -1 0.30 0.0142139 0.0123986 148 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 8.09 vpr 63.63 MiB -1 -1 0.12 20600 1 0.01 -1 -1 33148 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65160 30 32 272 232 1 151 79 17 17 289 -1 unnamed_device 25.1 MiB 2.90 875 63.6 MiB 0.07 0.00 3.28893 -103.003 -3.28893 3.28893 0.83 0.000129002 0.000105006 0.0128793 0.0106428 28 2155 28 6.87369e+06 237555 531479. 1839.03 2.06 0.0717029 0.0607485 24610 126494 -1 1968 20 1181 1976 137718 32372 0 0 137718 32372 1976 1670 0 0 7207 6256 0 0 10740 8485 0 0 1976 1754 0 0 59123 6963 0 0 56696 7244 0 0 1976 0 0 795 829 844 6442 0 0 3.44716 3.44716 -120.813 -3.44716 0 0 648988. 2245.63 0.33 0.04 0.08 -1 -1 0.33 0.0101866 0.00914827 99 29 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 10.31 vpr 64.20 MiB -1 -1 0.12 20992 1 0.01 -1 -1 33308 -1 -1 22 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65740 30 32 375 299 1 188 84 17 17 289 -1 unnamed_device 25.6 MiB 3.77 1020 64.2 MiB 0.11 0.00 3.68402 -118.486 -3.68402 3.68402 1.15 0.000168643 0.000138608 0.0236535 0.0107157 30 2245 23 6.87369e+06 307425 556674. 1926.21 2.73 0.115771 0.0875434 25186 138497 -1 1894 22 1481 2475 158589 35944 0 0 158589 35944 2475 1857 0 0 8588 7150 0 0 11356 9316 0 0 2475 1956 0 0 69733 7382 0 0 63962 8283 0 0 2475 0 0 994 900 1088 8069 0 0 3.6873 3.6873 -135.329 -3.6873 0 0 706193. 2443.58 0.35 0.06 0.12 -1 -1 0.35 0.0175188 0.0160338 136 58 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 7.31 vpr 64.30 MiB -1 -1 0.12 20852 1 0.01 -1 -1 32980 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65840 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 25.8 MiB 2.59 1128 64.3 MiB 0.07 0.00 4.00821 -123.654 -4.00821 4.00821 0.83 0.000193735 0.000161966 0.0121997 0.0103067 34 2742 21 6.87369e+06 321398 618332. 2139.56 1.69 0.072864 0.0624951 25762 151098 -1 2289 23 1757 2948 228828 53338 0 0 228828 53338 2948 2217 0 0 11553 10021 0 0 19070 14738 0 0 2948 2346 0 0 99643 11629 0 0 92666 12387 0 0 2948 0 0 1191 2063 2059 12935 0 0 3.99366 3.99366 -139.036 -3.99366 0 0 787024. 2723.27 0.33 0.06 0.17 -1 -1 0.33 0.0140821 0.0125002 140 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 9.53 vpr 64.03 MiB -1 -1 0.13 20808 1 0.01 -1 -1 33252 -1 -1 28 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65564 31 32 340 275 1 201 91 17 17 289 -1 unnamed_device 25.5 MiB 3.04 1112 64.0 MiB 0.12 0.00 4.43294 -126.224 -4.43294 4.43294 1.00 0.00017462 0.000145113 0.0204887 0.0168595 34 2902 25 6.87369e+06 391268 618332. 2139.56 2.93 0.125685 0.108523 25762 151098 -1 2359 21 1644 2654 204473 47619 0 0 204473 47619 2654 1996 0 0 10351 9153 0 0 15276 12416 0 0 2654 2109 0 0 92078 10450 0 0 81460 11495 0 0 2654 0 0 1010 999 1446 9077 0 0 4.61485 4.61485 -150.449 -4.61485 0 0 787024. 2723.27 0.35 0.05 0.11 -1 -1 0.35 0.0128257 0.0113773 141 43 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 9.22 vpr 64.35 MiB -1 -1 0.13 20836 1 0.01 -1 -1 33336 -1 -1 32 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65892 30 32 377 310 1 183 94 17 17 289 -1 unnamed_device 25.8 MiB 2.70 997 64.3 MiB 0.09 0.00 3.69518 -115.863 -3.69518 3.69518 0.92 0.000175213 0.000143369 0.016013 0.0132514 34 2476 24 6.87369e+06 447163 618332. 2139.56 3.04 0.100822 0.0870813 25762 151098 -1 2081 20 1313 2172 177617 40045 0 0 177617 40045 2172 1694 0 0 8430 7363 0 0 13469 10503 0 0 2172 1785 0 0 76056 9652 0 0 75318 9048 0 0 2172 0 0 859 969 988 7476 0 0 3.21096 3.21096 -120.89 -3.21096 0 0 787024. 2723.27 0.30 0.05 0.10 -1 -1 0.30 0.0120872 0.0106588 135 78 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 7.70 vpr 63.98 MiB -1 -1 0.14 20724 1 0.01 -1 -1 33100 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65512 32 32 365 294 1 187 85 17 17 289 -1 unnamed_device 25.5 MiB 2.67 1057 64.0 MiB 0.14 0.00 3.73418 -120.089 -3.73418 3.73418 0.89 0.000162581 0.000133262 0.0298741 0.026961 34 2737 24 6.87369e+06 293451 618332. 2139.56 1.51 0.0911689 0.0797716 25762 151098 -1 2353 21 1696 3027 255559 56104 0 0 255559 56104 3027 2445 0 0 11777 10494 0 0 18101 14495 0 0 3027 2527 0 0 115579 12128 0 0 104048 14015 0 0 3027 0 0 1331 1535 1329 10490 0 0 3.93276 3.93276 -142.539 -3.93276 0 0 787024. 2723.27 0.32 0.07 0.12 -1 -1 0.32 0.0123922 0.0110233 132 54 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 8.83 vpr 64.11 MiB -1 -1 0.15 21212 1 0.02 -1 -1 33312 -1 -1 29 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65644 29 32 378 310 1 179 90 17 17 289 -1 unnamed_device 25.5 MiB 2.57 982 64.1 MiB 0.07 0.00 3.18563 -100.418 -3.18563 3.18563 0.85 0.000191493 0.000158446 0.0130171 0.010898 34 2223 23 6.87369e+06 405241 618332. 2139.56 2.64 0.102506 0.0875483 25762 151098 -1 1852 23 1503 2562 177594 41833 0 0 177594 41833 2562 1828 0 0 9818 8595 0 0 15485 12154 0 0 2562 1991 0 0 75353 8237 0 0 71814 9028 0 0 2562 0 0 1059 1253 1148 8808 0 0 3.02731 3.02731 -110.743 -3.02731 0 0 787024. 2723.27 0.34 0.05 0.10 -1 -1 0.34 0.0142977 0.0125885 132 79 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 5.53 vpr 63.62 MiB -1 -1 0.11 20680 1 0.01 -1 -1 33064 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65144 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 25.1 MiB 0.72 835 63.6 MiB 0.05 0.00 3.18563 -100.403 -3.18563 3.18563 0.89 0.000219404 0.000187112 0.0106632 0.00933336 28 1855 26 6.87369e+06 237555 531479. 1839.03 1.11 0.0542529 0.0482812 24610 126494 -1 1790 17 982 1436 109096 26084 0 0 109096 26084 1436 1270 0 0 5554 4723 0 0 7775 6506 0 0 1436 1296 0 0 46355 6099 0 0 46540 6190 0 0 1436 0 0 454 492 434 3802 0 0 3.19461 3.19461 -114.173 -3.19461 0 0 648988. 2245.63 0.44 0.08 0.10 -1 -1 0.44 0.00989945 0.00896951 96 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 11.61 vpr 64.24 MiB -1 -1 0.12 21236 1 0.01 -1 -1 33128 -1 -1 34 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65784 32 32 373 302 1 184 98 17 17 289 -1 unnamed_device 25.6 MiB 5.82 1060 64.2 MiB 0.11 0.00 3.66382 -116.633 -3.66382 3.66382 1.18 0.000217601 0.000181142 0.017 0.0144316 34 2557 24 6.87369e+06 475111 618332. 2139.56 1.83 0.0972647 0.0841664 25762 151098 -1 2076 21 1396 2318 158987 38367 0 0 158987 38367 2318 1824 0 0 9039 7877 0 0 13937 11192 0 0 2318 2012 0 0 67710 7288 0 0 63665 8174 0 0 2318 0 0 922 1001 889 7560 0 0 3.6838 3.6838 -131.998 -3.6838 0 0 787024. 2723.27 0.32 0.05 0.10 -1 -1 0.32 0.0119785 0.0106021 137 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 11.02 vpr 64.20 MiB -1 -1 0.12 20840 1 0.01 -1 -1 33184 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65744 32 32 397 314 1 197 85 17 17 289 -1 unnamed_device 25.8 MiB 5.07 1162 64.2 MiB 0.08 0.00 3.54952 -124.484 -3.54952 3.54952 1.50 0.000204652 0.000163959 0.015161 0.0126478 34 2644 23 6.87369e+06 293451 618332. 2139.56 2.12 0.145635 0.122366 25762 151098 -1 2223 22 1899 3183 231637 51930 0 0 231637 51930 3183 2442 0 0 11913 10411 0 0 18162 14235 0 0 3183 2641 0 0 98049 10999 0 0 97147 11202 0 0 3183 0 0 1284 1421 1365 10611 0 0 3.7917 3.7917 -148.092 -3.7917 0 0 787024. 2723.27 0.37 0.07 0.10 -1 -1 0.37 0.0193237 0.017185 142 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 7.95 vpr 63.68 MiB -1 -1 0.13 20848 1 0.00 -1 -1 33216 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65204 32 32 269 231 1 170 80 17 17 289 -1 unnamed_device 25.3 MiB 3.14 1057 63.7 MiB 0.07 0.00 3.34852 -102.009 -3.34852 3.34852 0.84 0.000129751 0.000106249 0.0128562 0.0107381 34 2353 23 6.87369e+06 223581 618332. 2139.56 1.22 0.0602705 0.051019 25762 151098 -1 1956 22 1221 1666 130352 30355 0 0 130352 30355 1666 1460 0 0 6701 5964 0 0 10212 8448 0 0 1666 1480 0 0 54725 6620 0 0 55382 6383 0 0 1666 0 0 445 388 453 3930 0 0 3.3655 3.3655 -119.008 -3.3655 0 0 787024. 2723.27 0.33 0.04 0.12 -1 -1 0.33 0.0111683 0.0100251 106 26 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 6.52 vpr 63.71 MiB -1 -1 0.12 20496 1 0.01 -1 -1 33260 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65244 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 25.1 MiB 0.71 688 63.7 MiB 0.04 0.00 3.17463 -94.6151 -3.17463 3.17463 1.09 0.000132135 0.000108169 0.00713422 0.00599834 32 1995 23 6.87369e+06 279477 586450. 2029.24 2.60 0.0676631 0.0563728 25474 144626 -1 1607 22 1199 1935 130536 32179 0 0 130536 32179 1935 1624 0 0 7203 6138 0 0 11520 8806 0 0 1935 1715 0 0 53328 7106 0 0 54615 6790 0 0 1935 0 0 736 913 936 6402 0 0 3.16361 3.16361 -111.569 -3.16361 0 0 744469. 2576.02 0.27 0.04 0.09 -1 -1 0.27 0.00897584 0.00791536 99 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 9.42 vpr 64.42 MiB -1 -1 0.12 21080 1 0.02 -1 -1 33096 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65964 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 25.9 MiB 4.14 1048 64.4 MiB 0.09 0.00 3.74338 -121.833 -3.74338 3.74338 1.01 0.000164809 0.000136155 0.0164915 0.0142784 34 2860 24 6.87369e+06 321398 618332. 2139.56 1.85 0.0834802 0.0731603 25762 151098 -1 2195 20 1924 2595 184726 44565 0 0 184726 44565 2595 2303 0 0 9865 8584 0 0 15007 11951 0 0 2595 2390 0 0 76938 10303 0 0 77726 9034 0 0 2595 0 0 671 693 655 5956 0 0 4.25556 4.25556 -152.276 -4.25556 0 0 787024. 2723.27 0.33 0.06 0.10 -1 -1 0.33 0.01268 0.0113204 145 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 8.30 vpr 64.27 MiB -1 -1 0.12 21216 1 0.00 -1 -1 33356 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65808 32 32 356 289 1 202 91 17 17 289 -1 unnamed_device 25.7 MiB 2.52 1147 64.3 MiB 0.08 0.00 4.30764 -129.95 -4.30764 4.30764 0.90 0.000822138 0.000787037 0.0112058 0.00966249 34 3042 38 6.87369e+06 377294 618332. 2139.56 2.64 0.171421 0.135513 25762 151098 -1 2461 19 1590 2519 194336 44696 0 0 194336 44696 2519 2066 0 0 9549 8340 0 0 14644 11683 0 0 2519 2179 0 0 83136 10118 0 0 81969 10310 0 0 2519 0 0 929 986 1086 8144 0 0 4.98285 4.98285 -157.621 -4.98285 0 0 787024. 2723.27 0.33 0.06 0.13 -1 -1 0.33 0.0153246 0.0136452 142 53 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 7.28 vpr 64.12 MiB -1 -1 0.11 20896 1 0.01 -1 -1 33264 -1 -1 36 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65664 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.6 MiB 0.76 1313 64.1 MiB 0.13 0.00 4.13357 -123.907 -4.13357 4.13357 0.85 0.000235339 0.00019868 0.0229325 0.0193007 34 3015 23 6.87369e+06 503058 618332. 2139.56 3.37 0.143107 0.126084 25762 151098 -1 2492 19 1558 2881 199955 45748 0 0 199955 45748 2881 2001 0 0 10833 9214 0 0 16589 13030 0 0 2881 2283 0 0 83294 9879 0 0 83477 9341 0 0 2881 0 0 1323 1567 1793 12164 0 0 4.58185 4.58185 -143.632 -4.58185 0 0 787024. 2723.27 0.36 0.09 0.11 -1 -1 0.36 0.016482 0.0150198 157 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 9.64 vpr 63.91 MiB -1 -1 0.13 20796 1 0.01 -1 -1 33160 -1 -1 34 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65440 30 32 316 264 1 165 96 17 17 289 -1 unnamed_device 25.3 MiB 2.51 824 63.9 MiB 0.09 0.00 2.83325 -86.0399 -2.83325 2.83325 1.04 0.000148421 0.000120667 0.0132019 0.0107939 28 2250 46 6.87369e+06 475111 531479. 1839.03 3.49 0.127338 0.104294 24610 126494 -1 1923 20 1335 2294 183527 43548 0 0 183527 43548 2294 1735 0 0 8959 7709 0 0 13284 10846 0 0 2294 1898 0 0 81994 10322 0 0 74702 11038 0 0 2294 0 0 959 1231 1318 8530 0 0 3.15456 3.15456 -106.968 -3.15456 0 0 648988. 2245.63 0.37 0.05 0.12 -1 -1 0.37 0.0117002 0.0103388 119 47 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 7.25 vpr 63.77 MiB -1 -1 0.12 20828 1 0.01 -1 -1 33260 -1 -1 21 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65300 27 32 255 219 1 139 80 17 17 289 -1 unnamed_device 25.2 MiB 0.89 599 63.8 MiB 0.06 0.00 2.8908 -78.0905 -2.8908 2.8908 0.85 0.000123974 0.000102229 0.0142035 0.0122685 34 1510 17 6.87369e+06 293451 618332. 2139.56 3.69 0.08775 0.076786 25762 151098 -1 1213 19 877 1287 75671 18990 0 0 75671 18990 1287 973 0 0 4635 3817 0 0 6827 5399 0 0 1287 1076 0 0 30191 4130 0 0 31444 3595 0 0 1287 0 0 410 630 444 3895 0 0 2.83301 2.83301 -91.1114 -2.83301 0 0 787024. 2723.27 0.31 0.03 0.10 -1 -1 0.31 0.00896439 0.00796039 96 26 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 12.59 vpr 64.46 MiB -1 -1 0.12 20852 1 0.01 -1 -1 33440 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66004 32 32 421 327 1 233 88 17 17 289 -1 unnamed_device 25.8 MiB 4.45 1381 64.5 MiB 0.21 0.00 3.58845 -117.662 -3.58845 3.58845 1.11 0.000238939 0.000200129 0.0531738 0.0459623 34 4092 32 6.87369e+06 335372 618332. 2139.56 3.90 0.159156 0.139665 25762 151098 -1 3016 22 2127 3463 290012 63591 0 0 290012 63591 3463 2700 0 0 13452 11937 0 0 20718 16450 0 0 3463 2898 0 0 125046 14764 0 0 123870 14842 0 0 3463 0 0 1336 1636 1638 11307 0 0 4.01406 4.01406 -142.634 -4.01406 0 0 787024. 2723.27 0.40 0.08 0.13 -1 -1 0.40 0.0212934 0.0195441 165 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 11.26 vpr 63.96 MiB -1 -1 0.13 20888 1 0.02 -1 -1 33216 -1 -1 22 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65492 31 32 365 296 1 203 85 17 17 289 -1 unnamed_device 25.3 MiB 5.45 916 64.0 MiB 0.13 0.01 4.46437 -133.819 -4.46437 4.46437 1.06 0.000198279 0.000165071 0.0309486 0.0285078 36 2508 26 6.87369e+06 307425 648988. 2245.63 1.99 0.131339 0.118732 26050 158493 -1 2016 22 1598 2486 186465 43642 0 0 186465 43642 2486 2197 0 0 9404 8142 0 0 14135 11394 0 0 2486 2248 0 0 86314 8915 0 0 71640 10746 0 0 2486 0 0 888 1060 1062 7986 0 0 4.344 4.344 -144.336 -4.344 0 0 828058. 2865.25 0.33 0.06 0.11 -1 -1 0.33 0.0156914 0.0140346 139 60 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 10.21 vpr 63.91 MiB -1 -1 0.12 20872 1 0.01 -1 -1 33276 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65440 32 32 331 280 1 185 82 17 17 289 -1 unnamed_device 25.5 MiB 5.51 995 63.9 MiB 0.06 0.00 3.45235 -118.525 -3.45235 3.45235 0.89 0.000173952 0.000143385 0.0103994 0.008591 34 2469 29 6.87369e+06 251529 618332. 2139.56 1.52 0.107524 0.0881222 25762 151098 -1 2178 21 1369 2042 150216 34772 0 0 150216 34772 2042 1755 0 0 7671 6533 0 0 11406 9127 0 0 2042 1885 0 0 65155 7301 0 0 61900 8171 0 0 2042 0 0 673 617 669 5459 0 0 3.4368 3.4368 -138.786 -3.4368 0 0 787024. 2723.27 0.30 0.05 0.10 -1 -1 0.30 0.0134241 0.0119347 118 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 5.06 vpr 63.88 MiB -1 -1 0.11 21100 1 0.02 -1 -1 33228 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65408 32 32 326 263 1 176 97 17 17 289 -1 unnamed_device 25.4 MiB 1.11 1092 63.9 MiB 0.10 0.00 4.22895 -118.87 -4.22895 4.22895 0.86 0.000182021 0.000149804 0.0171186 0.014338 32 2765 46 6.87369e+06 461137 586450. 2029.24 1.05 0.0659694 0.0570616 25474 144626 -1 2245 21 1164 1929 179787 39475 0 0 179787 39475 1929 1419 0 0 7698 6632 0 0 12560 9817 0 0 1929 1612 0 0 80608 9304 0 0 75063 10691 0 0 1929 0 0 765 817 844 6469 0 0 3.607 3.607 -126.43 -3.607 0 0 744469. 2576.02 0.29 0.05 0.09 -1 -1 0.29 0.0119708 0.0105666 129 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 8.13 vpr 64.13 MiB -1 -1 0.12 20844 1 0.01 -1 -1 33288 -1 -1 34 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65668 31 32 373 294 1 197 97 17 17 289 -1 unnamed_device 25.6 MiB 1.82 1073 64.1 MiB 0.10 0.00 3.46135 -105.573 -3.46135 3.46135 0.97 0.000190315 0.000159071 0.0178989 0.0151069 32 2586 24 6.87369e+06 475111 586450. 2029.24 2.92 0.111567 0.0953977 25474 144626 -1 2098 21 1304 2311 158720 37355 0 0 158720 37355 2311 1633 0 0 8875 7593 0 0 14018 10904 0 0 2311 1831 0 0 67645 7412 0 0 63560 7982 0 0 2311 0 0 1007 1442 1571 9923 0 0 3.47886 3.47886 -121.958 -3.47886 0 0 744469. 2576.02 0.42 0.07 0.13 -1 -1 0.42 0.0145015 0.0129175 149 46 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 5.60 vpr 63.94 MiB -1 -1 0.12 21032 1 0.02 -1 -1 33328 -1 -1 31 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65476 30 32 325 268 1 172 93 17 17 289 -1 unnamed_device 25.6 MiB 2.00 912 63.9 MiB 0.07 0.00 2.8846 -86.2435 -2.8846 2.8846 0.76 0.000154379 0.000126783 0.0104726 0.00874929 28 2607 37 6.87369e+06 433189 531479. 1839.03 1.02 0.0487846 0.0421577 24610 126494 -1 2047 20 1316 2229 159693 37864 0 0 159693 37864 2229 1607 0 0 8558 7535 0 0 12609 10314 0 0 2229 1791 0 0 66733 8213 0 0 67335 8404 0 0 2229 0 0 913 1106 1143 8179 0 0 3.15791 3.15791 -106.559 -3.15791 0 0 648988. 2245.63 0.26 0.04 0.08 -1 -1 0.26 0.0134363 0.0122011 124 46 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 9.07 vpr 64.27 MiB -1 -1 0.11 20804 1 0.01 -1 -1 33220 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65808 32 32 350 275 1 216 86 17 17 289 -1 unnamed_device 25.6 MiB 3.33 1107 64.3 MiB 0.10 0.00 3.95364 -125.973 -3.95364 3.95364 0.74 0.000219859 0.00019015 0.0168171 0.0140718 34 3361 35 6.87369e+06 307425 618332. 2139.56 2.63 0.0986765 0.0872514 25762 151098 -1 2699 24 2370 3651 331702 73113 0 0 331702 73113 3651 3213 0 0 14081 12475 0 0 23073 17798 0 0 3651 3321 0 0 141706 18687 0 0 145540 17619 0 0 3651 0 0 1281 1600 1475 10609 0 0 4.40025 4.40025 -156.62 -4.40025 0 0 787024. 2723.27 0.38 0.08 0.12 -1 -1 0.38 0.0161257 0.0142668 148 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 11.13 vpr 64.14 MiB -1 -1 0.12 20772 1 0.01 -1 -1 33300 -1 -1 36 32 0 0 exited with return code 2 v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65676 32 32 386 307 1 196 100 17 17 289 -1 unnamed_device 25.8 MiB 3.19 1031 64.1 MiB 0.07 0.00 3.24063 -108.952 -3.24063 3.24063 0.75 0.00018231 0.000149504 0.0119096 0.0100051 24 3287 49 6.87369e+06 503058 470940. 1629.55 4.55 0.123929 0.106975 24034 113901 -1 -1 -1 5655 11235 2205128 575650 0 0 2205128 575650 11235 9093 0 0 41867 34035 0 0 72990 49861 0 0 11235 9973 0 0 1107783 237894 0 0 960018 234794 0 0 11235 0 0 5580 11624 10575 55338 0 0 -1 -1 -1 -1 -1 -1 -1 -1 0.30 0.60 0.08 -1 -1 0.30 -1 -1 147 59 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 5.39 vpr 63.65 MiB -1 -1 0.10 20600 1 0.01 -1 -1 33188 -1 -1 19 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65176 29 32 269 229 1 150 80 17 17 289 -1 unnamed_device 25.1 MiB 2.06 680 63.6 MiB 0.04 0.00 3.00718 -91.0226 -3.00718 3.00718 0.73 0.00012789 0.000103975 0.00761323 0.00629551 32 1643 31 6.87369e+06 265503 586450. 2029.24 0.69 0.0313699 0.0265855 25474 144626 -1 1402 19 1148 1682 111488 26313 0 0 111488 26313 1682 1384 0 0 6169 5197 0 0 9318 7100 0 0 1682 1519 0 0 45976 5759 0 0 46661 5354 0 0 1682 0 0 534 642 619 4669 0 0 2.89086 2.89086 -106.082 -2.89086 0 0 744469. 2576.02 0.24 0.03 0.09 -1 -1 0.24 0.00906455 0.00809665 101 28 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 5.81 vpr 63.88 MiB -1 -1 0.11 20776 1 0.00 -1 -1 33088 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65416 32 32 310 266 1 176 81 17 17 289 -1 unnamed_device 25.3 MiB 1.56 930 63.9 MiB 0.08 0.00 3.5666 -103.336 -3.5666 3.5666 0.92 0.000149941 0.000122547 0.0140018 0.0116011 34 2306 18 6.87369e+06 237555 618332. 2139.56 1.45 0.0540394 0.0457958 25762 151098 -1 1974 21 1038 1424 115372 25830 0 0 115372 25830 1424 1138 0 0 5447 4687 0 0 7923 6465 0 0 1424 1191 0 0 48691 6313 0 0 50463 6036 0 0 1424 0 0 386 364 403 3349 0 0 3.24725 3.24725 -124.863 -3.24725 0 0 787024. 2723.27 0.24 0.03 0.09 -1 -1 0.24 0.00931128 0.00817353 112 55 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 7.27 vpr 64.01 MiB -1 -1 0.11 21032 1 0.01 -1 -1 33200 -1 -1 39 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65544 31 32 326 261 1 178 102 17 17 289 -1 unnamed_device 25.5 MiB 1.66 888 64.0 MiB 0.09 0.00 3.70112 -104.79 -3.70112 3.70112 0.83 0.000157029 0.000128221 0.0125292 0.0104273 32 2599 26 6.87369e+06 544980 586450. 2029.24 2.63 0.0870123 0.0738922 25474 144626 -1 1993 20 1499 2615 193544 45412 0 0 193544 45412 2615 1763 0 0 10296 8906 0 0 16169 12769 0 0 2615 2004 0 0 80052 10241 0 0 81797 9729 0 0 2615 0 0 1116 1589 1724 11218 0 0 3.7934 3.7934 -125.361 -3.7934 0 0 744469. 2576.02 0.37 0.05 0.09 -1 -1 0.37 0.0110967 0.00992729 135 29 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 7.33 vpr 63.73 MiB -1 -1 0.12 20660 1 0.01 -1 -1 33136 -1 -1 19 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65260 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 25.4 MiB 3.49 807 63.7 MiB 0.04 0.00 3.70248 -100.07 -3.70248 3.70248 0.78 0.000144218 0.000120512 0.00731161 0.00611168 34 2095 28 6.87369e+06 265503 618332. 2139.56 1.18 0.0454957 0.0387044 25762 151098 -1 1748 18 1064 1428 111419 26853 0 0 111419 26853 1428 1200 0 0 5706 5122 0 0 8733 7159 0 0 1428 1236 0 0 48686 5902 0 0 45438 6234 0 0 1428 0 0 364 326 394 3308 0 0 3.46886 3.46886 -110.713 -3.46886 0 0 787024. 2723.27 0.25 0.03 0.09 -1 -1 0.25 0.00761837 0.00674765 107 25 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 7.00 vpr 63.71 MiB -1 -1 0.10 20596 1 0.02 -1 -1 33188 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65244 32 32 278 238 1 158 79 17 17 289 -1 unnamed_device 25.3 MiB 3.21 870 63.7 MiB 0.04 0.00 3.31093 -107.104 -3.31093 3.31093 0.83 0.000135407 0.000110998 0.00738863 0.00619223 34 2152 23 6.87369e+06 209608 618332. 2139.56 1.14 0.0488453 0.041654 25762 151098 -1 1794 22 1451 2460 170850 40163 0 0 170850 40163 2460 1833 0 0 9170 8205 0 0 14728 11461 0 0 2460 1945 0 0 69885 8356 0 0 72147 8363 0 0 2460 0 0 1009 1143 1180 8298 0 0 3.19656 3.19656 -121.319 -3.19656 0 0 787024. 2723.27 0.26 0.04 0.10 -1 -1 0.26 0.00937298 0.00825534 101 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 6.63 vpr 64.09 MiB -1 -1 0.12 20848 1 0.01 -1 -1 33140 -1 -1 37 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65624 31 32 373 300 1 185 100 17 17 289 -1 unnamed_device 25.6 MiB 3.08 989 64.1 MiB 0.07 0.00 3.11528 -104.533 -3.11528 3.11528 0.75 0.000166529 0.000136618 0.0108059 0.00898715 30 2190 19 6.87369e+06 517032 556674. 1926.21 0.72 0.0405863 0.0346486 25186 138497 -1 1775 18 1157 1834 102800 24549 0 0 102800 24549 1834 1204 0 0 6436 5282 0 0 8247 6908 0 0 1834 1337 0 0 43547 4664 0 0 40902 5154 0 0 1834 0 0 677 799 836 6372 0 0 2.74266 2.74266 -111.9 -2.74266 0 0 706193. 2443.58 0.24 0.03 0.08 -1 -1 0.24 0.0101483 0.00898448 141 60 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 9.05 vpr 63.52 MiB -1 -1 0.10 20840 1 0.01 -1 -1 33404 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65048 31 32 265 230 1 169 80 17 17 289 -1 unnamed_device 25.2 MiB 2.79 844 63.5 MiB 0.03 0.00 3.0319 -94.5954 -3.0319 3.0319 0.78 0.000129982 0.000106231 0.00589192 0.00496631 28 2744 41 6.87369e+06 237555 531479. 1839.03 3.53 0.099402 0.0885372 24610 126494 -1 1970 18 1182 1655 126238 31780 0 0 126238 31780 1655 1490 0 0 6402 5559 0 0 9082 7560 0 0 1655 1536 0 0 51798 7596 0 0 55646 8039 0 0 1655 0 0 473 507 503 4084 0 0 3.10861 3.10861 -118.873 -3.10861 0 0 648988. 2245.63 0.41 0.03 0.08 -1 -1 0.41 0.00788667 0.00701615 105 30 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 8.87 vpr 64.16 MiB -1 -1 0.11 20892 1 0.00 -1 -1 33048 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65696 32 32 349 286 1 177 95 17 17 289 -1 unnamed_device 25.6 MiB 2.84 981 64.2 MiB 0.06 0.00 2.9036 -92.5407 -2.9036 2.9036 0.79 0.000180328 0.000151168 0.00932593 0.00782801 34 2413 21 6.87369e+06 433189 618332. 2139.56 3.16 0.0984762 0.084646 25762 151098 -1 1933 23 1244 2141 140810 34154 0 0 140810 34154 2141 1451 0 0 8153 6925 0 0 12158 9595 0 0 2141 1636 0 0 56523 7400 0 0 59694 7147 0 0 2141 0 0 897 1209 1226 9161 0 0 2.95431 2.95431 -108.632 -2.95431 0 0 787024. 2723.27 0.27 0.05 0.09 -1 -1 0.27 0.0139078 0.0124802 129 54 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 8.71 vpr 64.07 MiB -1 -1 0.12 20700 1 0.01 -1 -1 33504 -1 -1 32 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65612 31 32 396 325 1 185 95 17 17 289 -1 unnamed_device 25.8 MiB 3.40 955 64.1 MiB 0.09 0.00 2.9696 -103.404 -2.9696 2.9696 0.77 0.00017993 0.000148484 0.0151266 0.0125898 32 2641 37 6.87369e+06 447163 586450. 2029.24 2.52 0.11164 0.0951073 25474 144626 -1 2147 23 1903 2791 239165 54067 0 0 239165 54067 2791 2211 0 0 10868 9354 0 0 19599 14781 0 0 2791 2425 0 0 103721 12716 0 0 99395 12580 0 0 2791 0 0 888 1211 1150 8598 0 0 3.25351 3.25351 -124.186 -3.25351 0 0 744469. 2576.02 0.28 0.05 0.10 -1 -1 0.28 0.0122159 0.0107277 137 87 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 7.40 vpr 63.95 MiB -1 -1 0.11 20348 1 0.01 -1 -1 33180 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65480 32 32 303 262 1 154 80 17 17 289 -1 unnamed_device 25.5 MiB 2.46 708 63.9 MiB 0.04 0.00 2.8516 -87.9482 -2.8516 2.8516 0.69 0.000137294 0.000111546 0.00785894 0.00652996 34 2086 29 6.87369e+06 223581 618332. 2139.56 2.45 0.0803627 0.069492 25762 151098 -1 1536 22 1171 1949 139943 35369 0 0 139943 35369 1949 1510 0 0 7867 6924 0 0 12178 9728 0 0 1949 1696 0 0 52987 8061 0 0 63013 7450 0 0 1949 0 0 778 940 971 6400 0 0 2.88831 2.88831 -106.176 -2.88831 0 0 787024. 2723.27 0.27 0.04 0.09 -1 -1 0.27 0.0106849 0.00944228 99 54 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 5.48 vpr 63.97 MiB -1 -1 0.10 20620 1 0.01 -1 -1 33100 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65504 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 25.4 MiB 1.49 989 64.0 MiB 0.07 0.00 3.36109 -108.361 -3.36109 3.36109 0.76 0.000134845 0.00011015 0.0124535 0.0103275 34 2403 22 6.87369e+06 251529 618332. 2139.56 1.42 0.0556322 0.0471491 25762 151098 -1 2039 19 1363 2028 173011 38343 0 0 173011 38343 2028 1712 0 0 7977 7126 0 0 12322 9959 0 0 2028 1783 0 0 74971 8874 0 0 73685 8889 0 0 2028 0 0 665 564 566 5153 0 0 3.37021 3.37021 -124.149 -3.37021 0 0 787024. 2723.27 0.27 0.04 0.09 -1 -1 0.27 0.0087943 0.00780111 114 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 6.66 vpr 63.95 MiB -1 -1 0.12 20776 1 0.02 -1 -1 33128 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65488 32 32 318 257 1 197 86 17 17 289 -1 unnamed_device 25.5 MiB 2.46 941 64.0 MiB 0.05 0.00 4.03908 -110.471 -4.03908 4.03908 0.82 0.00014836 0.000122126 0.0077071 0.00661754 34 2461 19 6.87369e+06 307425 618332. 2139.56 1.28 0.0538871 0.0465412 25762 151098 -1 2099 21 1530 2121 147253 35403 0 0 147253 35403 2121 1785 0 0 8077 6961 0 0 12207 9798 0 0 2121 1876 0 0 61061 7512 0 0 61666 7471 0 0 2121 0 0 591 572 709 5183 0 0 3.98006 3.98006 -131.826 -3.98006 0 0 787024. 2723.27 0.32 0.06 0.09 -1 -1 0.32 0.0129864 0.0115587 132 27 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 7.88 vpr 63.87 MiB -1 -1 0.12 20640 1 0.01 -1 -1 33324 -1 -1 29 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65400 29 32 324 268 1 169 90 17 17 289 -1 unnamed_device 25.5 MiB 2.77 910 63.9 MiB 0.08 0.00 3.20763 -92.8782 -3.20763 3.20763 0.74 0.000146934 0.000120002 0.0126869 0.0105201 34 2254 21 6.87369e+06 405241 618332. 2139.56 2.53 0.0770181 0.0651978 25762 151098 -1 1777 19 1018 1731 111964 27848 0 0 111964 27848 1731 1242 0 0 6732 5831 0 0 10104 8174 0 0 1731 1337 0 0 45837 5733 0 0 45829 5531 0 0 1731 0 0 713 893 967 6990 0 0 2.96031 2.96031 -102.377 -2.96031 0 0 787024. 2723.27 0.25 0.03 0.11 -1 -1 0.25 0.00934477 0.00828149 123 49 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 7.06 vpr 64.23 MiB -1 -1 0.12 20880 1 0.01 -1 -1 33204 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65768 32 32 393 312 1 215 86 17 17 289 -1 unnamed_device 25.8 MiB 2.98 1112 64.2 MiB 0.09 0.00 4.14151 -131.605 -4.14151 4.14151 0.75 0.000172966 0.000141933 0.0172264 0.0143863 34 3014 26 6.87369e+06 307425 618332. 2139.56 1.46 0.0788225 0.0675647 25762 151098 -1 2472 23 1929 2984 269192 58377 0 0 269192 58377 2984 2506 0 0 11218 9913 0 0 17449 13780 0 0 2984 2636 0 0 116436 14673 0 0 118121 14869 0 0 2984 0 0 1055 1747 1702 10416 0 0 4.28856 4.28856 -151.495 -4.28856 0 0 787024. 2723.27 0.29 0.06 0.10 -1 -1 0.29 0.0135275 0.0119843 151 62 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 4.56 vpr 63.48 MiB -1 -1 0.11 20632 1 0.01 -1 -1 32944 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65000 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.0 MiB 0.62 840 63.5 MiB 0.05 0.00 2.9769 -91.9689 -2.9769 2.9769 0.94 0.000115578 9.4121e-05 0.00821289 0.00681981 34 1849 21 6.87369e+06 237555 618332. 2139.56 1.30 0.0437519 0.0374504 25762 151098 -1 1680 21 969 1549 121030 27471 0 0 121030 27471 1549 1281 0 0 5747 4951 0 0 8955 6953 0 0 1549 1317 0 0 51772 6652 0 0 51458 6317 0 0 1549 0 0 580 527 557 4547 0 0 2.79301 2.79301 -103.138 -2.79301 0 0 787024. 2723.27 0.24 0.03 0.09 -1 -1 0.24 0.00798641 0.00709614 92 -1 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 5.60 vpr 64.59 MiB -1 -1 0.12 20868 1 0.01 -1 -1 33436 -1 -1 35 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66144 32 32 412 334 1 194 99 17 17 289 -1 unnamed_device 26.1 MiB 1.85 1078 64.6 MiB 0.10 0.00 3.50715 -119.693 -3.50715 3.50715 0.76 0.000186643 0.000154199 0.0182798 0.0152419 30 2578 21 6.87369e+06 489084 556674. 1926.21 0.90 0.0531269 0.0451446 25186 138497 -1 2072 23 1357 1945 118680 28070 0 0 118680 28070 1945 1547 0 0 6804 5610 0 0 8667 7213 0 0 1945 1675 0 0 47685 6473 0 0 51634 5552 0 0 1945 0 0 588 692 688 5437 0 0 3.84846 3.84846 -138.34 -3.84846 0 0 706193. 2443.58 0.23 0.04 0.09 -1 -1 0.23 0.0129466 0.0113901 145 87 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 8.53 vpr 63.88 MiB -1 -1 0.12 20816 1 0.01 -1 -1 33356 -1 -1 16 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65408 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 25.4 MiB 4.44 961 63.9 MiB 0.05 0.00 2.9898 -111.33 -2.9898 2.9898 0.94 0.000158265 0.00012845 0.0115588 0.00962008 34 2256 24 6.87369e+06 223581 618332. 2139.56 1.33 0.0704661 0.0611722 25762 151098 -1 1925 23 1609 2345 182558 41524 0 0 182558 41524 2345 1871 0 0 8862 7775 0 0 14282 11329 0 0 2345 2002 0 0 76101 9610 0 0 78623 8937 0 0 2345 0 0 736 797 852 6384 0 0 3.05731 3.05731 -130.678 -3.05731 0 0 787024. 2723.27 0.24 0.06 0.09 -1 -1 0.24 0.0198411 0.0181174 114 93 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 8.54 vpr 63.96 MiB -1 -1 0.12 20848 1 0.01 -1 -1 32928 -1 -1 32 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65492 32 32 360 293 1 182 96 17 17 289 -1 unnamed_device 25.5 MiB 2.89 1117 64.0 MiB 0.10 0.00 3.24063 -105.014 -3.24063 3.24063 0.89 0.000185973 0.000154232 0.0140945 0.0117374 34 2414 22 6.87369e+06 447163 618332. 2139.56 2.58 0.0875286 0.0743595 25762 151098 -1 2072 23 1258 1924 136181 31583 0 0 136181 31583 1924 1458 0 0 7286 6198 0 0 10589 8515 0 0 1924 1544 0 0 58124 6801 0 0 56334 7067 0 0 1924 0 0 666 790 937 6833 0 0 2.97901 2.97901 -113.008 -2.97901 0 0 787024. 2723.27 0.26 0.04 0.10 -1 -1 0.26 0.0117087 0.0103085 134 57 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 8.25 vpr 64.27 MiB -1 -1 0.12 21024 1 0.01 -1 -1 33192 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65812 32 32 396 299 1 240 89 17 17 289 -1 unnamed_device 25.8 MiB 3.86 1274 64.3 MiB 0.09 0.00 4.69005 -144.336 -4.69005 4.69005 0.77 0.000188811 0.00015553 0.0192889 0.0167163 34 3323 25 6.87369e+06 349346 618332. 2139.56 1.70 0.0819929 0.0710267 25762 151098 -1 2671 24 2443 3732 315267 72131 0 0 315267 72131 3732 3017 0 0 14491 12962 0 0 23592 18557 0 0 3732 3135 0 0 138524 16624 0 0 131196 17836 0 0 3732 0 0 1289 1718 1953 12156 0 0 4.9322 4.9322 -164.516 -4.9322 0 0 787024. 2723.27 0.25 0.07 0.10 -1 -1 0.25 0.0143581 0.0127161 171 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 6.50 vpr 63.52 MiB -1 -1 0.10 20600 1 0.01 -1 -1 33096 -1 -1 15 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65048 30 32 224 207 1 137 77 17 17 289 -1 unnamed_device 25.1 MiB 1.36 809 63.5 MiB 0.04 0.00 2.40522 -81.1084 -2.40522 2.40522 0.94 0.000117758 9.7652e-05 0.00804282 0.00682743 34 1666 21 6.87369e+06 209608 618332. 2139.56 2.46 0.0493312 0.0418336 25762 151098 -1 1551 17 665 899 81260 17554 0 0 81260 17554 899 799 0 0 3565 3034 0 0 5126 4218 0 0 899 807 0 0 35860 4363 0 0 34911 4333 0 0 899 0 0 234 227 219 2047 0 0 2.34306 2.34306 -97.2686 -2.34306 0 0 787024. 2723.27 0.25 0.02 0.09 -1 -1 0.25 0.00620052 0.0054917 81 29 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 6.28 vpr 63.79 MiB -1 -1 0.10 20520 1 0.01 -1 -1 33160 -1 -1 19 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65320 30 32 286 239 1 151 81 17 17 289 -1 unnamed_device 25.4 MiB 1.07 830 63.8 MiB 0.07 0.00 3.14163 -100.812 -3.14163 3.14163 0.79 0.000140524 0.000115627 0.011396 0.00929721 34 1907 19 6.87369e+06 265503 618332. 2139.56 2.46 0.0849661 0.0745526 25762 151098 -1 1546 20 1095 1596 117179 27126 0 0 117179 27126 1596 1351 0 0 6012 5098 0 0 8982 7133 0 0 1596 1431 0 0 47432 6629 0 0 51561 5484 0 0 1596 0 0 501 633 589 4629 0 0 3.01331 3.01331 -114.206 -3.01331 0 0 787024. 2723.27 0.25 0.03 0.09 -1 -1 0.25 0.0089693 0.00793625 105 29 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 4.77 vpr 63.80 MiB -1 -1 0.11 20504 1 0.01 -1 -1 33112 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65332 32 32 296 247 1 158 87 17 17 289 -1 unnamed_device 25.4 MiB 0.99 898 63.8 MiB 0.10 0.00 2.9879 -101.502 -2.9879 2.9879 0.83 0.000160666 0.000130327 0.0136618 0.0113143 30 2276 21 6.87369e+06 321398 556674. 1926.21 1.00 0.0826755 0.0665826 25186 138497 -1 1900 16 970 1776 116490 26827 0 0 116490 26827 1776 1249 0 0 6290 5266 0 0 8237 6799 0 0 1776 1323 0 0 50045 6102 0 0 48366 6088 0 0 1776 0 0 806 823 828 6445 0 0 3.00256 3.00256 -117.284 -3.00256 0 0 706193. 2443.58 0.24 0.03 0.09 -1 -1 0.24 0.00797011 0.00709475 109 31 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 5.77 vpr 63.43 MiB -1 -1 0.11 20544 1 0.01 -1 -1 33480 -1 -1 29 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64956 25 32 216 194 1 123 86 17 17 289 -1 unnamed_device 25.1 MiB 0.69 504 63.4 MiB 0.05 0.00 2.9029 -68.0577 -2.9029 2.9029 0.78 0.000105295 8.5691e-05 0.0086309 0.00716258 32 1659 31 6.87369e+06 405241 586450. 2029.24 2.35 0.057602 0.0488818 25474 144626 -1 1156 24 962 1776 121459 30103 0 0 121459 30103 1776 1177 0 0 6781 5806 0 0 11744 8760 0 0 1776 1346 0 0 48468 6730 0 0 50914 6284 0 0 1776 0 0 814 1015 1103 7504 0 0 2.86326 2.86326 -78.3429 -2.86326 0 0 744469. 2576.02 0.26 0.03 0.09 -1 -1 0.26 0.00779858 0.00684646 87 19 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 9.16 vpr 64.16 MiB -1 -1 0.13 20816 1 0.01 -1 -1 33132 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65700 32 32 376 307 1 193 84 17 17 289 -1 unnamed_device 25.6 MiB 2.75 1061 64.2 MiB 0.08 0.00 3.64275 -112.262 -3.64275 3.64275 0.80 0.00017496 0.000142723 0.0161115 0.0132565 36 2700 20 6.87369e+06 279477 648988. 2245.63 3.50 0.119297 0.104769 26050 158493 -1 2404 23 1448 2576 191468 44075 0 0 191468 44075 2576 2087 0 0 9541 8430 0 0 13977 11282 0 0 2576 2173 0 0 79247 10537 0 0 83551 9566 0 0 2576 0 0 1128 1331 1137 8756 0 0 3.60416 3.60416 -127.864 -3.60416 0 0 828058. 2865.25 0.32 0.05 0.10 -1 -1 0.32 0.012429 0.010914 133 69 -1 -1 -1 -1 - fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 8.24 vpr 64.21 MiB -1 -1 0.13 20612 1 0.01 -1 -1 33192 -1 -1 31 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65752 31 32 409 331 1 193 94 17 17 289 -1 unnamed_device 25.8 MiB 3.01 1032 64.2 MiB 0.08 0.00 3.48023 -114.653 -3.48023 3.48023 0.77 0.000184113 0.000151865 0.0135267 0.0112782 28 2565 23 6.87369e+06 433189 531479. 1839.03 2.53 0.098636 0.0840183 24610 126494 -1 2275 22 1813 2824 194391 47200 0 0 194391 47200 2824 2200 0 0 10894 9440 0 0 16091 13011 0 0 2824 2295 0 0 80676 9909 0 0 81082 10345 0 0 2824 0 0 1011 1343 1357 9221 0 0 3.43621 3.43621 -131.453 -3.43621 0 0 648988. 2245.63 0.23 0.05 0.08 -1 -1 0.23 0.0136097 0.0120457 143 86 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 6.62 vpr 63.84 MiB -1 -1 0.12 21068 1 0.02 -1 -1 33128 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65368 32 32 354 285 1 223 88 17 17 289 -1 unnamed_device 25.3 MiB 2.63 1214 63.8 MiB 0.10 0.00 4.26107 -127.141 -4.26107 4.26107 0.81 0.000172407 0.000142438 0.0190146 0.0152444 34 2963 27 6.89349e+06 338252 618332. 2139.56 1.29 0.0728464 0.061445 25762 151098 -1 2421 20 1542 2347 176104 42335 0 0 176104 42335 2347 2030 0 0 8887 7318 0 0 14095 11083 0 0 2347 2115 0 0 73998 10183 0 0 74430 9606 0 0 2347 0 0 805 911 920 7015 0 0 4.68905 4.68905 -153.769 -4.68905 0 0 787024. 2723.27 0.25 0.05 0.10 -1 -1 0.25 0.0114359 0.0101757 149 47 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 5.76 vpr 63.85 MiB -1 -1 0.12 20992 1 0.01 -1 -1 33128 -1 -1 26 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65380 30 32 363 293 1 228 88 17 17 289 -1 unnamed_device 25.3 MiB 1.65 1167 63.8 MiB 0.07 0.00 3.89968 -122.519 -3.89968 3.89968 0.74 0.000160868 0.00013236 0.0114257 0.00948563 34 3037 24 6.89349e+06 366440 618332. 2139.56 1.26 0.0655706 0.0557698 25762 151098 -1 2485 21 2029 3045 215714 49270 0 0 215714 49270 3045 2481 0 0 11299 9385 0 0 17160 13623 0 0 3045 2645 0 0 94873 9800 0 0 86292 11336 0 0 3045 0 0 1016 920 765 7778 0 0 4.28674 4.28674 -148.118 -4.28674 0 0 787024. 2723.27 0.25 0.05 0.13 -1 -1 0.25 0.0110299 0.00978451 156 58 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 6.12 vpr 63.56 MiB -1 -1 0.12 20768 1 0.01 -1 -1 33168 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65088 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 25.1 MiB 1.91 1099 63.6 MiB 0.08 0.00 3.32519 -100.006 -3.32519 3.32519 0.84 0.000141206 0.000115438 0.0129721 0.0107271 34 2513 44 6.89349e+06 295971 618332. 2139.56 1.42 0.0553345 0.0467172 25762 151098 -1 2107 19 1088 1585 104366 24952 0 0 104366 24952 1585 1314 0 0 5931 4945 0 0 8823 7055 0 0 1585 1362 0 0 45534 4878 0 0 40908 5398 0 0 1585 0 0 497 347 515 4118 0 0 3.507 3.507 -119.856 -3.507 0 0 787024. 2723.27 0.26 0.03 0.10 -1 -1 0.26 0.00919703 0.00817653 125 26 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 5.79 vpr 63.81 MiB -1 -1 0.11 20684 1 0.01 -1 -1 33324 -1 -1 24 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65340 29 32 308 248 1 195 85 17 17 289 -1 unnamed_device 25.3 MiB 1.57 938 63.8 MiB 0.18 0.00 3.92328 -106.805 -3.92328 3.92328 0.80 0.000187992 0.0001586 0.0163583 0.0137425 34 2514 27 6.89349e+06 338252 618332. 2139.56 1.37 0.064228 0.0548767 25762 151098 -1 1848 18 1208 1920 115171 27978 0 0 115171 27978 1920 1338 0 0 6932 5783 0 0 10520 8280 0 0 1920 1433 0 0 47959 5312 0 0 45920 5832 0 0 1920 0 0 712 639 727 5866 0 0 3.72556 3.72556 -116.85 -3.72556 0 0 787024. 2723.27 0.25 0.03 0.10 -1 -1 0.25 0.00904552 0.00807168 134 25 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 7.92 vpr 64.11 MiB -1 -1 0.11 21044 1 0.01 -1 -1 33168 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65652 32 32 336 268 1 212 87 17 17 289 -1 unnamed_device 25.7 MiB 1.40 1287 64.1 MiB 0.07 0.00 4.24401 -124.601 -4.24401 4.24401 0.77 0.000165627 0.000136483 0.0111665 0.00934966 38 2956 32 6.89349e+06 324158 678818. 2348.85 3.83 0.0937323 0.0800984 26626 170182 -1 2584 22 2033 3695 294730 61349 0 0 294730 61349 3695 2937 0 0 12479 10489 0 0 18913 14358 0 0 3695 3106 0 0 129174 14520 0 0 126774 15939 0 0 3695 0 0 1662 2333 2414 15044 0 0 4.36629 4.36629 -146.121 -4.36629 0 0 902133. 3121.57 0.28 0.06 0.10 -1 -1 0.28 0.0121245 0.0107316 142 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 6.52 vpr 63.90 MiB -1 -1 0.11 20808 1 0.01 -1 -1 33232 -1 -1 33 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65432 32 32 366 295 1 231 97 17 17 289 -1 unnamed_device 25.4 MiB 2.30 1308 63.9 MiB 0.11 0.00 3.42286 -111.444 -3.42286 3.42286 0.77 0.000185073 0.000151288 0.0173554 0.0143854 36 2802 21 6.89349e+06 465097 648988. 2245.63 1.52 0.0876649 0.0704885 26050 158493 -1 2460 21 1736 3064 214726 47942 0 0 214726 47942 3064 2100 0 0 10767 8824 0 0 16902 12775 0 0 3064 2356 0 0 93179 10473 0 0 87750 11414 0 0 3064 0 0 1328 1637 1657 11910 0 0 3.34165 3.34165 -125.301 -3.34165 0 0 828058. 2865.25 0.27 0.05 0.10 -1 -1 0.27 0.0118006 0.0104557 162 55 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 6.93 vpr 63.50 MiB -1 -1 0.11 20680 1 0.02 -1 -1 33304 -1 -1 21 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65020 27 32 259 221 1 160 80 17 17 289 -1 unnamed_device 24.9 MiB 1.25 834 63.5 MiB 0.06 0.00 3.25123 -92.5861 -3.25123 3.25123 0.82 0.000122149 9.9553e-05 0.0109785 0.00909915 36 1829 21 6.89349e+06 295971 648988. 2245.63 2.89 0.0595854 0.0505153 26050 158493 -1 1575 21 1172 1715 133972 29349 0 0 133972 29349 1715 1370 0 0 6225 5129 0 0 9415 7477 0 0 1715 1410 0 0 56786 7222 0 0 58116 6741 0 0 1715 0 0 543 658 600 4976 0 0 3.07746 3.07746 -105.545 -3.07746 0 0 828058. 2865.25 0.28 0.03 0.10 -1 -1 0.28 0.00860794 0.00761762 107 26 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 6.67 vpr 63.66 MiB -1 -1 0.12 20680 1 0.01 -1 -1 33152 -1 -1 32 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65184 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 25.3 MiB 0.69 870 63.7 MiB 0.08 0.00 2.54074 -78.8558 -2.54074 2.54074 0.78 0.000139181 0.000114112 0.0116886 0.00966917 28 2234 26 6.89349e+06 451003 531479. 1839.03 3.38 0.0657682 0.0559685 24610 126494 -1 1940 18 1059 1805 136995 32693 0 0 136995 32693 1805 1226 0 0 6588 5364 0 0 9530 7648 0 0 1805 1355 0 0 58814 8781 0 0 58453 8319 0 0 1805 0 0 746 1115 1194 8028 0 0 2.70361 2.70361 -97.0959 -2.70361 0 0 648988. 2245.63 0.21 0.03 0.08 -1 -1 0.21 0.00795839 0.00703897 119 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 5.91 vpr 63.83 MiB -1 -1 0.11 20708 1 0.01 -1 -1 33228 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65364 31 32 317 271 1 207 83 17 17 289 -1 unnamed_device 25.2 MiB 1.57 1110 63.8 MiB 0.07 0.00 2.80245 -99.26 -2.80245 2.80245 0.98 0.000159526 0.000128789 0.0119714 0.00989368 34 2728 42 6.89349e+06 281877 618332. 2139.56 1.38 0.0627038 0.0530859 25762 151098 -1 2209 20 1514 2012 171152 36981 0 0 171152 36981 2012 1730 0 0 7542 6230 0 0 11220 8950 0 0 2012 1897 0 0 76429 8870 0 0 71937 9304 0 0 2012 0 0 498 497 330 4333 0 0 3.20626 3.20626 -120.866 -3.20626 0 0 787024. 2723.27 0.25 0.04 0.09 -1 -1 0.25 0.00958744 0.0084497 130 60 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 7.13 vpr 63.65 MiB -1 -1 0.11 20492 1 0.01 -1 -1 33348 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65180 32 32 298 248 1 185 82 17 17 289 -1 unnamed_device 25.2 MiB 1.97 941 63.7 MiB 0.05 0.00 3.15648 -104.965 -3.15648 3.15648 0.74 0.000160371 0.000134409 0.00944211 0.007997 34 2331 20 6.89349e+06 253689 618332. 2139.56 2.60 0.0698798 0.0592325 25762 151098 -1 1956 19 1135 1530 107800 24988 0 0 107800 24988 1530 1315 0 0 5565 4440 0 0 8453 6632 0 0 1530 1352 0 0 44543 5897 0 0 46179 5352 0 0 1530 0 0 395 408 335 3480 0 0 3.18881 3.18881 -124.327 -3.18881 0 0 787024. 2723.27 0.25 0.03 0.09 -1 -1 0.25 0.00859882 0.00756876 120 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 5.91 vpr 63.54 MiB -1 -1 0.11 20608 1 0.01 -1 -1 33248 -1 -1 21 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65060 30 32 303 262 1 191 83 17 17 289 -1 unnamed_device 25.0 MiB 2.02 1118 63.5 MiB 0.08 0.00 3.58297 -108.561 -3.58297 3.58297 0.72 0.000152541 0.000127379 0.0130338 0.0108926 34 2354 21 6.89349e+06 295971 618332. 2139.56 1.22 0.0569532 0.0484335 25762 151098 -1 2105 21 1432 1980 150293 33769 0 0 150293 33769 1980 1725 0 0 7414 6053 0 0 11401 8943 0 0 1980 1768 0 0 61207 8182 0 0 66311 7098 0 0 1980 0 0 548 581 601 4806 0 0 3.53305 3.53305 -124.891 -3.53305 0 0 787024. 2723.27 0.26 0.04 0.10 -1 -1 0.26 0.0102479 0.00912308 124 58 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 5.48 vpr 63.54 MiB -1 -1 0.11 20572 1 0.01 -1 -1 33212 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65068 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 25.0 MiB 1.45 956 63.5 MiB 0.07 0.00 2.911 -95.433 -2.911 2.911 0.98 0.000132543 0.000108062 0.0121724 0.0101419 34 2368 23 6.89349e+06 239595 618332. 2139.56 1.13 0.0500089 0.0423547 25762 151098 -1 1899 21 977 1367 104885 24092 0 0 104885 24092 1367 1186 0 0 5121 4257 0 0 7986 6257 0 0 1367 1205 0 0 45690 5446 0 0 43354 5741 0 0 1367 0 0 390 389 458 3418 0 0 2.90726 2.90726 -111.641 -2.90726 0 0 787024. 2723.27 0.26 0.03 0.09 -1 -1 0.26 0.00861028 0.00762167 108 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 6.43 vpr 63.91 MiB -1 -1 0.11 21140 1 0.01 -1 -1 33132 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65444 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 25.5 MiB 1.96 997 63.9 MiB 0.11 0.00 3.19568 -104.214 -3.19568 3.19568 0.97 0.000155752 0.000126832 0.0276788 0.0250309 34 2854 22 6.89349e+06 324158 618332. 2139.56 1.46 0.067266 0.0586355 25762 151098 -1 2281 24 1846 2855 250952 54684 0 0 250952 54684 2855 2445 0 0 10526 8626 0 0 17427 13217 0 0 2855 2488 0 0 110851 13482 0 0 106438 14426 0 0 2855 0 0 1009 1184 1077 8143 0 0 3.28421 3.28421 -121.993 -3.28421 0 0 787024. 2723.27 0.25 0.06 0.09 -1 -1 0.25 0.0123028 0.0108476 143 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 6.22 vpr 63.93 MiB -1 -1 0.11 20932 1 0.01 -1 -1 33252 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65464 32 32 363 295 1 232 88 17 17 289 -1 unnamed_device 25.5 MiB 1.72 1237 63.9 MiB 0.10 0.00 4.42931 -129.469 -4.42931 4.42931 0.86 0.000177487 0.00014811 0.0167794 0.014178 36 2786 22 6.89349e+06 338252 648988. 2245.63 1.46 0.0724529 0.06235 26050 158493 -1 2334 20 1627 2209 140243 33567 0 0 140243 33567 2209 1733 0 0 8020 6574 0 0 11213 9061 0 0 2209 1886 0 0 57609 7488 0 0 58983 6825 0 0 2209 0 0 582 686 356 5211 0 0 4.40225 4.40225 -150.232 -4.40225 0 0 828058. 2865.25 0.28 0.04 0.10 -1 -1 0.28 0.011418 0.0101338 153 58 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 7.03 vpr 63.29 MiB -1 -1 0.10 20904 1 0.02 -1 -1 33152 -1 -1 18 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64808 29 32 248 215 1 160 79 17 17 289 -1 unnamed_device 24.8 MiB 1.76 828 63.3 MiB 0.04 0.00 2.55142 -81.9482 -2.55142 2.55142 0.77 0.000131575 0.00011007 0.00837642 0.0070777 34 1982 20 6.89349e+06 253689 618332. 2139.56 2.52 0.0597765 0.0511834 25762 151098 -1 1691 22 1094 1568 120876 28308 0 0 120876 28308 1568 1263 0 0 5928 4992 0 0 9334 7334 0 0 1568 1304 0 0 50723 6952 0 0 51755 6463 0 0 1568 0 0 474 482 328 3874 0 0 2.70361 2.70361 -96.2805 -2.70361 0 0 787024. 2723.27 0.41 0.03 0.09 -1 -1 0.41 0.00870065 0.00766926 102 21 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 6.37 vpr 63.89 MiB -1 -1 0.12 20824 1 0.01 -1 -1 33272 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65420 32 32 370 297 1 234 88 17 17 289 -1 unnamed_device 25.4 MiB 2.04 1341 63.9 MiB 0.09 0.00 3.3439 -110.98 -3.3439 3.3439 0.71 0.00038998 0.000358468 0.0147428 0.0122547 34 3293 23 6.89349e+06 338252 618332. 2139.56 1.52 0.0702368 0.061003 25762 151098 -1 2698 24 2219 3564 314081 66456 0 0 314081 66456 3564 2809 0 0 13236 11136 0 0 20761 16024 0 0 3564 2999 0 0 140314 15281 0 0 132642 18207 0 0 3564 0 0 1345 1708 1871 12370 0 0 3.54705 3.54705 -133.856 -3.54705 0 0 787024. 2723.27 0.26 0.06 0.10 -1 -1 0.26 0.0128497 0.0113397 159 55 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 8.00 vpr 64.02 MiB -1 -1 0.11 20788 1 0.01 -1 -1 33232 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65560 32 32 338 269 1 205 86 17 17 289 -1 unnamed_device 25.6 MiB 1.84 1142 64.0 MiB 0.07 0.00 3.18768 -107.577 -3.18768 3.18768 0.75 0.000154612 0.000126043 0.0134272 0.0112245 36 2649 20 6.89349e+06 310065 648988. 2245.63 3.33 0.0786984 0.0670963 26050 158493 -1 2239 19 1413 2106 174626 36620 0 0 174626 36620 2106 1673 0 0 7773 6381 0 0 11122 9089 0 0 2106 1809 0 0 76906 8601 0 0 74613 9067 0 0 2106 0 0 693 578 731 5494 0 0 3.02816 3.02816 -119.71 -3.02816 0 0 828058. 2865.25 0.28 0.04 0.10 -1 -1 0.28 0.0111614 0.0100159 142 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 5.90 vpr 63.90 MiB -1 -1 0.12 20832 1 0.01 -1 -1 33208 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65436 32 32 323 276 1 215 85 17 17 289 -1 unnamed_device 25.3 MiB 1.68 1179 63.9 MiB 0.09 0.00 2.80245 -104.61 -2.80245 2.80245 0.82 0.000171682 0.000143467 0.0149139 0.0124324 34 2832 24 6.89349e+06 295971 618332. 2139.56 1.46 0.0634005 0.0542947 25762 151098 -1 2314 20 1433 1886 147787 32839 0 0 147787 32839 1886 1659 0 0 7015 5611 0 0 10833 8454 0 0 1886 1715 0 0 64402 7472 0 0 61765 7928 0 0 1886 0 0 453 599 616 4850 0 0 2.79796 2.79796 -122.636 -2.79796 0 0 787024. 2723.27 0.26 0.04 0.09 -1 -1 0.26 0.010501 0.00931221 131 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 4.86 vpr 63.29 MiB -1 -1 0.11 20820 1 0.01 -1 -1 33132 -1 -1 15 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64804 30 32 222 206 1 141 77 17 17 289 -1 unnamed_device 25.0 MiB 1.75 715 63.3 MiB 0.03 0.00 2.15123 -73.4367 -2.15123 2.15123 0.72 0.000129652 0.000105742 0.00481344 0.00402538 30 1579 19 6.89349e+06 211408 556674. 1926.21 0.66 0.0231127 0.0195657 25186 138497 -1 1348 16 554 651 42941 10323 0 0 42941 10323 651 562 0 0 2326 1868 0 0 3038 2590 0 0 651 573 0 0 18868 2204 0 0 17407 2526 0 0 651 0 0 97 20 100 1082 0 0 2.15017 2.15017 -88.8207 -2.15017 0 0 706193. 2443.58 0.24 0.02 0.08 -1 -1 0.24 0.0062154 0.00555035 82 29 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 9.08 vpr 63.58 MiB -1 -1 0.12 20604 1 0.01 -1 -1 33280 -1 -1 19 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65104 31 32 291 243 1 179 82 17 17 289 -1 unnamed_device 25.2 MiB 3.14 931 63.6 MiB 0.08 0.00 3.72732 -118.161 -3.72732 3.72732 0.75 0.000147431 0.00011986 0.0134012 0.0109633 36 2163 24 6.89349e+06 267783 648988. 2245.63 2.93 0.0778847 0.0661411 26050 158493 -1 1798 22 1256 2001 146355 33386 0 0 146355 33386 2001 1614 0 0 7260 6038 0 0 11695 9158 0 0 2001 1766 0 0 63555 7216 0 0 59843 7594 0 0 2001 0 0 745 682 728 5918 0 0 3.36035 3.36035 -125.331 -3.36035 0 0 828058. 2865.25 0.34 0.04 0.10 -1 -1 0.34 0.0105409 0.0094069 117 30 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 6.05 vpr 63.93 MiB -1 -1 0.11 20684 1 0.01 -1 -1 33420 -1 -1 34 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65464 32 32 342 271 1 207 98 17 17 289 -1 unnamed_device 25.5 MiB 1.00 1121 63.9 MiB 0.11 0.00 3.68693 -121.727 -3.68693 3.68693 0.89 0.000156829 0.00012901 0.0165407 0.0133336 34 2603 28 6.89349e+06 479191 618332. 2139.56 1.67 0.0890227 0.0725001 25762 151098 -1 2128 20 1447 2093 147012 33714 0 0 147012 33714 2093 1658 0 0 7972 6384 0 0 11694 9488 0 0 2093 1773 0 0 63311 7059 0 0 59849 7352 0 0 2093 0 0 646 811 709 6321 0 0 3.82484 3.82484 -139.547 -3.82484 0 0 787024. 2723.27 0.39 0.04 0.22 -1 -1 0.39 0.0100281 0.00887893 151 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 6.87 vpr 64.28 MiB -1 -1 0.11 20828 1 0.01 -1 -1 33352 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65820 32 32 372 300 1 229 87 17 17 289 -1 unnamed_device 25.7 MiB 1.38 1311 64.3 MiB 0.09 0.00 3.53795 -113.225 -3.53795 3.53795 0.77 0.00138091 0.00134355 0.0248856 0.0229496 34 3186 25 6.89349e+06 324158 618332. 2139.56 2.23 0.125993 0.115134 25762 151098 -1 2568 22 1753 2746 205477 45555 0 0 205477 45555 2746 2218 0 0 10116 8231 0 0 15300 12073 0 0 2746 2404 0 0 88955 10014 0 0 85614 10615 0 0 2746 0 0 993 1388 1213 8912 0 0 3.86596 3.86596 -137.678 -3.86596 0 0 787024. 2723.27 0.60 0.13 0.14 -1 -1 0.60 0.012865 0.0113798 155 59 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 5.17 vpr 63.11 MiB -1 -1 0.10 20656 1 0.00 -1 -1 33368 -1 -1 19 26 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64620 26 32 190 182 1 126 77 17 17 289 -1 unnamed_device 24.6 MiB 1.21 442 63.1 MiB 0.04 0.00 2.20251 -59.9837 -2.20251 2.20251 0.88 9.7891e-05 7.9855e-05 0.00803805 0.00666156 34 1383 24 6.89349e+06 267783 618332. 2139.56 1.11 0.0384659 0.0327121 25762 151098 -1 1044 17 775 923 71144 18579 0 0 71144 18579 923 867 0 0 3496 2913 0 0 5164 4153 0 0 923 881 0 0 28990 5083 0 0 31648 4682 0 0 923 0 0 148 159 151 1707 0 0 2.26095 2.26095 -71.5463 -2.26095 0 0 787024. 2723.27 0.36 0.03 0.10 -1 -1 0.36 0.0061515 0.00551092 76 21 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 6.98 vpr 63.50 MiB -1 -1 0.11 20348 1 0.01 -1 -1 33164 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65020 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 25.2 MiB 0.96 992 63.5 MiB 0.04 0.00 3.65437 -106.778 -3.65437 3.65437 0.78 0.000145774 0.000119418 0.00528608 0.00444684 28 2459 22 6.89349e+06 324158 531479. 1839.03 3.22 0.0828182 0.0704913 24610 126494 -1 2200 23 1546 2750 208953 49137 0 0 208953 49137 2750 2282 0 0 9924 8062 0 0 15705 12166 0 0 2750 2414 0 0 89211 11775 0 0 88613 12438 0 0 2750 0 0 1204 1589 1531 10554 0 0 3.62415 3.62415 -124.134 -3.62415 0 0 648988. 2245.63 0.46 0.05 0.11 -1 -1 0.46 0.0101802 0.00897881 119 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 5.71 vpr 63.23 MiB -1 -1 0.10 20036 1 0.00 -1 -1 33096 -1 -1 12 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64752 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 24.9 MiB 0.36 431 63.2 MiB 0.03 0.00 1.84032 -58.7538 -1.84032 1.84032 0.78 9.4739e-05 7.6064e-05 0.00647235 0.00530435 36 1200 29 6.89349e+06 169126 648988. 2245.63 2.85 0.0553712 0.0479507 26050 158493 -1 974 22 600 765 54930 14489 0 0 54930 14489 765 676 0 0 2936 2358 0 0 4408 3580 0 0 765 685 0 0 22029 3722 0 0 24027 3468 0 0 765 0 0 165 118 222 1638 0 0 2.15406 2.15406 -71.7958 -2.15406 0 0 828058. 2865.25 0.26 0.02 0.10 -1 -1 0.26 0.00598089 0.00524481 65 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 6.14 vpr 63.72 MiB -1 -1 0.11 20520 1 0.01 -1 -1 33176 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65252 32 32 300 245 1 187 84 17 17 289 -1 unnamed_device 25.2 MiB 1.99 962 63.7 MiB 0.07 0.00 3.95808 -113.056 -3.95808 3.95808 0.77 0.000155599 0.000128555 0.0132245 0.0110685 34 2421 29 6.89349e+06 281877 618332. 2139.56 1.45 0.0641911 0.0551859 25762 151098 -1 2047 18 1143 1679 115748 28106 0 0 115748 28106 1679 1353 0 0 6466 5342 0 0 9916 7975 0 0 1679 1452 0 0 47762 5985 0 0 48246 5999 0 0 1679 0 0 536 498 652 4755 0 0 3.73136 3.73136 -121.003 -3.73136 0 0 787024. 2723.27 0.26 0.03 0.09 -1 -1 0.26 0.00926993 0.00829509 125 21 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 4.73 vpr 63.77 MiB -1 -1 0.11 20824 1 0.01 -1 -1 33248 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65300 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.3 MiB 0.93 1065 63.8 MiB 0.09 0.00 2.7033 -91.5418 -2.7033 2.7033 0.80 0.000145692 0.000119358 0.0136964 0.0112254 28 2556 23 6.89349e+06 436909 531479. 1839.03 0.79 0.0455391 0.0386139 24610 126494 -1 2220 20 1287 2320 169519 38159 0 0 169519 38159 2320 1545 0 0 8514 6898 0 0 12313 9894 0 0 2320 1707 0 0 73303 9013 0 0 70749 9102 0 0 2320 0 0 1033 1479 1666 10098 0 0 2.65951 2.65951 -109.072 -2.65951 0 0 648988. 2245.63 0.23 0.04 0.08 -1 -1 0.23 0.010139 0.008966 130 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 6.81 vpr 63.73 MiB -1 -1 0.12 20876 1 0.01 -1 -1 32996 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65260 32 32 338 277 1 215 87 17 17 289 -1 unnamed_device 25.4 MiB 2.43 1126 63.7 MiB 0.07 0.00 3.79978 -109.038 -3.79978 3.79978 0.85 0.000191731 0.000159893 0.0109929 0.00917276 34 2899 34 6.89349e+06 324158 618332. 2139.56 1.29 0.0695967 0.0593685 25762 151098 -1 2334 20 1568 2266 163226 37384 0 0 163226 37384 2266 1898 0 0 8395 6828 0 0 12662 9964 0 0 2266 2054 0 0 68294 8435 0 0 69343 8205 0 0 2266 0 0 698 815 638 6093 0 0 3.80966 3.80966 -128.826 -3.80966 0 0 787024. 2723.27 0.38 0.04 0.14 -1 -1 0.38 0.0111817 0.00993871 142 47 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 6.30 vpr 63.86 MiB -1 -1 0.10 20388 1 0.01 -1 -1 33200 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65396 32 32 284 241 1 177 81 17 17 289 -1 unnamed_device 25.5 MiB 1.89 995 63.9 MiB 0.06 0.00 2.9839 -102.38 -2.9839 2.9839 0.81 0.000132907 0.000108693 0.0107793 0.00901758 34 2274 20 6.89349e+06 239595 618332. 2139.56 1.35 0.0677334 0.0600827 25762 151098 -1 1895 19 1278 1845 133882 30551 0 0 133882 30551 1845 1575 0 0 6834 5592 0 0 10367 8169 0 0 1845 1656 0 0 56910 6810 0 0 56081 6749 0 0 1845 0 0 567 404 606 4714 0 0 2.84196 2.84196 -113.762 -2.84196 0 0 787024. 2723.27 0.29 0.03 0.09 -1 -1 0.29 0.00995117 0.00893119 112 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 6.64 vpr 63.48 MiB -1 -1 0.12 20756 1 0.01 -1 -1 33268 -1 -1 17 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65004 30 32 262 227 1 161 79 17 17 289 -1 unnamed_device 24.9 MiB 1.83 883 63.5 MiB 0.10 0.00 3.26582 -95.078 -3.26582 3.26582 1.02 0.000146027 0.000119465 0.0158584 0.0138501 34 2205 31 6.89349e+06 239595 618332. 2139.56 1.49 0.071443 0.0631632 25762 151098 -1 1843 20 1025 1666 125675 28701 0 0 125675 28701 1666 1393 0 0 6127 5090 0 0 9994 7568 0 0 1666 1475 0 0 54247 6583 0 0 51975 6592 0 0 1666 0 0 641 568 815 5428 0 0 3.5863 3.5863 -115.942 -3.5863 0 0 787024. 2723.27 0.30 0.03 0.10 -1 -1 0.30 0.00861159 0.00764309 104 29 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 7.20 vpr 63.40 MiB -1 -1 0.10 20724 1 0.01 -1 -1 33312 -1 -1 20 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64920 28 32 260 223 1 163 80 17 17 289 -1 unnamed_device 24.8 MiB 1.86 897 63.4 MiB 0.06 0.00 3.27894 -97.2693 -3.27894 3.27894 0.93 0.000133878 0.000107697 0.0110965 0.00906241 30 2239 22 6.89349e+06 281877 556674. 1926.21 2.25 0.0579767 0.0491154 25186 138497 -1 1869 22 1031 1735 118807 27044 0 0 118807 27044 1735 1314 0 0 6096 4896 0 0 8691 6988 0 0 1735 1448 0 0 51370 5982 0 0 49180 6416 0 0 1735 0 0 704 640 711 5706 0 0 3.44075 3.44075 -117.158 -3.44075 0 0 706193. 2443.58 0.24 0.04 0.09 -1 -1 0.24 0.00985291 0.00878276 107 27 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 6.21 vpr 63.25 MiB -1 -1 0.10 20620 1 0.01 -1 -1 33144 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64772 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 24.7 MiB 0.83 799 63.3 MiB 0.06 0.00 3.24508 -101.69 -3.24508 3.24508 0.79 0.000126338 0.000103912 0.0105539 0.00884744 30 2111 19 6.89349e+06 239595 556674. 1926.21 2.59 0.0665754 0.057939 25186 138497 -1 1738 17 933 1595 106595 23739 0 0 106595 23739 1595 1171 0 0 5492 4296 0 0 7335 5997 0 0 1595 1283 0 0 47350 5209 0 0 43228 5783 0 0 1595 0 0 662 483 704 5184 0 0 2.82086 2.82086 -112.789 -2.82086 0 0 706193. 2443.58 0.25 0.03 0.08 -1 -1 0.25 0.00730271 0.00649613 101 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 6.79 vpr 63.63 MiB -1 -1 0.11 20628 1 0.01 -1 -1 33192 -1 -1 18 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65156 31 32 271 231 1 172 81 17 17 289 -1 unnamed_device 25.0 MiB 1.98 891 63.6 MiB 0.07 0.00 2.82865 -91.106 -2.82865 2.82865 0.86 0.000151885 0.000126715 0.0134828 0.0112379 34 2297 25 6.89349e+06 253689 618332. 2139.56 1.25 0.0488618 0.0414317 25762 151098 -1 1849 19 1035 1509 114148 26796 0 0 114148 26796 1509 1243 0 0 5777 4776 0 0 8979 7140 0 0 1509 1320 0 0 47039 6484 0 0 49335 5833 0 0 1509 0 0 474 478 619 4388 0 0 2.87811 2.87811 -108.048 -2.87811 0 0 787024. 2723.27 0.25 0.03 0.09 -1 -1 0.25 0.00934308 0.0083658 108 26 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 7.68 vpr 63.75 MiB -1 -1 0.11 20612 1 0.01 -1 -1 33212 -1 -1 22 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65284 29 32 291 250 1 185 83 17 17 289 -1 unnamed_device 25.3 MiB 1.71 871 63.8 MiB 0.09 0.00 2.84275 -83.5485 -2.84275 2.84275 1.18 0.000144201 0.000119954 0.034344 0.0323059 36 2024 19 6.89349e+06 310065 648988. 2245.63 2.03 0.0888038 0.0789451 26050 158493 -1 1699 18 986 1343 83667 21113 0 0 83667 21113 1343 1084 0 0 4929 3979 0 0 7033 5695 0 0 1343 1160 0 0 34943 4257 0 0 34076 4938 0 0 1343 0 0 357 211 391 3212 0 0 2.72096 2.72096 -96.9431 -2.72096 0 0 828058. 2865.25 0.68 0.03 0.14 -1 -1 0.68 0.00832723 0.00739747 120 48 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 7.16 vpr 64.01 MiB -1 -1 0.11 20964 1 0.01 -1 -1 33260 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65544 32 32 367 282 1 224 89 17 17 289 -1 unnamed_device 25.5 MiB 1.58 1321 64.0 MiB 0.21 0.00 3.60205 -109.719 -3.60205 3.60205 0.91 0.000254388 0.000219558 0.0208449 0.0183247 34 3204 25 6.89349e+06 352346 618332. 2139.56 2.17 0.0834627 0.0696256 25762 151098 -1 2583 21 1457 2439 192394 41750 0 0 192394 41750 2439 1874 0 0 9188 7591 0 0 14070 11124 0 0 2439 1967 0 0 82065 9959 0 0 82193 9235 0 0 2439 0 0 982 1477 1849 10839 0 0 3.60416 3.60416 -124.443 -3.60416 0 0 787024. 2723.27 0.32 0.12 0.10 -1 -1 0.32 0.0274008 0.0163023 159 26 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 10.84 vpr 64.12 MiB -1 -1 0.11 21024 1 0.02 -1 -1 33012 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65664 32 32 391 311 1 250 88 17 17 289 -1 unnamed_device 25.8 MiB 1.96 1342 64.1 MiB 0.09 0.00 3.57677 -122.298 -3.57677 3.57677 0.70 0.000171538 0.000141169 0.0168734 0.014169 34 3666 31 6.89349e+06 338252 618332. 2139.56 5.31 0.121239 0.104335 25762 151098 -1 2853 21 2352 3233 316457 66718 0 0 316457 66718 3233 2938 0 0 12181 10137 0 0 19014 14832 0 0 3233 3032 0 0 139366 18618 0 0 139430 17161 0 0 3233 0 0 881 1166 1271 8691 0 0 3.75255 3.75255 -145.95 -3.75255 0 0 787024. 2723.27 0.47 0.07 0.20 -1 -1 0.47 0.0131763 0.0116913 168 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 5.36 vpr 63.36 MiB -1 -1 0.11 20824 1 0.01 -1 -1 33184 -1 -1 18 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64880 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 24.8 MiB 1.17 933 63.4 MiB 0.05 0.00 3.21878 -100.089 -3.21878 3.21878 1.00 0.00014204 0.000118187 0.00848433 0.00707119 34 2123 18 6.89349e+06 253689 618332. 2139.56 1.21 0.0405918 0.0346244 25762 151098 -1 1908 20 1091 1728 161407 33905 0 0 161407 33905 1728 1428 0 0 6498 5394 0 0 10334 8142 0 0 1728 1480 0 0 68869 9027 0 0 72250 8434 0 0 1728 0 0 637 905 987 6117 0 0 3.10776 3.10776 -110.937 -3.10776 0 0 787024. 2723.27 0.28 0.04 0.10 -1 -1 0.28 0.00876086 0.0077827 109 30 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 6.07 vpr 64.12 MiB -1 -1 0.12 21136 1 0.01 -1 -1 33216 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65664 31 32 370 297 1 235 88 17 17 289 -1 unnamed_device 25.6 MiB 2.14 1278 64.1 MiB 0.07 0.00 3.42249 -111.085 -3.42249 3.42249 0.80 0.000178921 0.000138366 0.0129493 0.0107818 34 3248 25 6.89349e+06 352346 618332. 2139.56 1.25 0.0546309 0.0463011 25762 151098 -1 2734 20 1692 2513 197814 43486 0 0 197814 43486 2513 2066 0 0 9255 7635 0 0 13732 10887 0 0 2513 2204 0 0 88412 9532 0 0 81389 11162 0 0 2513 0 0 821 983 981 7512 0 0 3.6263 3.6263 -133.82 -3.6263 0 0 787024. 2723.27 0.25 0.05 0.10 -1 -1 0.25 0.0115905 0.0103021 160 57 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 11.86 vpr 64.10 MiB -1 -1 0.12 20888 1 0.01 -1 -1 33284 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65636 31 32 377 302 1 241 88 17 17 289 -1 unnamed_device 25.5 MiB 2.75 1178 64.1 MiB 0.09 0.00 4.34127 -130.272 -4.34127 4.34127 0.84 0.000170267 0.000139829 0.0154598 0.0126253 36 3242 27 6.89349e+06 352346 648988. 2245.63 6.37 0.126347 0.107133 26050 158493 -1 2528 22 1846 2671 214573 45653 0 0 214573 45653 2671 2280 0 0 9405 7471 0 0 13554 10578 0 0 2671 2334 0 0 94081 11333 0 0 92191 11657 0 0 2671 0 0 825 812 879 6837 0 0 4.87568 4.87568 -159.658 -4.87568 0 0 828058. 2865.25 0.28 0.05 0.10 -1 -1 0.28 0.0130368 0.0114973 163 60 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 7.65 vpr 64.07 MiB -1 -1 0.14 21168 1 0.01 -1 -1 33120 -1 -1 25 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65604 31 32 383 305 1 240 88 17 17 289 -1 unnamed_device 25.4 MiB 2.71 1138 64.1 MiB 0.09 0.00 4.74618 -136.117 -4.74618 4.74618 1.04 0.000169454 0.000139146 0.0167903 0.0141965 34 2944 24 6.89349e+06 352346 618332. 2139.56 1.34 0.0744789 0.0638135 25762 151098 -1 2320 18 1685 2509 168012 39033 0 0 168012 39033 2509 2046 0 0 9177 7601 0 0 13994 10971 0 0 2509 2090 0 0 72941 7776 0 0 66882 8549 0 0 2509 0 0 824 892 703 6896 0 0 4.85604 4.85604 -159.309 -4.85604 0 0 787024. 2723.27 0.29 0.04 0.10 -1 -1 0.29 0.0107439 0.00951696 166 60 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 7.61 vpr 63.89 MiB -1 -1 0.13 20672 1 0.01 -1 -1 33152 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65420 31 32 352 285 1 223 87 17 17 289 -1 unnamed_device 25.4 MiB 2.52 1126 63.9 MiB 0.08 0.00 3.17668 -101.657 -3.17668 3.17668 1.17 0.000164472 0.000135598 0.0134455 0.011336 34 2695 23 6.89349e+06 338252 618332. 2139.56 1.60 0.0829008 0.0716685 25762 151098 -1 2252 21 1674 2509 157770 38972 0 0 157770 38972 2509 2047 0 0 9192 7486 0 0 13718 10795 0 0 2509 2219 0 0 68829 7919 0 0 61013 8506 0 0 2509 0 0 835 1042 1070 7620 0 0 3.09761 3.09761 -116.467 -3.09761 0 0 787024. 2723.27 0.26 0.04 0.09 -1 -1 0.26 0.0115502 0.0101566 148 51 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 7.05 vpr 63.71 MiB -1 -1 0.13 20824 1 0.01 -1 -1 33400 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65236 32 32 291 242 1 188 84 17 17 289 -1 unnamed_device 25.2 MiB 1.88 989 63.7 MiB 0.08 0.00 3.64925 -99.1057 -3.64925 3.64925 1.05 0.000150429 0.000123241 0.0164294 0.0129449 34 2463 36 6.89349e+06 281877 618332. 2139.56 1.58 0.0631059 0.0536832 25762 151098 -1 2009 19 1102 1581 110671 26213 0 0 110671 26213 1581 1325 0 0 5994 4868 0 0 8922 7250 0 0 1581 1377 0 0 46682 5690 0 0 45911 5703 0 0 1581 0 0 479 703 606 4529 0 0 3.76866 3.76866 -119.427 -3.76866 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0100378 0.00898711 120 24 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 8.80 vpr 64.24 MiB -1 -1 0.15 21004 1 0.02 -1 -1 33124 -1 -1 31 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65784 32 32 457 356 1 296 95 17 17 289 -1 unnamed_device 25.8 MiB 2.24 1522 64.2 MiB 0.12 0.00 4.19571 -136.492 -4.19571 4.19571 1.02 0.000258474 0.000217379 0.0196747 0.0170805 36 4131 28 6.89349e+06 436909 648988. 2245.63 2.53 0.114631 0.0983845 26050 158493 -1 3130 20 2338 3543 247950 56353 0 0 247950 56353 3543 2825 0 0 12697 10404 0 0 18202 14425 0 0 3543 3016 0 0 105518 12477 0 0 104447 13206 0 0 3543 0 0 1205 1644 1686 11750 0 0 4.46619 4.46619 -162.795 -4.46619 0 0 828058. 2865.25 0.44 0.16 0.10 -1 -1 0.44 0.0248693 0.0229082 203 84 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 7.51 vpr 63.43 MiB -1 -1 0.12 20552 1 0.00 -1 -1 33420 -1 -1 18 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64952 31 32 261 225 1 171 81 17 17 289 -1 unnamed_device 24.9 MiB 2.06 1018 63.4 MiB 0.16 0.00 2.974 -92.1126 -2.974 2.974 1.03 0.000176711 0.000149645 0.0115535 0.00997413 34 2212 25 6.89349e+06 253689 618332. 2139.56 1.55 0.0560555 0.0482638 25762 151098 -1 1914 20 1222 1683 129461 29120 0 0 129461 29120 1683 1479 0 0 6429 5217 0 0 9655 7793 0 0 1683 1597 0 0 55475 6648 0 0 54536 6386 0 0 1683 0 0 461 485 414 3999 0 0 3.00446 3.00446 -108.485 -3.00446 0 0 787024. 2723.27 0.39 0.04 0.14 -1 -1 0.39 0.00912027 0.00812828 106 24 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 6.09 vpr 63.78 MiB -1 -1 0.13 20852 1 0.01 -1 -1 33096 -1 -1 23 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65308 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 25.4 MiB 1.44 1236 63.8 MiB 0.07 0.00 3.75642 -119.135 -3.75642 3.75642 0.95 0.000225124 0.000191684 0.0111355 0.00939722 34 2803 32 6.89349e+06 324158 618332. 2139.56 1.46 0.0672071 0.0580908 25762 151098 -1 2314 18 1475 2205 151426 35447 0 0 151426 35447 2205 1851 0 0 8310 6906 0 0 12172 9727 0 0 2205 1901 0 0 61662 7769 0 0 64872 7293 0 0 2205 0 0 730 860 843 6490 0 0 3.91596 3.91596 -139.252 -3.91596 0 0 787024. 2723.27 0.32 0.05 0.10 -1 -1 0.32 0.0110892 0.00991172 140 30 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 8.58 vpr 63.84 MiB -1 -1 0.11 20912 1 0.01 -1 -1 33216 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65376 32 32 349 284 1 222 87 17 17 289 -1 unnamed_device 25.3 MiB 2.50 1301 63.8 MiB 0.22 0.00 3.41329 -109.461 -3.41329 3.41329 1.52 0.000201733 0.000170105 0.018712 0.0159492 34 3323 27 6.89349e+06 324158 618332. 2139.56 1.90 0.0992531 0.0862201 25762 151098 -1 2595 20 1449 2310 173341 38672 0 0 173341 38672 2310 1805 0 0 8494 6843 0 0 12997 10163 0 0 2310 2081 0 0 74996 8766 0 0 72234 9014 0 0 2310 0 0 861 1017 1007 7541 0 0 3.3867 3.3867 -125.31 -3.3867 0 0 787024. 2723.27 0.37 0.06 0.11 -1 -1 0.37 0.0131347 0.0116942 149 50 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 6.56 vpr 63.62 MiB -1 -1 0.12 20524 1 0.01 -1 -1 33104 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65148 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 25.2 MiB 0.59 1058 63.6 MiB 0.12 0.00 3.37229 -107.321 -3.37229 3.37229 1.10 0.000198276 0.000167301 0.0332425 0.0311237 34 2370 23 6.89349e+06 366440 618332. 2139.56 2.18 0.112072 0.1018 25762 151098 -1 2069 19 1261 2350 178368 38542 0 0 178368 38542 2350 1635 0 0 8530 6935 0 0 13499 10285 0 0 2350 1808 0 0 78707 8329 0 0 72932 9550 0 0 2350 0 0 1089 1142 1511 9452 0 0 3.5071 3.5071 -123.823 -3.5071 0 0 787024. 2723.27 0.29 0.04 0.15 -1 -1 0.29 0.0106206 0.00953952 123 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 7.09 vpr 63.83 MiB -1 -1 0.13 20940 1 0.01 -1 -1 33144 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65360 32 32 353 287 1 220 87 17 17 289 -1 unnamed_device 25.5 MiB 2.01 1074 63.8 MiB 0.08 0.00 3.42271 -103.027 -3.42271 3.42271 0.87 0.000177529 0.000147124 0.0150326 0.0125744 34 2955 34 6.89349e+06 324158 618332. 2139.56 1.68 0.0905821 0.0773376 25762 151098 -1 2251 21 1702 2417 190542 44239 0 0 190542 44239 2417 2042 0 0 9333 7924 0 0 14407 11626 0 0 2417 2187 0 0 82069 9935 0 0 79899 10525 0 0 2417 0 0 715 901 880 6565 0 0 2.92916 2.92916 -112.003 -2.92916 0 0 787024. 2723.27 0.33 0.06 0.12 -1 -1 0.33 0.0167153 0.0141669 148 52 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 9.78 vpr 63.98 MiB -1 -1 0.14 21116 1 0.01 -1 -1 33136 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65512 32 32 361 291 1 233 88 17 17 289 -1 unnamed_device 25.5 MiB 2.28 1108 64.0 MiB 0.08 0.00 3.31619 -102.977 -3.31619 3.31619 1.10 0.000168723 0.000139136 0.0150289 0.012525 36 3146 34 6.89349e+06 338252 648988. 2245.63 3.92 0.124897 0.103217 26050 158493 -1 2392 21 1628 2403 193594 44835 0 0 193594 44835 2403 1993 0 0 8795 7286 0 0 13393 10448 0 0 2403 2045 0 0 84017 11128 0 0 82583 11935 0 0 2403 0 0 775 856 992 7702 0 0 3.729 3.729 -127.784 -3.729 0 0 828058. 2865.25 0.44 0.06 0.11 -1 -1 0.44 0.0156393 0.0129871 154 52 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 9.13 vpr 64.04 MiB -1 -1 0.12 20876 1 0.02 -1 -1 33232 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65580 32 32 382 305 1 243 90 17 17 289 -1 unnamed_device 25.4 MiB 1.80 1356 64.0 MiB 0.09 0.00 3.22384 -110.279 -3.22384 3.22384 0.84 0.000206178 0.000172416 0.0157848 0.0132427 36 3078 23 6.89349e+06 366440 648988. 2245.63 4.17 0.12723 0.110082 26050 158493 -1 2570 20 1751 2428 201207 44155 0 0 201207 44155 2428 1988 0 0 8797 7133 0 0 13385 10572 0 0 2428 2174 0 0 85817 11529 0 0 88352 10759 0 0 2428 0 0 677 903 804 6466 0 0 3.23156 3.23156 -127.243 -3.23156 0 0 828058. 2865.25 0.30 0.06 0.10 -1 -1 0.30 0.0136782 0.0121399 164 59 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 6.36 vpr 63.91 MiB -1 -1 0.12 20496 1 0.01 -1 -1 32996 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65440 32 32 306 248 1 188 85 17 17 289 -1 unnamed_device 25.4 MiB 1.85 954 63.9 MiB 0.08 0.00 3.61195 -107.106 -3.61195 3.61195 0.84 0.000150476 0.000123341 0.013567 0.011238 34 2346 34 6.89349e+06 295971 618332. 2139.56 1.40 0.0705169 0.0604726 25762 151098 -1 1901 18 1172 1882 135792 32737 0 0 135792 32737 1882 1478 0 0 7042 5687 0 0 10873 8653 0 0 1882 1597 0 0 60655 7550 0 0 53458 7772 0 0 1882 0 0 710 967 772 6287 0 0 3.5682 3.5682 -121.63 -3.5682 0 0 787024. 2723.27 0.29 0.04 0.10 -1 -1 0.29 0.00951089 0.00842346 128 21 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 8.34 vpr 63.67 MiB -1 -1 0.12 20808 1 0.02 -1 -1 33092 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65196 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 25.1 MiB 1.73 1095 63.7 MiB 0.07 0.00 3.93308 -117.677 -3.93308 3.93308 0.86 0.000182677 0.000154605 0.0116331 0.0098323 36 2558 30 6.89349e+06 310065 648988. 2245.63 3.37 0.0916324 0.0786154 26050 158493 -1 2292 22 1449 2122 155473 35421 0 0 155473 35421 2122 1800 0 0 7889 6480 0 0 11735 9360 0 0 2122 1903 0 0 67264 7760 0 0 64341 8118 0 0 2122 0 0 673 679 786 5606 0 0 3.8768 3.8768 -133.547 -3.8768 0 0 828058. 2865.25 0.36 0.05 0.12 -1 -1 0.36 0.0146673 0.0123136 135 26 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 6.73 vpr 64.07 MiB -1 -1 0.14 20760 1 0.01 -1 -1 33364 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65612 31 32 373 299 1 227 87 17 17 289 -1 unnamed_device 25.5 MiB 1.64 1438 64.1 MiB 0.17 0.00 3.81572 -120.928 -3.81572 3.81572 1.11 0.000192448 0.000155039 0.0358036 0.0319643 34 3450 33 6.89349e+06 338252 618332. 2139.56 1.47 0.0975998 0.0848166 25762 151098 -1 2774 31 2138 3426 420382 151461 0 0 420382 151461 3426 2855 0 0 12359 10037 0 0 19821 14646 0 0 3426 3054 0 0 194054 60555 0 0 187296 60314 0 0 3426 0 0 1288 2050 1885 12019 0 0 3.86596 3.86596 -141.891 -3.86596 0 0 787024. 2723.27 0.28 0.11 0.10 -1 -1 0.28 0.0208015 0.0182455 156 58 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 7.94 vpr 64.16 MiB -1 -1 0.13 20792 1 0.01 -1 -1 33296 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65704 32 32 387 315 1 249 89 17 17 289 -1 unnamed_device 25.8 MiB 2.40 1313 64.2 MiB 0.06 0.00 3.80725 -116.552 -3.80725 3.80725 0.84 0.000185161 0.000152483 0.0103537 0.00873469 34 3795 43 6.89349e+06 352346 618332. 2139.56 2.03 0.0813498 0.0701126 25762 151098 -1 2879 22 2034 3030 217925 50305 0 0 217925 50305 3030 2376 0 0 11076 8994 0 0 16912 13139 0 0 3030 2624 0 0 91137 11797 0 0 92740 11375 0 0 3030 0 0 996 1207 944 8259 0 0 4.0703 4.0703 -140.981 -4.0703 0 0 787024. 2723.27 0.55 0.07 0.12 -1 -1 0.55 0.0162223 0.0136291 166 74 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 7.66 vpr 63.35 MiB -1 -1 0.11 20588 1 0.01 -1 -1 32932 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64872 32 32 251 219 1 156 79 17 17 289 -1 unnamed_device 24.9 MiB 2.03 846 63.4 MiB 0.05 0.00 2.79059 -89.1076 -2.79059 2.79059 1.00 0.000128287 0.00010635 0.00985457 0.00853166 34 1990 22 6.89349e+06 211408 618332. 2139.56 1.65 0.0490815 0.0426571 25762 151098 -1 1747 19 941 1511 101388 23826 0 0 101388 23826 1511 1131 0 0 5697 4622 0 0 8645 6771 0 0 1511 1204 0 0 40609 5227 0 0 43415 4871 0 0 1511 0 0 570 735 831 5273 0 0 2.60451 2.60451 -97.7705 -2.60451 0 0 787024. 2723.27 0.34 0.03 0.12 -1 -1 0.34 0.00854693 0.00763051 96 20 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 9.30 vpr 63.84 MiB -1 -1 0.11 21060 1 0.01 -1 -1 33108 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65376 32 32 341 285 1 219 84 17 17 289 -1 unnamed_device 25.5 MiB 1.30 1015 63.8 MiB 0.07 0.00 3.45729 -116.703 -3.45729 3.45729 0.96 0.000153585 0.000125615 0.0115661 0.00967695 38 2545 26 6.89349e+06 281877 678818. 2348.85 4.91 0.154703 0.12667 26626 170182 -1 1983 20 1767 2377 152076 36071 0 0 152076 36071 2377 2083 0 0 8144 6714 0 0 11132 8983 0 0 2377 2102 0 0 61811 8082 0 0 66235 8107 0 0 2377 0 0 610 640 472 5319 0 0 3.38765 3.38765 -130.099 -3.38765 0 0 902133. 3121.57 0.38 0.04 0.11 -1 -1 0.38 0.0123744 0.0110246 138 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 9.91 vpr 64.23 MiB -1 -1 0.14 20880 1 0.02 -1 -1 33280 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65772 32 32 387 293 1 237 89 17 17 289 -1 unnamed_device 25.6 MiB 1.88 1416 64.2 MiB 0.11 0.00 4.49577 -134.492 -4.49577 4.49577 1.17 0.000204645 0.000169715 0.0207895 0.0175374 38 2983 21 6.89349e+06 352346 678818. 2348.85 4.09 0.165978 0.143834 26626 170182 -1 2630 17 1603 2514 173988 37291 0 0 173988 37291 2514 1901 0 0 8576 6746 0 0 11568 9319 0 0 2514 2112 0 0 77185 8292 0 0 71631 8921 0 0 2514 0 0 911 799 1257 7625 0 0 4.47745 4.47745 -150.867 -4.47745 0 0 902133. 3121.57 0.60 0.06 0.17 -1 -1 0.60 0.0146202 0.0131316 168 28 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 8.36 vpr 63.72 MiB -1 -1 0.13 20980 1 0.01 -1 -1 33132 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65252 32 32 340 270 1 212 86 17 17 289 -1 unnamed_device 25.4 MiB 2.26 1004 63.7 MiB 0.10 0.00 3.53796 -113.493 -3.53796 3.53796 1.00 0.000182534 0.000152033 0.0157523 0.0129835 34 2878 41 6.89349e+06 310065 618332. 2139.56 2.55 0.137249 0.123884 25762 151098 -1 2321 20 1694 2418 188685 43383 0 0 188685 43383 2418 2048 0 0 9144 7386 0 0 13634 10907 0 0 2418 2115 0 0 77690 11142 0 0 83381 9785 0 0 2418 0 0 724 971 931 6912 0 0 3.29511 3.29511 -127.075 -3.29511 0 0 787024. 2723.27 0.40 0.24 0.12 -1 -1 0.40 0.0494633 0.0463924 144 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 7.69 vpr 63.54 MiB -1 -1 0.12 20524 1 0.01 -1 -1 33304 -1 -1 27 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65068 30 32 278 235 1 175 89 17 17 289 -1 unnamed_device 25.2 MiB 1.40 1008 63.5 MiB 0.08 0.00 3.17564 -102.032 -3.17564 3.17564 0.83 0.000134053 0.000109536 0.0138911 0.0114687 36 2058 19 6.89349e+06 380534 648988. 2245.63 3.31 0.080889 0.0692306 26050 158493 -1 1843 18 1123 1852 130592 29439 0 0 130592 29439 1852 1388 0 0 6768 5571 0 0 10306 8107 0 0 1852 1519 0 0 53442 6636 0 0 56372 6218 0 0 1852 0 0 729 914 911 6964 0 0 3.32045 3.32045 -120.481 -3.32045 0 0 828058. 2865.25 0.31 0.04 0.11 -1 -1 0.31 0.00949645 0.00842403 118 29 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 12.19 vpr 64.43 MiB -1 -1 0.12 21264 1 0.02 -1 -1 33264 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65976 32 32 431 332 1 270 91 17 17 289 -1 unnamed_device 25.6 MiB 3.49 1598 64.4 MiB 0.29 0.00 5.53985 -161.329 -5.53985 5.53985 1.09 0.000244505 0.00020773 0.0351236 0.0313155 36 4010 24 6.89349e+06 380534 648988. 2245.63 4.78 0.137636 0.121821 26050 158493 -1 3375 20 2414 3743 285578 60897 0 0 285578 60897 3743 3110 0 0 13145 10335 0 0 18919 14750 0 0 3743 3367 0 0 124729 14563 0 0 121299 14772 0 0 3743 0 0 1329 1755 1832 12067 0 0 5.60773 5.60773 -191.701 -5.60773 0 0 828058. 2865.25 0.33 0.14 0.11 -1 -1 0.33 0.044237 0.0424218 188 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 6.55 vpr 63.91 MiB -1 -1 0.13 20708 1 0.02 -1 -1 33068 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65440 32 32 336 268 1 205 85 17 17 289 -1 unnamed_device 25.3 MiB 1.44 1069 63.9 MiB 0.09 0.00 3.82232 -121.404 -3.82232 3.82232 0.84 0.000175264 0.000143405 0.0162363 0.0136252 34 2588 24 6.89349e+06 295971 618332. 2139.56 1.82 0.124208 0.109834 25762 151098 -1 2238 21 1746 2446 178678 41148 0 0 178678 41148 2446 2030 0 0 9124 7384 0 0 13932 10903 0 0 2446 2111 0 0 73723 9914 0 0 77007 8806 0 0 2446 0 0 700 894 827 6753 0 0 3.9728 3.9728 -141.708 -3.9728 0 0 787024. 2723.27 0.46 0.12 0.10 -1 -1 0.46 0.0130898 0.0116659 139 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 5.58 vpr 63.47 MiB -1 -1 0.12 20296 1 0.01 -1 -1 33044 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64992 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.1 MiB 0.64 700 63.5 MiB 0.05 0.00 2.8828 -80.534 -2.8828 2.8828 0.96 0.000135003 0.000111023 0.0088314 0.00731374 34 1745 19 6.89349e+06 338252 618332. 2139.56 1.43 0.0450405 0.0390603 25762 151098 -1 1509 14 787 1391 94469 22333 0 0 94469 22333 1391 939 0 0 5146 4183 0 0 7900 6119 0 0 1391 1025 0 0 38699 5107 0 0 39942 4960 0 0 1391 0 0 604 775 868 5708 0 0 2.86021 2.86021 -98.9131 -2.86021 0 0 787024. 2723.27 0.29 0.03 0.10 -1 -1 0.29 0.00707581 0.00638714 94 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 6.95 vpr 64.14 MiB -1 -1 0.13 20780 1 0.02 -1 -1 33212 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65680 32 32 349 273 1 214 87 17 17 289 -1 unnamed_device 25.7 MiB 1.96 1248 64.1 MiB 0.08 0.00 4.19497 -117.606 -4.19497 4.19497 0.98 0.000195126 0.000162503 0.0141932 0.0118463 34 3070 21 6.89349e+06 324158 618332. 2139.56 1.79 0.0715027 0.0624387 25762 151098 -1 2482 21 1511 2672 181471 41372 0 0 181471 41372 2672 1948 0 0 9816 7996 0 0 14980 11588 0 0 2672 2062 0 0 76447 8958 0 0 74884 8820 0 0 2672 0 0 1161 1703 2146 12812 0 0 4.2624 4.2624 -138.965 -4.2624 0 0 787024. 2723.27 0.31 0.05 0.10 -1 -1 0.31 0.0129603 0.0114567 149 26 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 5.29 vpr 63.39 MiB -1 -1 0.11 20844 1 0.01 -1 -1 33228 -1 -1 19 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64908 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.0 MiB 0.73 705 63.4 MiB 0.04 0.00 2.81765 -87.6139 -2.81765 2.81765 0.92 0.000180525 0.000152803 0.00714721 0.00594142 30 1897 34 6.89349e+06 267783 556674. 1926.21 1.06 0.0468158 0.040435 25186 138497 -1 1476 20 968 1787 101345 25248 0 0 101345 25248 1787 1283 0 0 6143 4860 0 0 8451 6834 0 0 1787 1377 0 0 40166 5445 0 0 43011 5449 0 0 1787 0 0 819 796 898 6592 0 0 2.76606 2.76606 -102.863 -2.76606 0 0 706193. 2443.58 0.51 0.05 0.11 -1 -1 0.51 0.0143225 0.0132519 98 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 7.06 vpr 63.67 MiB -1 -1 0.10 20608 1 0.01 -1 -1 33148 -1 -1 20 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65196 30 32 278 235 1 175 82 17 17 289 -1 unnamed_device 25.3 MiB 1.61 854 63.7 MiB 0.09 0.00 3.17368 -94.5914 -3.17368 3.17368 1.06 0.000157699 0.000131723 0.0193052 0.0168159 34 2178 24 6.89349e+06 281877 618332. 2139.56 1.96 0.0779499 0.0663108 25762 151098 -1 1948 21 1262 1797 139865 32388 0 0 139865 32388 1797 1568 0 0 6686 5444 0 0 10399 8003 0 0 1797 1627 0 0 56281 8370 0 0 62905 7376 0 0 1797 0 0 535 798 897 5633 0 0 3.16966 3.16966 -112.864 -3.16966 0 0 787024. 2723.27 0.29 0.04 0.10 -1 -1 0.29 0.00972707 0.00860222 113 29 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 8.86 vpr 64.09 MiB -1 -1 0.11 20840 1 0.01 -1 -1 33352 -1 -1 26 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65628 29 32 355 287 1 224 87 17 17 289 -1 unnamed_device 25.6 MiB 3.71 1099 64.1 MiB 0.07 0.00 3.60013 -107.086 -3.60013 3.60013 0.88 0.000230728 0.000193782 0.0130533 0.0108139 34 2984 24 6.89349e+06 366440 618332. 2139.56 1.54 0.0858134 0.0734175 25762 151098 -1 2411 20 1607 2356 186894 42713 0 0 186894 42713 2356 1978 0 0 8813 7392 0 0 13558 10774 0 0 2356 2035 0 0 79757 10410 0 0 80054 10124 0 0 2356 0 0 749 959 706 6294 0 0 3.53034 3.53034 -125.154 -3.53034 0 0 787024. 2723.27 0.30 0.05 0.10 -1 -1 0.30 0.0136562 0.0121906 154 56 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 7.96 vpr 63.90 MiB -1 -1 0.11 20952 1 0.01 -1 -1 33208 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65432 32 32 358 289 1 230 86 17 17 289 -1 unnamed_device 25.4 MiB 1.98 1279 63.9 MiB 0.14 0.00 4.11834 -130.881 -4.11834 4.11834 1.20 0.000199919 0.000168369 0.0423578 0.0393154 34 3168 46 6.89349e+06 310065 618332. 2139.56 2.16 0.115593 0.102577 25762 151098 -1 2433 23 1814 2653 184236 43475 0 0 184236 43475 2653 2117 0 0 9948 8198 0 0 15681 12537 0 0 2653 2174 0 0 77489 9268 0 0 75812 9181 0 0 2653 0 0 839 814 842 6980 0 0 4.36825 4.36825 -151.235 -4.36825 0 0 787024. 2723.27 0.38 0.06 0.14 -1 -1 0.38 0.0147893 0.0130891 151 51 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 6.76 vpr 64.02 MiB -1 -1 0.11 20920 1 0.00 -1 -1 33100 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65556 32 32 353 285 1 228 87 17 17 289 -1 unnamed_device 25.5 MiB 1.74 1306 64.0 MiB 0.07 0.00 4.28447 -128.177 -4.28447 4.28447 1.19 0.000177008 0.000138259 0.0144728 0.0113737 36 3190 28 6.89349e+06 324158 648988. 2245.63 1.46 0.067002 0.0570235 26050 158493 -1 2772 22 2026 2893 251371 53297 0 0 251371 53297 2893 2573 0 0 10400 8506 0 0 16412 12721 0 0 2893 2662 0 0 109081 13427 0 0 109692 13408 0 0 2893 0 0 867 875 1030 7963 0 0 4.47595 4.47595 -151.774 -4.47595 0 0 828058. 2865.25 0.31 0.07 0.11 -1 -1 0.31 0.0174034 0.015761 150 48 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 5.63 vpr 63.48 MiB -1 -1 0.10 20620 1 0.01 -1 -1 32944 -1 -1 15 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65000 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 24.9 MiB 1.60 935 63.5 MiB 0.06 0.00 3.71247 -110.557 -3.71247 3.71247 0.74 0.000128918 0.000105228 0.0106004 0.00871555 30 2193 22 6.89349e+06 211408 556674. 1926.21 0.73 0.0350166 0.029731 25186 138497 -1 1783 22 897 1261 85302 19605 0 0 85302 19605 1261 1002 0 0 4524 3646 0 0 6025 5001 0 0 1261 1038 0 0 36961 4404 0 0 35270 4514 0 0 1261 0 0 364 359 349 3081 0 0 3.13785 3.13785 -117.364 -3.13785 0 0 706193. 2443.58 0.35 0.05 0.18 -1 -1 0.35 0.02936 0.0282904 105 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 5.97 vpr 63.70 MiB -1 -1 0.13 20800 1 0.01 -1 -1 33320 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65232 31 32 319 272 1 203 83 17 17 289 -1 unnamed_device 25.2 MiB 1.60 1000 63.7 MiB 0.09 0.00 2.9155 -100.463 -2.9155 2.9155 0.95 0.000156849 0.000130386 0.0147279 0.0122097 34 2702 23 6.89349e+06 281877 618332. 2139.56 1.47 0.0661114 0.0567861 25762 151098 -1 2237 19 1497 2076 148262 33894 0 0 148262 33894 2076 1778 0 0 7588 6047 0 0 11128 8670 0 0 2076 1922 0 0 60332 8354 0 0 65062 7123 0 0 2076 0 0 579 610 588 5011 0 0 3.24211 3.24211 -123.257 -3.24211 0 0 787024. 2723.27 0.26 0.04 0.09 -1 -1 0.26 0.00894181 0.00789861 131 60 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 6.45 vpr 64.07 MiB -1 -1 0.12 20884 1 0.01 -1 -1 33164 -1 -1 26 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65608 30 32 329 273 1 213 88 17 17 289 -1 unnamed_device 25.7 MiB 2.05 1176 64.1 MiB 0.07 0.00 3.0363 -92.5923 -3.0363 3.0363 0.79 0.000164086 0.000137513 0.0114179 0.00955366 34 2706 34 6.89349e+06 366440 618332. 2139.56 1.66 0.0802387 0.0549617 25762 151098 -1 2330 20 1477 2206 180014 39262 0 0 180014 39262 2206 1823 0 0 8249 6767 0 0 12800 10125 0 0 2206 1927 0 0 78816 9108 0 0 75737 9512 0 0 2206 0 0 729 1216 1287 8070 0 0 2.87446 2.87446 -106.781 -2.87446 0 0 787024. 2723.27 0.26 0.04 0.10 -1 -1 0.26 0.0116563 0.0103826 142 52 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 7.41 vpr 63.56 MiB -1 -1 0.12 20488 1 0.01 -1 -1 33224 -1 -1 23 28 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65084 28 32 277 229 1 171 83 17 17 289 -1 unnamed_device 25.2 MiB 1.25 887 63.6 MiB 0.06 0.00 3.50369 -90.3791 -3.50369 3.50369 0.77 0.000130813 0.000106605 0.0111444 0.00921034 36 1955 18 6.89349e+06 324158 648988. 2245.63 3.27 0.0661738 0.0552999 26050 158493 -1 1526 20 1060 1722 97214 23434 0 0 97214 23434 1722 1236 0 0 6036 4847 0 0 9008 6936 0 0 1722 1273 0 0 39142 4480 0 0 39584 4662 0 0 1722 0 0 662 746 1164 6766 0 0 3.70146 3.70146 -101.634 -3.70146 0 0 828058. 2865.25 0.29 0.04 0.12 -1 -1 0.29 0.00912959 0.0081003 119 20 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 6.58 vpr 63.77 MiB -1 -1 0.12 20916 1 0.01 -1 -1 33296 -1 -1 21 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65300 30 32 317 269 1 202 83 17 17 289 -1 unnamed_device 25.2 MiB 2.47 1085 63.8 MiB 0.08 0.00 3.54502 -110.802 -3.54502 3.54502 0.75 0.000140585 0.000115191 0.0149251 0.0127021 34 2745 50 6.89349e+06 295971 618332. 2139.56 1.42 0.0758016 0.0650353 25762 151098 -1 2180 21 1657 2352 194487 41636 0 0 194487 41636 2352 1919 0 0 8634 7296 0 0 13278 10404 0 0 2352 2119 0 0 84251 9841 0 0 83620 10057 0 0 2352 0 0 695 711 772 6058 0 0 3.84514 3.84514 -133.949 -3.84514 0 0 787024. 2723.27 0.25 0.04 0.09 -1 -1 0.25 0.00976448 0.00862583 130 58 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 8.15 vpr 63.73 MiB -1 -1 0.12 21256 1 0.01 -1 -1 33300 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65264 32 32 335 282 1 222 84 17 17 289 -1 unnamed_device 25.4 MiB 1.92 1246 63.7 MiB 0.06 0.00 3.03554 -108.938 -3.03554 3.03554 0.76 0.000148019 0.000121026 0.00936759 0.00780712 36 2848 26 6.89349e+06 281877 648988. 2245.63 3.41 0.0824405 0.0697236 26050 158493 -1 2496 20 1832 2484 213261 44912 0 0 213261 44912 2484 2001 0 0 8848 7143 0 0 13291 10333 0 0 2484 2268 0 0 93708 11703 0 0 92446 11464 0 0 2484 0 0 652 649 606 5695 0 0 3.17715 3.17715 -127.33 -3.17715 0 0 828058. 2865.25 0.27 0.05 0.10 -1 -1 0.27 0.0110883 0.00982835 138 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 6.16 vpr 63.60 MiB -1 -1 0.12 20692 1 0.01 -1 -1 33132 -1 -1 31 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65128 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 25.2 MiB 0.60 1068 63.6 MiB 0.09 0.00 3.69462 -109.783 -3.69462 3.69462 0.80 0.000143476 0.000117975 0.0128732 0.0106002 32 2691 41 6.89349e+06 436909 586450. 2029.24 2.66 0.0773855 0.0659601 25474 144626 -1 2182 21 1375 2588 192544 43216 0 0 192544 43216 2588 1842 0 0 10004 8158 0 0 15255 11951 0 0 2588 2085 0 0 83832 9145 0 0 78277 10035 0 0 2588 0 0 1213 1583 1704 10934 0 0 3.6543 3.6543 -125.499 -3.6543 0 0 744469. 2576.02 0.33 0.04 0.13 -1 -1 0.33 0.00911838 0.0080247 129 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 6.57 vpr 63.75 MiB -1 -1 0.11 20576 1 0.01 -1 -1 33184 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65276 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 25.4 MiB 2.20 1013 63.7 MiB 0.08 0.00 3.78342 -121.532 -3.78342 3.78342 0.75 0.000165483 0.000135431 0.0138965 0.0115609 34 3420 26 6.89349e+06 324158 618332. 2139.56 1.60 0.080836 0.0689432 25762 151098 -1 2477 22 1878 2830 250198 55270 0 0 250198 55270 2830 2481 0 0 10238 8234 0 0 16555 12525 0 0 2830 2556 0 0 108226 15107 0 0 109519 14367 0 0 2830 0 0 952 1075 920 7681 0 0 4.0038 4.0038 -144.862 -4.0038 0 0 787024. 2723.27 0.25 0.06 0.09 -1 -1 0.25 0.0118571 0.0104798 148 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 7.02 vpr 64.16 MiB -1 -1 0.11 20812 1 0.02 -1 -1 33368 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65704 32 32 385 308 1 244 91 17 17 289 -1 unnamed_device 25.6 MiB 1.80 1402 64.2 MiB 0.09 0.00 4.36021 -136.876 -4.36021 4.36021 0.87 0.000170835 0.000139592 0.0143753 0.0118721 34 3550 39 6.89349e+06 380534 618332. 2139.56 2.30 0.0898759 0.0776592 25762 151098 -1 2824 23 2170 3044 337671 70008 0 0 337671 70008 3044 2648 0 0 11559 9378 0 0 19562 14841 0 0 3044 2731 0 0 153031 20733 0 0 147431 19677 0 0 3044 0 0 874 1310 1069 8687 0 0 4.48485 4.48485 -159.562 -4.48485 0 0 787024. 2723.27 0.27 0.07 0.09 -1 -1 0.27 0.014158 0.0125601 164 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 7.02 vpr 64.03 MiB -1 -1 0.12 21020 1 0.01 -1 -1 33292 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65568 32 32 387 309 1 248 90 17 17 289 -1 unnamed_device 25.4 MiB 1.81 1383 64.0 MiB 0.08 0.00 3.66297 -123.421 -3.66297 3.66297 0.74 0.000173697 0.000142592 0.0131183 0.010941 36 3151 23 6.89349e+06 366440 648988. 2245.63 2.39 0.0902711 0.079482 26050 158493 -1 2753 21 1735 2582 208277 44399 0 0 208277 44399 2582 2032 0 0 9317 7458 0 0 14045 11062 0 0 2582 2132 0 0 89335 11259 0 0 90416 10456 0 0 2582 0 0 847 1147 1175 8095 0 0 3.8118 3.8118 -142.032 -3.8118 0 0 828058. 2865.25 0.25 0.05 0.10 -1 -1 0.25 0.0138617 0.0122994 164 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 5.89 vpr 63.81 MiB -1 -1 0.10 20808 1 0.01 -1 -1 33372 -1 -1 21 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65344 30 32 272 232 1 176 83 17 17 289 -1 unnamed_device 25.2 MiB 1.79 961 63.8 MiB 0.18 0.00 3.29223 -102.912 -3.29223 3.29223 0.85 0.000132589 0.00010837 0.0330393 0.0208634 34 2401 35 6.89349e+06 295971 618332. 2139.56 1.26 0.077449 0.0591742 25762 151098 -1 1947 20 1312 1856 156277 34409 0 0 156277 34409 1856 1688 0 0 6814 5677 0 0 11695 8885 0 0 1856 1796 0 0 66240 8271 0 0 67816 8092 0 0 1856 0 0 544 556 495 4539 0 0 3.11191 3.11191 -111.113 -3.11191 0 0 787024. 2723.27 0.25 0.04 0.10 -1 -1 0.25 0.00905765 0.00789769 112 29 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 7.17 vpr 64.05 MiB -1 -1 0.13 20780 1 0.01 -1 -1 33228 -1 -1 26 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65584 30 32 375 299 1 236 88 17 17 289 -1 unnamed_device 25.5 MiB 2.57 1248 64.0 MiB 0.07 0.00 4.46357 -133.845 -4.46357 4.46357 0.89 0.000172071 0.000141827 0.0111707 0.00933287 36 2727 22 6.89349e+06 366440 648988. 2245.63 1.51 0.0677862 0.0579492 26050 158493 -1 2385 21 1678 2406 182201 40214 0 0 182201 40214 2406 1970 0 0 8780 7156 0 0 12445 9881 0 0 2406 2045 0 0 75851 9915 0 0 80313 9247 0 0 2406 0 0 728 720 986 6348 0 0 4.44625 4.44625 -154.177 -4.44625 0 0 828058. 2865.25 0.27 0.05 0.15 -1 -1 0.27 0.0121012 0.0107391 162 58 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 7.45 vpr 63.80 MiB -1 -1 0.12 20876 1 0.01 -1 -1 33384 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65328 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 25.4 MiB 1.21 987 63.8 MiB 0.05 0.00 4.12775 -120.614 -4.12775 4.12775 0.80 0.000183579 0.000152777 0.00898049 0.00751274 36 2759 23 6.89349e+06 324158 648988. 2245.63 3.48 0.081957 0.0702163 26050 158493 -1 2172 21 1323 2333 219280 48307 0 0 219280 48307 2333 1945 0 0 8873 7380 0 0 13912 10925 0 0 2333 2069 0 0 93518 12571 0 0 98311 13417 0 0 2333 0 0 1010 1868 1869 11032 0 0 3.8045 3.8045 -132.5 -3.8045 0 0 828058. 2865.25 0.28 0.05 0.10 -1 -1 0.28 0.0110889 0.00985536 139 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 5.87 vpr 63.70 MiB -1 -1 0.11 20864 1 0.01 -1 -1 33208 -1 -1 23 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65224 31 32 340 275 1 211 86 17 17 289 -1 unnamed_device 25.3 MiB 1.78 1112 63.7 MiB 0.05 0.00 3.97284 -116.355 -3.97284 3.97284 0.77 0.000174936 0.000146184 0.00766883 0.00643642 34 2909 25 6.89349e+06 324158 618332. 2139.56 1.53 0.0567686 0.048872 25762 151098 -1 2282 19 1576 2362 158802 37729 0 0 158802 37729 2362 1894 0 0 8869 7340 0 0 13655 10765 0 0 2362 2117 0 0 67760 7575 0 0 63794 8038 0 0 2362 0 0 786 896 743 6791 0 0 4.21895 4.21895 -140.226 -4.21895 0 0 787024. 2723.27 0.24 0.04 0.10 -1 -1 0.24 0.01004 0.00891126 142 43 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 6.68 vpr 64.23 MiB -1 -1 0.13 20836 1 0.01 -1 -1 33020 -1 -1 27 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65776 30 32 377 310 1 241 89 17 17 289 -1 unnamed_device 25.7 MiB 2.05 1409 64.2 MiB 0.09 0.00 3.74362 -115.714 -3.74362 3.74362 0.95 0.000176729 0.00014727 0.0149035 0.01254 36 3060 24 6.89349e+06 380534 648988. 2245.63 1.76 0.0781623 0.0671923 26050 158493 -1 2523 22 1829 2724 208303 44573 0 0 208303 44573 2724 2270 0 0 9771 7857 0 0 14483 11294 0 0 2724 2427 0 0 89531 10480 0 0 89070 10245 0 0 2724 0 0 895 1339 1227 8645 0 0 3.72599 3.72599 -133.694 -3.72599 0 0 828058. 2865.25 0.29 0.05 0.10 -1 -1 0.29 0.0126328 0.0111593 162 78 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 7.71 vpr 64.02 MiB -1 -1 0.13 20808 1 0.01 -1 -1 33220 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65556 32 32 365 294 1 230 87 17 17 289 -1 unnamed_device 25.5 MiB 2.82 1168 64.0 MiB 0.08 0.00 4.53067 -134.342 -4.53067 4.53067 0.79 0.000179393 0.000150409 0.0129235 0.0108393 36 2953 42 6.89349e+06 324158 648988. 2245.63 2.00 0.0825353 0.0707424 26050 158493 -1 2548 21 1928 2848 206873 48257 0 0 206873 48257 2848 2343 0 0 10254 8414 0 0 14953 11750 0 0 2848 2574 0 0 88042 11181 0 0 87928 11995 0 0 2848 0 0 920 977 904 7735 0 0 4.40635 4.40635 -152.47 -4.40635 0 0 828058. 2865.25 0.32 0.12 0.10 -1 -1 0.32 0.0137077 0.0121825 155 54 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 5.98 vpr 64.31 MiB -1 -1 0.12 20932 1 0.01 -1 -1 33160 -1 -1 30 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65852 29 32 378 310 1 247 91 17 17 289 -1 unnamed_device 25.9 MiB 1.72 1324 64.3 MiB 0.09 0.00 3.68595 -113.583 -3.68595 3.68595 0.74 0.000184925 0.000145305 0.0140955 0.0114942 34 3074 23 6.89349e+06 422815 618332. 2139.56 1.37 0.0597891 0.0511978 25762 151098 -1 2624 20 1768 2437 167984 38048 0 0 167984 38048 2437 1975 0 0 8889 7304 0 0 13360 10479 0 0 2437 2178 0 0 70588 8128 0 0 70273 7984 0 0 2437 0 0 669 840 635 6189 0 0 3.6001 3.6001 -126.879 -3.6001 0 0 787024. 2723.27 0.27 0.04 0.10 -1 -1 0.27 0.0122598 0.0109144 166 79 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 5.64 vpr 63.29 MiB -1 -1 0.11 20452 1 0.00 -1 -1 33136 -1 -1 17 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64808 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 24.9 MiB 0.50 856 63.3 MiB 0.05 0.00 3.26403 -101.059 -3.26403 3.26403 0.77 0.000124344 0.000101713 0.00752316 0.006241 34 1906 19 6.89349e+06 239595 618332. 2139.56 2.57 0.0573973 0.048983 25762 151098 -1 1668 18 898 1450 111430 25161 0 0 111430 25161 1450 1165 0 0 5421 4409 0 0 8270 6575 0 0 1450 1233 0 0 48178 5753 0 0 46661 6026 0 0 1450 0 0 552 556 583 4425 0 0 2.89916 2.89916 -109.296 -2.89916 0 0 787024. 2723.27 0.25 0.03 0.09 -1 -1 0.25 0.00706147 0.0062681 96 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 6.66 vpr 64.00 MiB -1 -1 0.12 21096 1 0.01 -1 -1 33184 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65532 32 32 373 302 1 241 89 17 17 289 -1 unnamed_device 25.4 MiB 1.59 1340 64.0 MiB 0.09 0.00 4.4438 -137.071 -4.4438 4.4438 0.77 0.000166153 0.00013626 0.0158815 0.0132433 34 3142 32 6.89349e+06 352346 618332. 2139.56 2.08 0.0791277 0.0680764 25762 151098 -1 2584 21 1815 2507 213453 45838 0 0 213453 45838 2507 2207 0 0 9159 7343 0 0 15237 11768 0 0 2507 2307 0 0 92589 10927 0 0 91454 11286 0 0 2507 0 0 692 684 805 6488 0 0 4.47168 4.47168 -158.42 -4.47168 0 0 787024. 2723.27 0.26 0.05 0.12 -1 -1 0.26 0.0119838 0.0106238 156 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 9.33 vpr 64.03 MiB -1 -1 0.11 21188 1 0.01 -1 -1 33180 -1 -1 25 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65568 32 32 397 314 1 256 89 17 17 289 -1 unnamed_device 25.6 MiB 3.00 1296 64.0 MiB 0.06 0.00 4.41647 -143.96 -4.41647 4.41647 0.80 0.000183614 0.000151168 0.0100959 0.00851222 36 3363 29 6.89349e+06 352346 648988. 2245.63 3.64 0.096918 0.0816019 26050 158493 -1 2915 22 2248 3276 277372 59299 0 0 277372 59299 3276 2806 0 0 11767 9699 0 0 17876 14027 0 0 3276 2909 0 0 122684 14329 0 0 118493 15529 0 0 3276 0 0 1028 1040 1293 8781 0 0 4.81268 4.81268 -171.588 -4.81268 0 0 828058. 2865.25 0.25 0.06 0.10 -1 -1 0.25 0.0132232 0.0117157 171 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 6.31 vpr 63.40 MiB -1 -1 0.11 20648 1 0.01 -1 -1 33380 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64924 32 32 269 231 1 172 82 17 17 289 -1 unnamed_device 24.9 MiB 2.59 946 63.4 MiB 0.06 0.00 3.14102 -93.6681 -3.14102 3.14102 0.71 0.000139623 0.000116501 0.01061 0.00885027 34 2116 25 6.89349e+06 253689 618332. 2139.56 1.17 0.0506105 0.0431005 25762 151098 -1 1813 19 916 1254 92025 22482 0 0 92025 22482 1254 1127 0 0 4852 4029 0 0 7246 5929 0 0 1254 1161 0 0 38528 5344 0 0 38891 4892 0 0 1254 0 0 338 341 318 2949 0 0 2.8954 2.8954 -106.854 -2.8954 0 0 787024. 2723.27 0.26 0.03 0.09 -1 -1 0.26 0.00856051 0.00764241 108 26 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 4.23 vpr 63.35 MiB -1 -1 0.10 20456 1 0.01 -1 -1 33308 -1 -1 20 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64868 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 24.9 MiB 0.56 814 63.3 MiB 0.05 0.00 3.20583 -100.243 -3.20583 3.20583 1.02 0.000122111 0.000100342 0.00680198 0.00565412 32 2104 20 6.89349e+06 281877 586450. 2029.24 0.72 0.0280225 0.0239063 25474 144626 -1 1878 20 1183 1933 158001 35802 0 0 158001 35802 1933 1601 0 0 7390 6277 0 0 12779 9715 0 0 1933 1700 0 0 67119 8417 0 0 66847 8092 0 0 1933 0 0 750 859 916 6426 0 0 3.17161 3.17161 -117.874 -3.17161 0 0 744469. 2576.02 0.25 0.04 0.09 -1 -1 0.25 0.00788177 0.00696176 99 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 6.24 vpr 63.75 MiB -1 -1 0.11 20812 1 0.01 -1 -1 33268 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65276 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 25.4 MiB 1.97 1127 63.7 MiB 0.06 0.00 3.58702 -118.784 -3.58702 3.58702 0.94 0.000164819 0.00013536 0.010311 0.00858211 34 2838 21 6.89349e+06 324158 618332. 2139.56 1.47 0.0640313 0.0552475 25762 151098 -1 2383 22 1907 2708 209736 46371 0 0 209736 46371 2708 2400 0 0 9976 8048 0 0 15233 11821 0 0 2708 2459 0 0 89691 11118 0 0 89420 10525 0 0 2708 0 0 801 816 849 6776 0 0 3.73625 3.73625 -139.785 -3.73625 0 0 787024. 2723.27 0.28 0.05 0.10 -1 -1 0.28 0.0125684 0.011128 145 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 6.00 vpr 63.75 MiB -1 -1 0.12 20836 1 0.01 -1 -1 33328 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65280 32 32 356 289 1 224 87 17 17 289 -1 unnamed_device 25.4 MiB 1.89 1223 63.8 MiB 0.08 0.00 3.87394 -119.268 -3.87394 3.87394 0.81 0.000171254 0.000142117 0.0166137 0.0142895 34 3222 24 6.89349e+06 324158 618332. 2139.56 1.45 0.0604959 0.0521025 25762 151098 -1 2563 23 1642 2378 209355 49209 0 0 209355 49209 2378 2108 0 0 8995 7384 0 0 13643 10706 0 0 2378 2196 0 0 89278 14047 0 0 92683 12768 0 0 2378 0 0 736 853 766 6515 0 0 4.14685 4.14685 -140.909 -4.14685 0 0 787024. 2723.27 0.26 0.05 0.12 -1 -1 0.26 0.011606 0.0102049 149 53 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 7.45 vpr 63.78 MiB -1 -1 0.12 20768 1 0.01 -1 -1 33264 -1 -1 36 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65312 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.3 MiB 0.61 1215 63.8 MiB 0.11 0.00 4.04336 -119.003 -4.04336 4.04336 0.77 0.000176522 0.000146104 0.0169272 0.01407 28 3311 41 6.89349e+06 507378 531479. 1839.03 4.12 0.158166 0.133127 24610 126494 -1 2623 25 2097 3791 313005 66688 0 0 313005 66688 3791 2793 0 0 13622 10916 0 0 21249 16293 0 0 3791 3014 0 0 137974 16067 0 0 132578 17605 0 0 3791 0 0 1694 2385 2716 16214 0 0 4.33784 4.33784 -146.48 -4.33784 0 0 648988. 2245.63 0.22 0.07 0.08 -1 -1 0.22 0.0144971 0.0127578 157 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 5.68 vpr 63.92 MiB -1 -1 0.12 20812 1 0.02 -1 -1 33152 -1 -1 25 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65456 30 32 316 264 1 208 87 17 17 289 -1 unnamed_device 25.4 MiB 1.55 1094 63.9 MiB 0.08 0.00 2.95499 -90.7028 -2.95499 2.95499 0.77 0.000159068 0.000132977 0.0145496 0.0120977 34 2470 26 6.89349e+06 352346 618332. 2139.56 1.48 0.0624773 0.0532107 25762 151098 -1 2033 22 1594 2330 150724 36202 0 0 150724 36202 2330 1820 0 0 8718 7222 0 0 12822 10172 0 0 2330 1917 0 0 60230 7925 0 0 64294 7146 0 0 2330 0 0 736 931 734 6561 0 0 3.0457 3.0457 -106.675 -3.0457 0 0 787024. 2723.27 0.24 0.04 0.09 -1 -1 0.24 0.0100072 0.00883392 136 47 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 5.33 vpr 63.47 MiB -1 -1 0.11 20492 1 0.01 -1 -1 33260 -1 -1 20 27 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64996 27 32 255 219 1 162 79 17 17 289 -1 unnamed_device 25.0 MiB 1.56 801 63.5 MiB 0.06 0.00 3.41829 -92.4304 -3.41829 3.41829 0.75 0.000132115 0.00010926 0.0108633 0.00895768 34 1955 19 6.89349e+06 281877 618332. 2139.56 1.15 0.0397692 0.0336207 25762 151098 -1 1554 16 866 1216 82901 20145 0 0 82901 20145 1216 993 0 0 4728 3887 0 0 6779 5586 0 0 1216 1048 0 0 34040 4541 0 0 34922 4090 0 0 1216 0 0 350 481 377 3318 0 0 3.6904 3.6904 -110.092 -3.6904 0 0 787024. 2723.27 0.27 0.03 0.10 -1 -1 0.27 0.00801908 0.00726936 106 26 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 8.08 vpr 64.50 MiB -1 -1 0.12 21064 1 0.01 -1 -1 33236 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66044 32 32 421 327 1 271 91 17 17 289 -1 unnamed_device 25.7 MiB 2.70 1526 64.5 MiB 0.12 0.00 3.70801 -120.691 -3.70801 3.70801 0.80 0.000205732 0.000171643 0.019935 0.0167516 34 4271 39 6.89349e+06 380534 618332. 2139.56 2.51 0.0881686 0.0717829 25762 151098 -1 3333 20 2116 3285 269752 59668 0 0 269752 59668 3285 2801 0 0 12158 10031 0 0 19079 14826 0 0 3285 2890 0 0 114121 15163 0 0 117824 13957 0 0 3285 0 0 1169 1601 1342 10295 0 0 4.74835 4.74835 -153.053 -4.74835 0 0 787024. 2723.27 0.27 0.07 0.10 -1 -1 0.27 0.0148213 0.0132563 185 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 6.39 vpr 64.13 MiB -1 -1 0.12 21224 1 0.01 -1 -1 33280 -1 -1 24 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65672 31 32 365 296 1 233 87 17 17 289 -1 unnamed_device 25.7 MiB 1.76 1045 64.1 MiB 0.09 0.00 4.58387 -130.323 -4.58387 4.58387 0.75 0.000161634 0.000132046 0.0168473 0.0141003 34 3296 27 6.89349e+06 338252 618332. 2139.56 1.76 0.0852274 0.0741628 25762 151098 -1 2411 23 2000 2885 217665 50475 0 0 217665 50475 2885 2564 0 0 10751 8763 0 0 16377 12694 0 0 2885 2621 0 0 96572 11652 0 0 88195 12181 0 0 2885 0 0 885 1100 1082 8322 0 0 4.65895 4.65895 -151.627 -4.65895 0 0 787024. 2723.27 0.25 0.05 0.18 -1 -1 0.25 0.0120094 0.0105719 155 60 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 6.18 vpr 63.85 MiB -1 -1 0.11 20844 1 0.01 -1 -1 33188 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65380 32 32 331 280 1 221 85 17 17 289 -1 unnamed_device 25.5 MiB 1.86 1152 63.8 MiB 0.07 0.00 3.42429 -116.689 -3.42429 3.42429 0.76 0.000152104 0.000124543 0.0147167 0.0128623 34 2899 25 6.89349e+06 295971 618332. 2139.56 1.43 0.054298 0.0468685 25762 151098 -1 2525 20 1674 2186 181245 39851 0 0 181245 39851 2186 1989 0 0 8140 6574 0 0 12635 9864 0 0 2186 2038 0 0 78208 10014 0 0 77890 9372 0 0 2186 0 0 512 512 326 4560 0 0 3.7789 3.7789 -140.523 -3.7789 0 0 787024. 2723.27 0.26 0.04 0.11 -1 -1 0.26 0.0104118 0.00921225 137 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 6.30 vpr 63.88 MiB -1 -1 0.12 20484 1 0.02 -1 -1 33408 -1 -1 21 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65408 32 32 326 263 1 203 85 17 17 289 -1 unnamed_device 25.3 MiB 1.99 1083 63.9 MiB 0.08 0.00 4.09751 -117.709 -4.09751 4.09751 0.96 0.000240769 0.000212275 0.0129578 0.0107776 34 2777 49 6.89349e+06 295971 618332. 2139.56 1.59 0.0720627 0.0613838 25762 151098 -1 2273 19 1326 1968 163670 36455 0 0 163670 36455 1968 1616 0 0 7456 6013 0 0 11343 9029 0 0 1968 1695 0 0 70346 9295 0 0 70589 8807 0 0 1968 0 0 642 847 755 5896 0 0 3.9857 3.9857 -137.085 -3.9857 0 0 787024. 2723.27 0.25 0.04 0.10 -1 -1 0.25 0.00969579 0.00860745 135 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 8.01 vpr 63.95 MiB -1 -1 0.12 20732 1 0.01 -1 -1 33144 -1 -1 26 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65488 31 32 373 294 1 231 89 17 17 289 -1 unnamed_device 25.4 MiB 1.69 1187 64.0 MiB 0.09 0.00 3.52995 -104.612 -3.52995 3.52995 0.76 0.000168667 0.000138659 0.0159674 0.0134275 36 2832 23 6.89349e+06 366440 648988. 2245.63 3.60 0.102322 0.0876335 26050 158493 -1 2461 23 1998 3066 227761 50024 0 0 227761 50024 3066 2252 0 0 11232 9037 0 0 15885 12711 0 0 3066 2464 0 0 96611 12085 0 0 97901 11475 0 0 3066 0 0 1068 1481 1550 10475 0 0 3.7617 3.7617 -125.872 -3.7617 0 0 828058. 2865.25 0.28 0.05 0.10 -1 -1 0.28 0.0129698 0.0113972 163 46 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 6.17 vpr 63.95 MiB -1 -1 0.11 20748 1 0.01 -1 -1 33240 -1 -1 24 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65484 30 32 325 268 1 210 86 17 17 289 -1 unnamed_device 25.6 MiB 1.76 1234 63.9 MiB 0.08 0.00 3.48179 -99.3124 -3.48179 3.48179 0.76 0.00015298 0.000125574 0.0132732 0.011022 34 3015 24 6.89349e+06 338252 618332. 2139.56 1.54 0.0624183 0.0530732 25762 151098 -1 2360 15 1255 2027 135910 31192 0 0 135910 31192 2027 1454 0 0 7516 6137 0 0 10695 8566 0 0 2027 1647 0 0 57763 6501 0 0 55882 6887 0 0 2027 0 0 772 792 879 6558 0 0 3.6096 3.6096 -117.325 -3.6096 0 0 787024. 2723.27 0.33 0.03 0.10 -1 -1 0.33 0.00954832 0.00869296 140 46 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 8.75 vpr 63.89 MiB -1 -1 0.10 20800 1 0.01 -1 -1 32936 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65428 32 32 350 275 1 215 86 17 17 289 -1 unnamed_device 25.5 MiB 2.29 1282 63.9 MiB 0.09 0.00 3.88598 -128.15 -3.88598 3.88598 0.73 0.000166139 0.000137224 0.0167499 0.0141145 36 3065 23 6.89349e+06 310065 648988. 2245.63 3.47 0.0920493 0.0785002 26050 158493 -1 2588 19 1602 2520 202168 43515 0 0 202168 43515 2520 2059 0 0 9140 7501 0 0 13541 10541 0 0 2520 2225 0 0 85706 11202 0 0 88741 9987 0 0 2520 0 0 918 984 872 7162 0 0 3.87409 3.87409 -143.574 -3.87409 0 0 828058. 2865.25 0.28 0.05 0.10 -1 -1 0.28 0.0105133 0.00934336 148 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 8.51 vpr 64.11 MiB -1 -1 0.11 20728 1 0.02 -1 -1 33400 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65648 32 32 386 307 1 246 90 17 17 289 -1 unnamed_device 25.6 MiB 2.47 1373 64.1 MiB 0.10 0.00 3.31614 -114.102 -3.31614 3.31614 1.01 0.000170849 0.00014053 0.0152393 0.0126751 38 2785 22 6.89349e+06 366440 678818. 2348.85 3.02 0.0987102 0.0841207 26626 170182 -1 2406 17 1473 2127 141266 31314 0 0 141266 31314 2127 1705 0 0 7287 5896 0 0 9941 8072 0 0 2127 1815 0 0 61505 6717 0 0 58279 7109 0 0 2127 0 0 654 757 702 5840 0 0 3.23035 3.23035 -127.132 -3.23035 0 0 902133. 3121.57 0.30 0.04 0.11 -1 -1 0.30 0.0106265 0.00947699 167 59 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 5.57 vpr 63.62 MiB -1 -1 0.11 20500 1 0.01 -1 -1 33188 -1 -1 20 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65144 29 32 269 229 1 173 81 17 17 289 -1 unnamed_device 25.0 MiB 1.20 748 63.6 MiB 0.05 0.00 3.40033 -100.227 -3.40033 3.40033 0.79 0.000127008 0.000103629 0.00925811 0.00765368 30 1908 24 6.89349e+06 281877 556674. 1926.21 1.59 0.0512414 0.0431803 25186 138497 -1 1573 18 1106 1434 83003 20441 0 0 83003 20441 1434 1207 0 0 5009 3942 0 0 6348 5299 0 0 1434 1306 0 0 33018 4758 0 0 35760 3929 0 0 1434 0 0 328 359 251 3116 0 0 3.08466 3.08466 -111.692 -3.08466 0 0 706193. 2443.58 0.24 0.03 0.09 -1 -1 0.24 0.00820049 0.00729562 110 28 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 6.14 vpr 63.56 MiB -1 -1 0.11 20820 1 0.01 -1 -1 33140 -1 -1 20 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65084 32 32 310 266 1 200 84 17 17 289 -1 unnamed_device 25.0 MiB 1.10 1092 63.6 MiB 0.08 0.00 3.42429 -108.008 -3.42429 3.42429 0.91 0.000151638 0.000125799 0.0130419 0.0108227 36 2477 22 6.89349e+06 281877 648988. 2245.63 1.80 0.05733 0.0487171 26050 158493 -1 2248 19 1580 2178 199819 41835 0 0 199819 41835 2178 1894 0 0 7808 6406 0 0 12394 9453 0 0 2178 1943 0 0 86011 11516 0 0 89250 10623 0 0 2178 0 0 598 555 572 5099 0 0 3.74465 3.74465 -130.101 -3.74465 0 0 828058. 2865.25 0.30 0.05 0.10 -1 -1 0.30 0.0111452 0.010039 125 55 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 5.60 vpr 63.96 MiB -1 -1 0.11 20700 1 0.01 -1 -1 33360 -1 -1 22 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65492 31 32 326 261 1 204 85 17 17 289 -1 unnamed_device 25.3 MiB 1.41 1201 64.0 MiB 0.08 0.00 3.81078 -114.366 -3.81078 3.81078 0.78 0.00015567 0.000127484 0.0136147 0.0112763 34 2710 23 6.89349e+06 310065 618332. 2139.56 1.55 0.051337 0.0436608 25762 151098 -1 2351 21 1518 2443 163252 37362 0 0 163252 37362 2443 1909 0 0 8828 7314 0 0 13740 10562 0 0 2443 2007 0 0 66324 8260 0 0 69474 7310 0 0 2443 0 0 925 1042 916 8128 0 0 3.91606 3.91606 -133.708 -3.91606 0 0 787024. 2723.27 0.26 0.05 0.09 -1 -1 0.26 0.0115756 0.0102754 137 29 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 8.17 vpr 63.72 MiB -1 -1 0.11 20460 1 0.01 -1 -1 33172 -1 -1 19 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65248 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 25.2 MiB 2.70 760 63.7 MiB 0.05 0.00 3.36962 -90.8618 -3.36962 3.36962 0.76 0.000129397 0.000106349 0.00842301 0.00706107 36 1839 18 6.89349e+06 267783 648988. 2245.63 2.57 0.0633029 0.0540679 26050 158493 -1 1654 21 989 1374 97371 22980 0 0 97371 22980 1374 1123 0 0 5182 4232 0 0 7638 6091 0 0 1374 1168 0 0 41935 5026 0 0 39868 5340 0 0 1374 0 0 385 377 428 3370 0 0 2.9987 2.9987 -101.788 -2.9987 0 0 828058. 2865.25 0.47 0.03 0.11 -1 -1 0.47 0.00836958 0.00738818 108 25 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 5.80 vpr 63.69 MiB -1 -1 0.11 20464 1 0.01 -1 -1 33132 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65216 32 32 278 238 1 182 82 17 17 289 -1 unnamed_device 25.3 MiB 1.92 936 63.7 MiB 0.05 0.00 3.26703 -103.618 -3.26703 3.26703 0.74 0.000130853 0.00010695 0.00705891 0.00590836 34 2205 25 6.89349e+06 253689 618332. 2139.56 1.17 0.0482121 0.0411655 25762 151098 -1 1892 20 1309 1867 140275 32024 0 0 140275 32024 1867 1561 0 0 6851 5590 0 0 10655 8296 0 0 1867 1679 0 0 60115 7382 0 0 58920 7516 0 0 1867 0 0 558 550 611 4702 0 0 3.38931 3.38931 -121.952 -3.38931 0 0 787024. 2723.27 0.29 0.04 0.09 -1 -1 0.29 0.00903683 0.00776061 114 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 5.77 vpr 63.81 MiB -1 -1 0.13 20984 1 0.02 -1 -1 33328 -1 -1 26 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65344 31 32 373 300 1 236 89 17 17 289 -1 unnamed_device 25.2 MiB 1.59 1199 63.8 MiB 0.07 0.00 3.60497 -116.633 -3.60497 3.60497 0.73 0.000197163 0.000165387 0.0108307 0.00905992 34 3272 23 6.89349e+06 366440 618332. 2139.56 1.38 0.067381 0.0575907 25762 151098 -1 2593 21 2167 2971 249063 54906 0 0 249063 54906 2971 2588 0 0 10932 8980 0 0 16726 12993 0 0 2971 2682 0 0 109402 13354 0 0 106061 14309 0 0 2971 0 0 804 969 1097 7759 0 0 3.826 3.826 -140.434 -3.826 0 0 787024. 2723.27 0.27 0.06 0.09 -1 -1 0.27 0.012027 0.0106466 160 60 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 5.35 vpr 63.43 MiB -1 -1 0.11 20480 1 0.01 -1 -1 33236 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64948 31 32 265 230 1 175 80 17 17 289 -1 unnamed_device 24.8 MiB 1.77 871 63.4 MiB 0.05 0.00 2.93195 -93.9974 -2.93195 2.93195 0.80 0.000126179 0.000103352 0.00952398 0.00800527 30 2182 24 6.89349e+06 239595 556674. 1926.21 0.77 0.0346627 0.0295708 25186 138497 -1 1939 22 1246 1734 124686 27774 0 0 124686 27774 1734 1500 0 0 6016 4758 0 0 8425 6751 0 0 1734 1554 0 0 52374 6672 0 0 54403 6539 0 0 1734 0 0 488 467 375 4040 0 0 2.83701 2.83701 -109.839 -2.83701 0 0 706193. 2443.58 0.30 0.07 0.09 -1 -1 0.30 0.0154167 0.0143482 108 30 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 5.96 vpr 64.02 MiB -1 -1 0.11 21080 1 0.01 -1 -1 33148 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65556 32 32 349 286 1 221 86 17 17 289 -1 unnamed_device 25.5 MiB 1.76 1253 64.0 MiB 0.08 0.00 3.27699 -103.618 -3.27699 3.27699 0.77 0.000169805 0.000139849 0.0140811 0.0117405 34 2957 21 6.89349e+06 310065 618332. 2139.56 1.40 0.0560703 0.0479333 25762 151098 -1 2483 19 1396 2007 150789 33901 0 0 150789 33901 2007 1689 0 0 7473 6039 0 0 11400 8866 0 0 2007 1762 0 0 63483 7962 0 0 64419 7583 0 0 2007 0 0 611 835 824 6301 0 0 3.6406 3.6406 -125.305 -3.6406 0 0 787024. 2723.27 0.35 0.14 0.10 -1 -1 0.35 0.0663648 0.065005 146 54 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 8.10 vpr 64.17 MiB -1 -1 0.12 20784 1 0.02 -1 -1 33276 -1 -1 26 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65712 31 32 396 325 1 259 89 17 17 289 -1 unnamed_device 25.8 MiB 1.90 1336 64.2 MiB 0.10 0.00 3.92778 -127.361 -3.92778 3.92778 0.77 0.000240246 0.00020252 0.0173608 0.0146326 36 3183 24 6.89349e+06 366440 648988. 2245.63 3.40 0.096953 0.0827635 26050 158493 -1 2737 21 2324 3325 240758 53532 0 0 240758 53532 3325 2779 0 0 11713 9543 0 0 17558 13549 0 0 3325 2957 0 0 103066 12648 0 0 101771 12056 0 0 3325 0 0 1001 1288 1152 9266 0 0 4.03459 4.03459 -151.259 -4.03459 0 0 828058. 2865.25 0.26 0.05 0.10 -1 -1 0.26 0.0115106 0.0100857 170 87 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 6.49 vpr 63.79 MiB -1 -1 0.12 20752 1 0.01 -1 -1 33176 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65324 32 32 303 262 1 200 82 17 17 289 -1 unnamed_device 25.2 MiB 2.58 1074 63.8 MiB 0.05 0.00 3.0513 -97.8122 -3.0513 3.0513 0.76 0.000142346 0.000116328 0.00825911 0.00687436 34 2606 24 6.89349e+06 253689 618332. 2139.56 1.27 0.0427513 0.0363296 25762 151098 -1 2080 24 1639 2273 155679 35373 0 0 155679 35373 2273 1830 0 0 8173 6690 0 0 12392 9560 0 0 2273 1880 0 0 66359 7594 0 0 64209 7819 0 0 2273 0 0 634 667 511 5377 0 0 2.90926 2.90926 -112.254 -2.90926 0 0 787024. 2723.27 0.26 0.04 0.09 -1 -1 0.26 0.0108329 0.00953203 124 54 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 5.07 vpr 63.63 MiB -1 -1 0.10 20400 1 0.00 -1 -1 33272 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65156 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 25.2 MiB 1.03 871 63.6 MiB 0.05 0.00 3.24503 -100.934 -3.24503 3.24503 0.78 0.000142328 0.000115607 0.00891834 0.00749636 34 2523 43 6.89349e+06 253689 618332. 2139.56 1.52 0.0511318 0.0435664 25762 151098 -1 1942 22 1320 1987 148820 36920 0 0 148820 36920 1987 1741 0 0 7406 6142 0 0 11996 9269 0 0 1987 1825 0 0 61858 9119 0 0 63586 8824 0 0 1987 0 0 667 614 619 5221 0 0 3.00505 3.00505 -113.473 -3.00505 0 0 787024. 2723.27 0.28 0.04 0.10 -1 -1 0.28 0.00986064 0.00864752 115 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 6.72 vpr 63.89 MiB -1 -1 0.11 20892 1 0.01 -1 -1 33196 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65428 32 32 318 257 1 198 86 17 17 289 -1 unnamed_device 25.3 MiB 1.89 1058 63.9 MiB 0.08 0.00 3.98738 -115.138 -3.98738 3.98738 0.86 0.000149979 0.000123415 0.0140767 0.011943 34 2683 20 6.89349e+06 310065 618332. 2139.56 1.85 0.0747182 0.0643152 25762 151098 -1 2209 18 1345 1900 134344 31054 0 0 134344 31054 1900 1649 0 0 7148 5788 0 0 10319 8360 0 0 1900 1759 0 0 55047 7277 0 0 58030 6221 0 0 1900 0 0 555 572 547 4694 0 0 3.77296 3.77296 -130.903 -3.77296 0 0 787024. 2723.27 0.37 0.03 0.20 -1 -1 0.37 0.00880754 0.00782864 133 27 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 6.43 vpr 63.64 MiB -1 -1 0.11 20832 1 0.01 -1 -1 33220 -1 -1 25 29 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65172 29 32 324 268 1 207 86 17 17 289 -1 unnamed_device 25.1 MiB 2.22 1151 63.6 MiB 0.08 0.00 3.15468 -91.5834 -3.15468 3.15468 0.78 0.00019197 0.000162877 0.013325 0.0112372 34 2532 22 6.89349e+06 352346 618332. 2139.56 1.45 0.0605062 0.0531408 25762 151098 -1 2165 20 1197 1741 124479 28433 0 0 124479 28433 1741 1411 0 0 6516 5182 0 0 9774 7828 0 0 1741 1453 0 0 52705 6410 0 0 52002 6149 0 0 1741 0 0 544 753 754 5574 0 0 3.08015 3.08015 -106.01 -3.08015 0 0 787024. 2723.27 0.30 0.04 0.16 -1 -1 0.30 0.0119054 0.0106731 138 49 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 8.62 vpr 63.88 MiB -1 -1 0.12 20780 1 0.01 -1 -1 33128 -1 -1 24 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65416 32 32 393 312 1 243 88 17 17 289 -1 unnamed_device 25.5 MiB 1.96 1226 63.9 MiB 0.08 0.00 4.55604 -146.357 -4.55604 4.55604 0.90 0.000181174 0.000149471 0.0128736 0.010552 36 3116 36 6.89349e+06 338252 648988. 2245.63 3.57 0.119515 0.0969034 26050 158493 -1 2653 22 1983 3100 226456 52023 0 0 226456 52023 3100 2456 0 0 10953 9204 0 0 16651 12906 0 0 3100 2588 0 0 94504 12014 0 0 98148 12855 0 0 3100 0 0 1117 1246 1592 10063 0 0 4.56459 4.56459 -167.653 -4.56459 0 0 828058. 2865.25 0.31 0.05 0.12 -1 -1 0.31 0.0149074 0.0134295 166 62 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 3.95 vpr 63.31 MiB -1 -1 0.10 20472 1 0.01 -1 -1 33052 -1 -1 17 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64832 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 24.9 MiB 0.52 760 63.3 MiB 0.05 0.00 2.85355 -89.4893 -2.85355 2.85355 0.84 0.000116839 9.5085e-05 0.009959 0.00843289 30 1852 19 6.89349e+06 239595 556674. 1926.21 0.86 0.0300999 0.0257822 25186 138497 -1 1472 21 679 1045 64018 15169 0 0 64018 15169 1045 788 0 0 3705 2936 0 0 5094 4236 0 0 1045 814 0 0 26961 3129 0 0 26168 3266 0 0 1045 0 0 366 359 263 2887 0 0 2.55636 2.55636 -98.7819 -2.55636 0 0 706193. 2443.58 0.26 0.02 0.10 -1 -1 0.26 0.00767262 0.00677847 92 -1 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 6.67 vpr 64.32 MiB -1 -1 0.12 20860 1 0.02 -1 -1 33116 -1 -1 27 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65860 32 32 412 334 1 269 91 17 17 289 -1 unnamed_device 25.6 MiB 1.85 1392 64.3 MiB 0.08 0.00 4.61883 -139.905 -4.61883 4.61883 0.92 0.000188303 0.000150016 0.0133674 0.0111907 36 3350 25 6.89349e+06 380534 648988. 2245.63 1.99 0.0769336 0.0659785 26050 158493 -1 2633 22 1891 2629 198179 43197 0 0 198179 43197 2629 2247 0 0 9336 7527 0 0 13999 10982 0 0 2629 2455 0 0 88622 9585 0 0 80964 10401 0 0 2629 0 0 738 736 850 6743 0 0 4.83884 4.83884 -168.537 -4.83884 0 0 828058. 2865.25 0.26 0.05 0.10 -1 -1 0.26 0.0124565 0.0109029 175 87 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 6.70 vpr 64.17 MiB -1 -1 0.12 20788 1 0.01 -1 -1 33132 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65712 32 32 376 318 1 259 87 17 17 289 -1 unnamed_device 25.6 MiB 2.27 1317 64.2 MiB 0.09 0.00 3.86068 -135.313 -3.86068 3.86068 0.75 0.0001618 0.000132946 0.0158569 0.013239 36 3171 25 6.89349e+06 324158 648988. 2245.63 1.82 0.0747229 0.064275 26050 158493 -1 2652 24 2582 3249 286315 60039 0 0 286315 60039 3249 2956 0 0 11559 9394 0 0 18426 14001 0 0 3249 3153 0 0 129263 14858 0 0 120569 15677 0 0 3249 0 0 667 706 636 6618 0 0 4.2008 4.2008 -161.842 -4.2008 0 0 828058. 2865.25 0.28 0.07 0.10 -1 -1 0.28 0.0141396 0.0125454 160 93 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 6.23 vpr 63.81 MiB -1 -1 0.12 20780 1 0.01 -1 -1 33084 -1 -1 22 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65340 32 32 360 293 1 227 86 17 17 289 -1 unnamed_device 25.3 MiB 1.77 1278 63.8 MiB 0.10 0.00 3.34918 -108.188 -3.34918 3.34918 0.86 0.000191052 0.000159084 0.0165783 0.013755 34 3129 24 6.89349e+06 310065 618332. 2139.56 1.67 0.0774299 0.0682021 25762 151098 -1 2512 20 1463 1964 163558 35555 0 0 163558 35555 1964 1713 0 0 7447 6060 0 0 11274 9103 0 0 1964 1757 0 0 72633 8469 0 0 68276 8453 0 0 1964 0 0 501 753 785 5619 0 0 3.42436 3.42436 -127.422 -3.42436 0 0 787024. 2723.27 0.26 0.04 0.10 -1 -1 0.26 0.0111906 0.00993261 152 57 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 7.25 vpr 64.05 MiB -1 -1 0.12 21052 1 0.02 -1 -1 33384 -1 -1 26 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65584 32 32 396 299 1 241 90 17 17 289 -1 unnamed_device 25.7 MiB 2.48 1239 64.0 MiB 0.12 0.00 4.8408 -145.59 -4.8408 4.8408 0.83 0.000226664 0.000191592 0.0171914 0.0144646 34 3525 44 6.89349e+06 366440 618332. 2139.56 1.92 0.082803 0.0707343 25762 151098 -1 2754 23 2278 3577 289843 62792 0 0 289843 62792 3577 2994 0 0 13104 10636 0 0 20413 15688 0 0 3577 3103 0 0 130333 13978 0 0 118839 16393 0 0 3577 0 0 1299 2068 1841 12187 0 0 4.7712 4.7712 -159.816 -4.7712 0 0 787024. 2723.27 0.25 0.06 0.09 -1 -1 0.25 0.013138 0.0115802 172 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 6.40 vpr 63.33 MiB -1 -1 0.12 20452 1 0.01 -1 -1 33292 -1 -1 15 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64852 30 32 224 207 1 138 77 17 17 289 -1 unnamed_device 25.0 MiB 0.88 755 63.3 MiB 0.04 0.00 2.51156 -81.974 -2.51156 2.51156 0.71 0.000107689 8.7527e-05 0.00754027 0.00622645 36 1687 19 6.89349e+06 211408 648988. 2245.63 2.92 0.048961 0.0412807 26050 158493 -1 1505 20 813 1087 91520 19704 0 0 91520 19704 1087 959 0 0 3898 3121 0 0 5901 4664 0 0 1087 1028 0 0 38660 5313 0 0 40887 4619 0 0 1087 0 0 274 224 271 2404 0 0 2.19217 2.19217 -91.1802 -2.19217 0 0 828058. 2865.25 0.31 0.03 0.10 -1 -1 0.31 0.00708385 0.00623608 82 29 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 5.22 vpr 63.72 MiB -1 -1 0.12 20900 1 0.01 -1 -1 33148 -1 -1 20 30 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65248 30 32 286 239 1 176 82 17 17 289 -1 unnamed_device 25.3 MiB 1.43 943 63.7 MiB 0.06 0.00 3.72389 -116.987 -3.72389 3.72389 0.72 0.000144483 0.000119941 0.0109651 0.00919193 34 2196 23 6.89349e+06 281877 618332. 2139.56 1.23 0.059723 0.0512063 25762 151098 -1 1914 21 1122 1660 139748 30063 0 0 139748 30063 1660 1265 0 0 6396 5218 0 0 9428 7643 0 0 1660 1319 0 0 58518 8002 0 0 62086 6616 0 0 1660 0 0 538 531 743 4816 0 0 3.5289 3.5289 -129.793 -3.5289 0 0 787024. 2723.27 0.27 0.04 0.09 -1 -1 0.27 0.0098242 0.0087287 119 29 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 6.16 vpr 63.64 MiB -1 -1 0.11 20212 1 0.01 -1 -1 33112 -1 -1 18 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65168 32 32 296 247 1 187 82 17 17 289 -1 unnamed_device 25.2 MiB 1.76 992 63.6 MiB 0.08 0.00 3.40529 -111.019 -3.40529 3.40529 0.77 0.000159207 0.000131191 0.0132845 0.0110569 34 2949 37 6.89349e+06 253689 618332. 2139.56 1.82 0.0782011 0.0669903 25762 151098 -1 2250 22 1568 2750 219168 49666 0 0 219168 49666 2750 2135 0 0 10060 8486 0 0 16808 12739 0 0 2750 2331 0 0 93267 12165 0 0 93533 11810 0 0 2750 0 0 1182 1475 1285 10102 0 0 3.8587 3.8587 -141.257 -3.8587 0 0 787024. 2723.27 0.30 0.06 0.11 -1 -1 0.30 0.0130467 0.0114555 120 31 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 5.74 vpr 63.38 MiB -1 -1 0.10 20516 1 0.01 -1 -1 33276 -1 -1 21 25 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64904 25 32 216 194 1 138 78 17 17 289 -1 unnamed_device 25.0 MiB 1.34 634 63.4 MiB 0.04 0.00 2.8908 -71.862 -2.8908 2.8908 0.79 0.000110668 9.0512e-05 0.00854883 0.00708055 34 1657 29 6.89349e+06 295971 618332. 2139.56 1.58 0.0502407 0.0432626 25762 151098 -1 1372 20 836 1280 90673 22141 0 0 90673 22141 1280 1090 0 0 4914 4056 0 0 7844 6154 0 0 1280 1176 0 0 38014 5003 0 0 37341 4662 0 0 1280 0 0 444 490 413 3841 0 0 2.82696 2.82696 -80.5452 -2.82696 0 0 787024. 2723.27 0.36 0.03 0.14 -1 -1 0.36 0.00923161 0.00835121 92 19 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 7.78 vpr 63.84 MiB -1 -1 0.12 20936 1 0.01 -1 -1 33120 -1 -1 23 32 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65376 32 32 376 307 1 242 87 17 17 289 -1 unnamed_device 25.3 MiB 2.30 1431 63.8 MiB 0.10 0.00 3.47055 -111.327 -3.47055 3.47055 0.81 0.000213163 0.000178519 0.0189925 0.015959 34 3850 48 6.89349e+06 324158 618332. 2139.56 2.83 0.128839 0.113083 25762 151098 -1 3069 21 2038 3058 254336 54332 0 0 254336 54332 3058 2426 0 0 11134 9136 0 0 16844 13132 0 0 3058 2627 0 0 108889 14212 0 0 111353 12799 0 0 3058 0 0 1020 1250 1241 8763 0 0 3.83326 3.83326 -137.965 -3.83326 0 0 787024. 2723.27 0.28 0.06 0.10 -1 -1 0.28 0.0126458 0.0112384 161 69 -1 -1 -1 -1 - fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 7.50 vpr 64.20 MiB -1 -1 0.13 20528 1 0.02 -1 -1 33272 -1 -1 29 31 0 0 success v8.0.0-8315-ga34adbee3 release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.19.0-46-generic x86_64 2023-07-20T17:59:21 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65744 31 32 409 331 1 264 92 17 17 289 -1 unnamed_device 25.8 MiB 2.23 1295 64.2 MiB 0.10 0.00 3.87458 -125.043 -3.87458 3.87458 1.05 0.00019259 0.000158188 0.0164648 0.0138378 34 3712 39 6.89349e+06 408721 618332. 2139.56 2.19 0.115834 0.100944 25762 151098 -1 2763 23 2277 3107 256096 55691 0 0 256096 55691 3107 2756 0 0 11352 9062 0 0 17514 13582 0 0 3107 2858 0 0 111794 13969 0 0 109222 13464 0 0 3107 0 0 830 947 865 7653 0 0 4.45449 4.45449 -157.419 -4.45449 0 0 787024. 2723.27 0.28 0.06 0.14 -1 -1 0.28 0.0136894 0.0121077 179 86 -1 -1 -1 -1 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 13.01 vpr 64.62 MiB -1 -1 0.23 20776 14 0.32 -1 -1 37184 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 32 32 277 309 1 204 91 17 17 289 -1 unnamed_device 26.0 MiB 0.50 1378 7231 1744 4948 539 64.6 MiB 0.07 0.00 6.64956 -137.729 -6.64956 6.64956 0.92 0.000632716 0.000575072 0.0261479 0.0237632 30 3487 22 6.55708e+06 325485 526063. 1820.29 8.95 0.233637 0.204911 21886 126133 -1 2952 17 1291 4047 208898 48126 7.0397 7.0397 -159.496 -7.0397 0 0 666494. 2306.21 0.30 0.08 0.12 -1 -1 0.30 0.0300777 0.0271641 183 182 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 5.92 vpr 64.54 MiB -1 -1 0.24 20920 14 0.37 -1 -1 36484 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66084 30 32 272 304 1 210 93 17 17 289 -1 unnamed_device 25.9 MiB 0.61 1311 9333 2235 6108 990 64.5 MiB 0.08 0.00 6.85276 -131.872 -6.85276 6.85276 0.92 0.000649129 0.000581203 0.032511 0.0294038 28 3614 50 6.55708e+06 373705 500653. 1732.36 1.71 0.158092 0.139325 21310 115450 -1 3174 18 1472 4182 254412 58624 6.97296 6.97296 -152.967 -6.97296 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0306368 0.0276364 184 181 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 6.78 vpr 64.71 MiB -1 -1 0.21 20564 11 0.29 -1 -1 36548 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 32 32 280 312 1 205 90 17 17 289 -1 unnamed_device 26.1 MiB 0.40 1407 12150 3150 7318 1682 64.7 MiB 0.10 0.00 6.11164 -120.209 -6.11164 6.11164 0.94 0.00063414 0.000575954 0.0419915 0.0380829 38 3262 17 6.55708e+06 313430 638502. 2209.35 2.79 0.192962 0.170177 23326 155178 -1 2798 16 1199 4025 206043 47328 6.23184 6.23184 -135.315 -6.23184 0 0 851065. 2944.86 0.35 0.08 0.15 -1 -1 0.35 0.0286593 0.0259247 186 185 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 11.72 vpr 64.52 MiB -1 -1 0.21 20460 12 0.41 -1 -1 36712 -1 -1 30 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 29 32 275 307 1 205 91 17 17 289 -1 unnamed_device 26.2 MiB 0.65 1294 5191 1004 3798 389 64.5 MiB 0.05 0.00 6.46824 -120.665 -6.46824 6.46824 0.94 0.000646145 0.0005803 0.0207791 0.0188519 34 3543 40 6.55708e+06 361650 585099. 2024.56 7.41 0.307186 0.269852 22462 138074 -1 2924 19 1385 4587 264846 60299 6.8013 6.8013 -136.028 -6.8013 0 0 742403. 2568.87 0.30 0.09 0.13 -1 -1 0.30 0.0309371 0.0276789 190 186 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 5.67 vpr 64.99 MiB -1 -1 0.23 20456 13 0.35 -1 -1 36544 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 302 334 1 233 95 17 17 289 -1 unnamed_device 26.5 MiB 0.55 1524 8087 1945 5806 336 65.0 MiB 0.08 0.00 6.5981 -142.96 -6.5981 6.5981 0.94 0.00069246 0.000623756 0.0309153 0.0280035 30 3946 21 6.55708e+06 373705 526063. 1820.29 1.53 0.136509 0.121224 21886 126133 -1 3225 17 1489 4442 211441 49595 6.7993 6.7993 -158.191 -6.7993 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0324692 0.0294062 210 207 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 8.12 vpr 64.79 MiB -1 -1 0.22 21052 13 0.29 -1 -1 36356 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66348 32 32 292 324 1 217 96 17 17 289 -1 unnamed_device 26.4 MiB 0.39 1398 13236 3407 8189 1640 64.8 MiB 0.11 0.00 6.4387 -129.863 -6.4387 6.4387 0.90 0.000634402 0.0005695 0.0428769 0.0386291 38 3046 28 6.55708e+06 385760 638502. 2209.35 4.19 0.25234 0.220661 23326 155178 -1 2655 15 1164 3591 179874 42540 6.70864 6.70864 -145.504 -6.70864 0 0 851065. 2944.86 0.34 0.07 0.15 -1 -1 0.34 0.0283232 0.0256826 198 197 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 5.28 vpr 64.22 MiB -1 -1 0.18 20456 12 0.25 -1 -1 36456 -1 -1 27 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65760 27 32 229 261 1 176 86 17 17 289 -1 unnamed_device 25.6 MiB 0.34 1010 12182 3339 7276 1567 64.2 MiB 0.09 0.00 5.95024 -108.676 -5.95024 5.95024 0.92 0.000536394 0.000487834 0.0374739 0.0341014 28 2686 46 6.55708e+06 325485 500653. 1732.36 1.58 0.141127 0.12478 21310 115450 -1 2260 15 1045 2746 153564 36159 6.07044 6.07044 -122.346 -6.07044 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0221717 0.0200124 152 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 7.90 vpr 64.38 MiB -1 -1 0.20 20416 12 0.23 -1 -1 36404 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 31 32 229 261 1 184 85 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1184 11431 3105 6288 2038 64.4 MiB 0.09 0.00 5.3864 -117.646 -5.3864 5.3864 0.91 0.000521964 0.000473894 0.0352742 0.0320049 36 2899 19 6.55708e+06 265210 612192. 2118.31 4.19 0.189962 0.166583 22750 144809 -1 2511 16 1051 3100 169295 38755 5.5066 5.5066 -129.316 -5.5066 0 0 782063. 2706.10 0.31 0.06 0.14 -1 -1 0.31 0.0216413 0.0194703 140 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 6.02 vpr 64.32 MiB -1 -1 0.21 20528 12 0.21 -1 -1 36280 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65868 31 32 235 267 1 192 89 17 17 289 -1 unnamed_device 26.0 MiB 0.29 1185 9197 2150 5824 1223 64.3 MiB 0.07 0.00 5.54278 -115.705 -5.54278 5.54278 0.97 0.00055144 0.000503883 0.0286503 0.0260112 34 3006 35 6.55708e+06 313430 585099. 2024.56 2.25 0.14768 0.129768 22462 138074 -1 2631 18 1108 2856 173701 39681 5.68226 5.68226 -132.833 -5.68226 0 0 742403. 2568.87 0.31 0.07 0.13 -1 -1 0.31 0.0249067 0.022333 150 142 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 7.71 vpr 64.39 MiB -1 -1 0.21 20520 13 0.24 -1 -1 36312 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65936 32 32 250 282 1 193 89 17 17 289 -1 unnamed_device 26.0 MiB 0.37 1122 9989 2715 5777 1497 64.4 MiB 0.08 0.00 6.10764 -131.404 -6.10764 6.10764 0.97 0.000596416 0.00054126 0.0325684 0.0294866 30 3085 33 6.55708e+06 301375 526063. 1820.29 3.87 0.240858 0.210032 21886 126133 -1 2385 14 1092 3013 146281 35280 6.37758 6.37758 -150.865 -6.37758 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0226005 0.0203716 157 155 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 8.11 vpr 63.77 MiB -1 -1 0.20 20648 12 0.23 -1 -1 36392 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65304 30 32 216 248 1 168 86 17 17 289 -1 unnamed_device 25.2 MiB 0.31 1000 10292 2838 6178 1276 63.8 MiB 0.08 0.00 6.15344 -116.886 -6.15344 6.15344 0.92 0.000514967 0.000469135 0.0306957 0.027747 28 2638 42 6.55708e+06 289320 500653. 1732.36 4.50 0.215538 0.188089 21310 115450 -1 2369 22 955 2525 180220 55628 6.27364 6.27364 -134.355 -6.27364 0 0 612192. 2118.31 0.26 0.07 0.10 -1 -1 0.26 0.0250283 0.022251 132 125 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 7.05 vpr 64.16 MiB -1 -1 0.21 20396 12 0.19 -1 -1 36360 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65704 32 32 236 268 1 183 86 17 17 289 -1 unnamed_device 25.6 MiB 0.31 1183 8780 2369 5508 903 64.2 MiB 0.07 0.00 5.71546 -130.205 -5.71546 5.71546 0.94 0.000533119 0.00048396 0.0278292 0.025241 34 2865 18 6.55708e+06 265210 585099. 2024.56 3.37 0.192047 0.167456 22462 138074 -1 2356 15 938 2640 141312 33526 5.88912 5.88912 -144.215 -5.88912 0 0 742403. 2568.87 0.31 0.06 0.13 -1 -1 0.31 0.0226054 0.0204304 146 141 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 10.02 vpr 64.76 MiB -1 -1 0.23 20888 13 0.32 -1 -1 36244 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 32 32 283 315 1 223 94 17 17 289 -1 unnamed_device 26.2 MiB 0.29 1389 8401 1844 5806 751 64.8 MiB 0.08 0.00 6.72796 -141.789 -6.72796 6.72796 0.92 0.000643931 0.000581807 0.0296784 0.0269347 30 3401 37 6.55708e+06 361650 526063. 1820.29 6.18 0.266636 0.233528 21886 126133 -1 2749 15 1220 3473 163985 39220 6.96836 6.96836 -158.997 -6.96836 0 0 666494. 2306.21 0.30 0.06 0.12 -1 -1 0.30 0.0262319 0.0236957 191 188 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 5.58 vpr 64.97 MiB -1 -1 0.23 21060 14 0.39 -1 -1 36608 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 32 32 303 335 1 241 94 17 17 289 -1 unnamed_device 26.4 MiB 0.51 1619 10105 2395 6688 1022 65.0 MiB 0.09 0.00 7.36616 -155.403 -7.36616 7.36616 0.94 0.000704797 0.000638745 0.0365839 0.0330896 30 3946 18 6.55708e+06 361650 526063. 1820.29 1.40 0.135501 0.120144 21886 126133 -1 3177 18 1405 4026 196823 46411 7.96715 7.96715 -178.918 -7.96715 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0340585 0.0307399 210 208 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 5.35 vpr 64.30 MiB -1 -1 0.19 20228 11 0.22 -1 -1 36100 -1 -1 27 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65844 29 32 225 257 1 174 88 17 17 289 -1 unnamed_device 25.9 MiB 0.30 960 11203 2717 6785 1701 64.3 MiB 0.09 0.00 5.53818 -107.159 -5.53818 5.53818 0.94 0.000533947 0.000474397 0.0332354 0.0299912 28 3232 24 6.55708e+06 325485 500653. 1732.36 1.71 0.112706 0.0992356 21310 115450 -1 2418 18 1081 2972 181531 42609 5.65838 5.65838 -122.851 -5.65838 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0249222 0.0223936 147 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 8.72 vpr 64.84 MiB -1 -1 0.24 20956 12 0.35 -1 -1 36720 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 301 333 1 230 97 17 17 289 -1 unnamed_device 26.4 MiB 0.45 1284 9421 2208 5969 1244 64.8 MiB 0.09 0.00 6.15144 -124.661 -6.15144 6.15144 0.94 0.000717683 0.000646273 0.0345412 0.0311882 38 3555 31 6.55708e+06 397815 638502. 2209.35 4.53 0.217983 0.191663 23326 155178 -1 2784 17 1388 4500 212837 51932 6.19064 6.19064 -141.221 -6.19064 0 0 851065. 2944.86 0.36 0.09 0.15 -1 -1 0.36 0.0326869 0.0295619 209 206 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 6.23 vpr 64.56 MiB -1 -1 0.23 20672 14 0.32 -1 -1 36632 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 32 32 277 309 1 217 93 17 17 289 -1 unnamed_device 26.0 MiB 0.36 1504 9753 2444 6369 940 64.6 MiB 0.09 0.00 6.10764 -131.576 -6.10764 6.10764 0.92 0.000633334 0.000568443 0.0335694 0.0303633 36 3622 29 6.55708e+06 349595 612192. 2118.31 2.24 0.166881 0.146889 22750 144809 -1 3119 20 1281 3728 215350 48553 6.74018 6.74018 -154.072 -6.74018 0 0 782063. 2706.10 0.32 0.08 0.14 -1 -1 0.32 0.031824 0.0285674 184 182 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 6.05 vpr 64.13 MiB -1 -1 0.20 20496 12 0.20 -1 -1 36284 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 32 32 227 259 1 178 87 17 17 289 -1 unnamed_device 25.6 MiB 0.41 1142 8535 2157 5910 468 64.1 MiB 0.07 0.00 5.7221 -131.875 -5.7221 5.7221 0.93 0.000548873 0.000498364 0.0277512 0.0252215 28 3062 50 6.55708e+06 277265 500653. 1732.36 2.01 0.135694 0.119325 21310 115450 -1 2543 65 1691 5665 974591 562972 5.8835 5.8835 -148.756 -5.8835 0 0 612192. 2118.31 0.26 0.38 0.11 -1 -1 0.26 0.0688224 0.0600313 140 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 6.92 vpr 63.70 MiB -1 -1 0.15 20220 10 0.11 -1 -1 36884 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65228 30 32 175 207 1 131 78 17 17 289 -1 unnamed_device 25.2 MiB 0.18 732 10370 2330 7597 443 63.7 MiB 0.06 0.00 4.61634 -101.866 -4.61634 4.61634 0.90 0.000368733 0.000332754 0.0253874 0.022912 26 2156 23 6.55708e+06 192880 477104. 1650.88 3.76 0.134454 0.117599 21022 109990 -1 1717 15 639 1566 95778 23027 4.88266 4.88266 -121.86 -4.88266 0 0 585099. 2024.56 0.25 0.04 0.09 -1 -1 0.25 0.0151002 0.0134979 91 84 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 9.95 vpr 64.41 MiB -1 -1 0.21 20412 13 0.23 -1 -1 36040 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 31 32 231 263 1 184 87 17 17 289 -1 unnamed_device 26.1 MiB 0.47 1138 8919 2086 5645 1188 64.4 MiB 0.07 0.00 6.05818 -122.571 -6.05818 6.05818 0.91 0.000534172 0.000484472 0.0281547 0.0256546 28 3260 47 6.55708e+06 289320 500653. 1732.36 6.14 0.232342 0.203351 21310 115450 -1 2631 17 1064 2713 169790 40323 6.05818 6.05818 -140.947 -6.05818 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.024589 0.022129 144 138 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 5.46 vpr 64.80 MiB -1 -1 0.23 20572 13 0.36 -1 -1 36784 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 304 336 1 224 95 17 17 289 -1 unnamed_device 26.4 MiB 0.51 1479 5927 1032 4596 299 64.8 MiB 0.06 0.00 6.4825 -130.643 -6.4825 6.4825 0.93 0.00068236 0.000607835 0.0228193 0.0207138 30 3785 36 6.55708e+06 373705 526063. 1820.29 1.35 0.139737 0.123206 21886 126133 -1 3121 19 1622 5032 245998 57132 7.3213 7.3213 -156.822 -7.3213 0 0 666494. 2306.21 0.28 0.09 0.12 -1 -1 0.28 0.0335089 0.0301314 211 209 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 20.79 vpr 64.78 MiB -1 -1 0.24 20976 13 0.36 -1 -1 36872 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 288 320 1 221 91 17 17 289 -1 unnamed_device 26.4 MiB 0.53 1482 6007 1129 4709 169 64.8 MiB 0.07 0.00 6.46824 -139.434 -6.46824 6.46824 0.93 0.000651223 0.000591086 0.0245098 0.0222032 36 4192 28 6.55708e+06 325485 612192. 2118.31 16.60 0.314321 0.274995 22750 144809 -1 3378 18 1497 4951 325545 70959 6.8411 6.8411 -161.313 -6.8411 0 0 782063. 2706.10 0.31 0.10 0.13 -1 -1 0.31 0.0315664 0.0282712 194 193 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 6.10 vpr 63.59 MiB -1 -1 0.17 20112 9 0.11 -1 -1 36056 -1 -1 24 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65120 26 32 152 184 1 120 82 17 17 289 -1 unnamed_device 25.1 MiB 0.21 693 11830 4244 5604 1982 63.6 MiB 0.07 0.00 4.4706 -82.7138 -4.4706 4.4706 0.91 0.000360952 0.000327032 0.0259736 0.0235309 28 1616 15 6.55708e+06 289320 500653. 1732.36 2.80 0.112263 0.0978064 21310 115450 -1 1570 15 612 1527 90091 21343 4.53934 4.53934 -96.6666 -4.53934 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.014114 0.012618 87 69 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 6.28 vpr 64.78 MiB -1 -1 0.20 20560 13 0.36 -1 -1 36564 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 287 319 1 210 89 17 17 289 -1 unnamed_device 26.2 MiB 0.30 1415 11573 3127 6460 1986 64.8 MiB 0.10 0.00 6.6419 -132.854 -6.6419 6.6419 0.92 0.000656451 0.000596353 0.04281 0.038766 30 3820 34 6.55708e+06 301375 526063. 1820.29 2.40 0.157933 0.139801 21886 126133 -1 3087 18 1351 4067 204319 47483 6.9633 6.9633 -152.455 -6.9633 0 0 666494. 2306.21 0.28 0.08 0.12 -1 -1 0.28 0.0300724 0.0270738 193 192 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 4.19 vpr 63.59 MiB -1 -1 0.15 19912 8 0.11 -1 -1 36052 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65112 32 32 154 186 1 120 80 17 17 289 -1 unnamed_device 25.2 MiB 0.15 766 6788 2139 3405 1244 63.6 MiB 0.04 0.00 3.37088 -79.3912 -3.37088 3.37088 0.91 0.000345582 0.000312336 0.015629 0.0142279 26 1695 16 6.55708e+06 192880 477104. 1650.88 1.02 0.0625308 0.0546876 21022 109990 -1 1558 15 564 1195 65957 16159 3.73148 3.73148 -94.978 -3.73148 0 0 585099. 2024.56 0.26 0.03 0.11 -1 -1 0.26 0.0135232 0.0120353 77 59 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 8.22 vpr 64.64 MiB -1 -1 0.20 20540 15 0.29 -1 -1 36468 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 32 32 254 286 1 199 92 17 17 289 -1 unnamed_device 26.2 MiB 0.42 1345 5267 929 3987 351 64.6 MiB 0.05 0.00 6.9593 -136.429 -6.9593 6.9593 0.95 0.000602616 0.000547865 0.0187914 0.0171524 38 2946 23 6.55708e+06 337540 638502. 2209.35 4.21 0.211064 0.183568 23326 155178 -1 2532 19 1094 3243 158376 36291 7.1605 7.1605 -153.186 -7.1605 0 0 851065. 2944.86 0.35 0.07 0.15 -1 -1 0.35 0.0280359 0.0251698 165 159 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 12.21 vpr 64.28 MiB -1 -1 0.21 20412 13 0.29 -1 -1 36336 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 32 32 260 292 1 207 90 17 17 289 -1 unnamed_device 25.8 MiB 0.33 1203 13557 3365 8576 1616 64.3 MiB 0.11 0.00 6.13718 -133.384 -6.13718 6.13718 0.93 0.000601101 0.000544987 0.0447377 0.0405476 28 3584 38 6.55708e+06 313430 500653. 1732.36 8.39 0.264634 0.233659 21310 115450 -1 2846 19 1426 4169 235000 54646 6.24972 6.24972 -148.251 -6.24972 0 0 612192. 2118.31 0.27 0.09 0.10 -1 -1 0.27 0.0297801 0.0266476 168 165 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 6.06 vpr 64.49 MiB -1 -1 0.21 20852 13 0.34 -1 -1 36544 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 279 311 1 212 93 17 17 289 -1 unnamed_device 25.9 MiB 0.29 1323 11433 2717 6838 1878 64.5 MiB 0.09 0.00 6.27164 -130.476 -6.27164 6.27164 0.94 0.000645306 0.000579878 0.0386628 0.0347725 34 3296 42 6.55708e+06 349595 585099. 2024.56 2.12 0.185924 0.163682 22462 138074 -1 2913 20 1587 4888 273544 64233 6.6791 6.6791 -152.766 -6.6791 0 0 742403. 2568.87 0.32 0.10 0.13 -1 -1 0.32 0.0325199 0.0291582 187 184 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 6.93 vpr 64.04 MiB -1 -1 0.20 20336 12 0.20 -1 -1 36384 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 32 32 238 270 1 189 87 17 17 289 -1 unnamed_device 25.7 MiB 0.39 1185 6039 1279 4640 120 64.0 MiB 0.06 0.00 5.57998 -122.255 -5.57998 5.57998 0.94 0.000557278 0.000503529 0.0203025 0.0184324 36 2985 22 6.55708e+06 277265 612192. 2118.31 3.15 0.151966 0.133147 22750 144809 -1 2593 14 1035 3016 174080 39983 5.82038 5.82038 -137.361 -5.82038 0 0 782063. 2706.10 0.32 0.06 0.14 -1 -1 0.32 0.0219126 0.0197947 147 143 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 4.64 vpr 64.16 MiB -1 -1 0.19 20456 11 0.19 -1 -1 36412 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65704 30 32 213 245 1 165 85 17 17 289 -1 unnamed_device 25.6 MiB 0.23 1000 12547 3434 7005 2108 64.2 MiB 0.09 0.00 5.38078 -112.862 -5.38078 5.38078 0.91 0.000513651 0.000459102 0.0364516 0.0329014 28 2491 15 6.55708e+06 277265 500653. 1732.36 1.12 0.102262 0.0904007 21310 115450 -1 2235 16 986 2552 136114 33383 5.74138 5.74138 -131.34 -5.74138 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.021231 0.0190445 131 122 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 7.26 vpr 64.26 MiB -1 -1 0.19 20580 11 0.21 -1 -1 36676 -1 -1 28 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65804 28 32 227 259 1 175 88 17 17 289 -1 unnamed_device 25.9 MiB 0.48 1033 12178 3269 6689 2220 64.3 MiB 0.09 0.00 5.50098 -107.86 -5.50098 5.50098 0.95 0.000501189 0.000452736 0.035122 0.0318292 28 2788 43 6.55708e+06 337540 500653. 1732.36 3.42 0.217502 0.189991 21310 115450 -1 2334 16 1048 2767 152540 36198 5.50098 5.50098 -121.368 -5.50098 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0231265 0.0207971 150 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 6.82 vpr 64.28 MiB -1 -1 0.20 20736 12 0.26 -1 -1 36524 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 32 32 274 306 1 206 90 17 17 289 -1 unnamed_device 25.8 MiB 0.31 1294 5316 980 4103 233 64.3 MiB 0.05 0.00 5.8417 -130.983 -5.8417 5.8417 0.94 0.000618986 0.000560243 0.0196641 0.0178842 28 3160 20 6.55708e+06 313430 500653. 1732.36 3.15 0.201155 0.176067 21310 115450 -1 2697 18 1264 3284 174768 42987 6.51144 6.51144 -155.403 -6.51144 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0287327 0.025875 181 179 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 7.84 vpr 64.37 MiB -1 -1 0.19 20556 12 0.20 -1 -1 36296 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 31 32 237 269 1 179 86 17 17 289 -1 unnamed_device 25.7 MiB 0.71 1222 5378 1108 3900 370 64.4 MiB 0.05 0.00 5.8835 -124.503 -5.8835 5.8835 0.93 0.00056451 0.000503516 0.0188166 0.0170283 28 3175 25 6.55708e+06 277265 500653. 1732.36 3.86 0.186166 0.161399 21310 115450 -1 2665 16 1121 2986 186856 42683 6.4845 6.4845 -145.408 -6.4845 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0228938 0.0205401 149 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 6.94 vpr 64.14 MiB -1 -1 0.20 20544 10 0.18 -1 -1 36300 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65684 29 32 220 252 1 163 83 17 17 289 -1 unnamed_device 25.6 MiB 0.21 1054 10883 3078 5661 2144 64.1 MiB 0.08 0.00 4.95846 -103.343 -4.95846 4.95846 0.93 0.000512711 0.000460545 0.0341299 0.0307932 28 2663 48 6.55708e+06 265210 500653. 1732.36 3.43 0.203367 0.177496 21310 115450 -1 2189 14 835 2456 133447 31435 5.29412 5.29412 -121.911 -5.29412 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0202282 0.018189 137 131 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 7.11 vpr 64.98 MiB -1 -1 0.24 21372 13 0.38 -1 -1 36744 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 315 347 1 239 95 17 17 289 -1 unnamed_device 26.4 MiB 0.30 1522 7871 1681 5622 568 65.0 MiB 0.08 0.00 6.6765 -138.374 -6.6765 6.6765 0.94 0.000744573 0.000670179 0.0305949 0.0277157 34 4031 22 6.55708e+06 373705 585099. 2024.56 3.10 0.20901 0.184459 22462 138074 -1 3537 15 1519 4674 280245 64085 7.0397 7.0397 -157.737 -7.0397 0 0 742403. 2568.87 0.31 0.09 0.13 -1 -1 0.31 0.0317101 0.0287911 221 220 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 8.56 vpr 64.61 MiB -1 -1 0.23 21036 14 0.39 -1 -1 36760 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 282 314 1 220 92 17 17 289 -1 unnamed_device 26.3 MiB 0.57 1410 13133 3370 7361 2402 64.6 MiB 0.11 0.00 6.05418 -134.601 -6.05418 6.05418 0.90 0.000623291 0.000564896 0.0441753 0.0397931 40 3069 20 6.55708e+06 337540 666494. 2306.21 4.28 0.243234 0.212256 23614 160646 -1 3124 20 1558 4813 368110 110109 6.45398 6.45398 -154.763 -6.45398 0 0 872365. 3018.56 0.34 0.12 0.14 -1 -1 0.34 0.0338635 0.0303956 191 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 7.68 vpr 64.36 MiB -1 -1 0.21 20556 12 0.19 -1 -1 36224 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 31 32 241 273 1 189 92 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1170 15410 4449 8084 2877 64.4 MiB 0.11 0.00 6.1213 -124.138 -6.1213 6.1213 0.95 0.000532625 0.000482277 0.0431618 0.0391864 36 2944 27 6.55708e+06 349595 612192. 2118.31 3.93 0.232243 0.203205 22750 144809 -1 2400 16 970 2617 151475 34776 6.6021 6.6021 -143.652 -6.6021 0 0 782063. 2706.10 0.33 0.06 0.14 -1 -1 0.33 0.0234492 0.021155 156 148 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 10.08 vpr 65.01 MiB -1 -1 0.24 20872 12 0.36 -1 -1 36696 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 31 32 307 339 1 235 96 17 17 289 -1 unnamed_device 26.4 MiB 0.51 1506 9951 2337 6622 992 65.0 MiB 0.09 0.00 6.5197 -135.086 -6.5197 6.5197 0.93 0.000711389 0.000641262 0.0364983 0.033146 30 3540 17 6.55708e+06 397815 526063. 1820.29 5.90 0.278094 0.244527 21886 126133 -1 3131 15 1353 3886 204978 47843 6.8803 6.8803 -155.831 -6.8803 0 0 666494. 2306.21 0.30 0.08 0.12 -1 -1 0.30 0.0308838 0.0280795 218 214 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 7.34 vpr 64.86 MiB -1 -1 0.23 21044 14 0.44 -1 -1 36972 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 31 32 293 325 1 224 92 17 17 289 -1 unnamed_device 26.4 MiB 0.37 1561 5888 1079 4231 578 64.9 MiB 0.06 0.00 6.65922 -133.175 -6.65922 6.65922 0.93 0.000702753 0.000640645 0.0236496 0.0215318 28 4329 45 6.55708e+06 349595 500653. 1732.36 3.26 0.15608 0.137681 21310 115450 -1 3496 22 2038 6286 409428 95153 7.46142 7.46142 -162.358 -7.46142 0 0 612192. 2118.31 0.27 0.13 0.11 -1 -1 0.27 0.0392753 0.0351839 202 200 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 8.16 vpr 64.70 MiB -1 -1 0.24 21120 13 0.32 -1 -1 36184 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 31 32 276 308 1 223 91 17 17 289 -1 unnamed_device 26.1 MiB 0.42 1425 13963 3681 8722 1560 64.7 MiB 0.12 0.00 6.4805 -134.563 -6.4805 6.4805 0.95 0.000629559 0.00056823 0.0486964 0.0440338 36 3523 25 6.55708e+06 337540 612192. 2118.31 4.06 0.287113 0.251527 22750 144809 -1 2923 21 1397 3957 214303 49858 6.7601 6.7601 -152.594 -6.7601 0 0 782063. 2706.10 0.33 0.08 0.14 -1 -1 0.33 0.0322986 0.0289017 185 183 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 15.72 vpr 64.49 MiB -1 -1 0.23 20680 13 0.33 -1 -1 36480 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 31 32 269 301 1 203 89 17 17 289 -1 unnamed_device 25.9 MiB 0.44 1336 11969 3132 7203 1634 64.5 MiB 0.10 0.00 5.83004 -117.199 -5.83004 5.83004 0.91 0.00062464 0.000566497 0.0416488 0.0375198 30 3442 31 6.55708e+06 313430 526063. 1820.29 11.67 0.260729 0.229075 21886 126133 -1 2869 33 1290 4339 323730 114040 6.15144 6.15144 -136.211 -6.15144 0 0 666494. 2306.21 0.29 0.14 0.12 -1 -1 0.29 0.047008 0.0419008 179 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 5.44 vpr 64.52 MiB -1 -1 0.21 20392 12 0.24 -1 -1 36328 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 32 32 264 296 1 196 88 17 17 289 -1 unnamed_device 26.0 MiB 0.26 1238 6913 1441 5073 399 64.5 MiB 0.06 0.00 5.71184 -117.572 -5.71184 5.71184 0.93 0.000595374 0.000540328 0.0244178 0.0221608 28 3264 21 6.55708e+06 289320 500653. 1732.36 1.77 0.114669 0.101529 21310 115450 -1 2989 20 1440 4129 251821 57754 5.91304 5.91304 -143.517 -5.91304 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0294693 0.0263452 171 169 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 9.82 vpr 65.02 MiB -1 -1 0.26 21724 14 0.51 -1 -1 37020 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 324 356 1 249 95 17 17 289 -1 unnamed_device 26.4 MiB 0.50 1758 9167 1976 6514 677 65.0 MiB 0.09 0.00 7.04736 -151.984 -7.04736 7.04736 0.90 0.00073501 0.000650282 0.0367402 0.0329572 36 4307 32 6.55708e+06 373705 612192. 2118.31 5.47 0.239416 0.211074 22750 144809 -1 3846 16 1641 5394 321052 72394 7.40796 7.40796 -171.518 -7.40796 0 0 782063. 2706.10 0.32 0.11 0.14 -1 -1 0.32 0.0346522 0.0314991 230 229 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 8.25 vpr 64.39 MiB -1 -1 0.20 20120 11 0.24 -1 -1 36364 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 31 32 249 281 1 192 89 17 17 289 -1 unnamed_device 25.9 MiB 0.43 1201 13157 3904 6958 2295 64.4 MiB 0.10 0.00 5.50098 -114.671 -5.50098 5.50098 0.94 0.000558387 0.000504429 0.0408273 0.036916 34 3559 24 6.55708e+06 313430 585099. 2024.56 4.35 0.218564 0.191302 22462 138074 -1 2846 16 1375 4036 260093 60490 5.89112 5.89112 -139.862 -5.89112 0 0 742403. 2568.87 0.31 0.08 0.13 -1 -1 0.31 0.0248399 0.0223554 163 156 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 6.49 vpr 64.71 MiB -1 -1 0.23 20924 13 0.36 -1 -1 36520 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 31 32 284 316 1 206 91 17 17 289 -1 unnamed_device 26.1 MiB 0.42 1370 8047 1669 5529 849 64.7 MiB 0.07 0.00 6.72996 -130.875 -6.72996 6.72996 0.91 0.000648516 0.000581172 0.0291617 0.0263167 28 3741 40 6.55708e+06 337540 500653. 1732.36 2.49 0.150366 0.132294 21310 115450 -1 3192 19 1384 4579 306391 66414 7.09056 7.09056 -154.19 -7.09056 0 0 612192. 2118.31 0.27 0.10 0.11 -1 -1 0.27 0.0319026 0.028494 193 191 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 8.61 vpr 64.75 MiB -1 -1 0.22 20828 12 0.33 -1 -1 36192 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 303 335 1 222 93 17 17 289 -1 unnamed_device 26.3 MiB 0.53 1525 9753 2284 6567 902 64.7 MiB 0.09 0.00 5.91304 -126.537 -5.91304 5.91304 0.92 0.000694652 0.000624603 0.0357254 0.0322753 48 3083 17 6.55708e+06 349595 816265. 2824.45 4.31 0.262237 0.22896 25054 189045 -1 2945 16 1147 4179 219085 49699 6.39384 6.39384 -142.766 -6.39384 0 0 986792. 3414.50 0.42 0.08 0.18 -1 -1 0.42 0.031172 0.0281849 210 208 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 8.01 vpr 64.78 MiB -1 -1 0.20 20692 13 0.31 -1 -1 36860 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 32 32 272 304 1 203 93 17 17 289 -1 unnamed_device 26.2 MiB 0.30 1366 6813 1497 4509 807 64.8 MiB 0.07 0.00 6.22784 -133.731 -6.22784 6.22784 0.94 0.000651199 0.000590829 0.0253776 0.0230076 44 3022 17 6.55708e+06 349595 742403. 2568.87 4.04 0.239235 0.210313 24478 177802 -1 2524 15 1037 3002 153025 35384 6.70864 6.70864 -148.714 -6.70864 0 0 937218. 3242.97 0.40 0.07 0.16 -1 -1 0.40 0.0273225 0.0247722 183 177 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 6.41 vpr 64.56 MiB -1 -1 0.21 20876 13 0.25 -1 -1 36980 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 32 32 271 303 1 212 90 17 17 289 -1 unnamed_device 26.0 MiB 0.36 1383 4914 889 3742 283 64.6 MiB 0.05 0.00 6.01698 -132.591 -6.01698 6.01698 0.91 0.000562885 0.000505441 0.0174735 0.0158109 34 3524 40 6.55708e+06 313430 585099. 2024.56 2.64 0.174957 0.153549 22462 138074 -1 2923 17 1246 3682 218860 49816 6.34038 6.34038 -149.397 -6.34038 0 0 742403. 2568.87 0.31 0.08 0.12 -1 -1 0.31 0.0276111 0.0247557 178 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 6.84 vpr 64.88 MiB -1 -1 0.23 20736 12 0.32 -1 -1 36708 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 288 320 1 223 94 17 17 289 -1 unnamed_device 26.4 MiB 0.55 1478 11383 2761 6876 1746 64.9 MiB 0.10 0.00 6.02864 -133.293 -6.02864 6.02864 0.93 0.000635174 0.000564489 0.0398246 0.0357863 34 3934 42 6.55708e+06 361650 585099. 2024.56 2.65 0.194911 0.171554 22462 138074 -1 3368 18 1334 4442 275411 62134 6.67144 6.67144 -154.034 -6.67144 0 0 742403. 2568.87 0.31 0.10 0.13 -1 -1 0.31 0.0325749 0.0293794 197 193 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 8.09 vpr 64.88 MiB -1 -1 0.24 21044 13 0.37 -1 -1 37336 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 306 338 1 233 95 17 17 289 -1 unnamed_device 26.3 MiB 0.50 1547 8087 1626 5819 642 64.9 MiB 0.08 0.00 6.46824 -137.216 -6.46824 6.46824 0.94 0.000704122 0.000627765 0.0306875 0.0278053 36 3843 21 6.55708e+06 373705 612192. 2118.31 3.89 0.205049 0.180816 22750 144809 -1 3316 15 1475 4535 251385 57419 6.70864 6.70864 -153.561 -6.70864 0 0 782063. 2706.10 0.32 0.09 0.13 -1 -1 0.32 0.0303038 0.0274368 212 211 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 5.01 vpr 64.66 MiB -1 -1 0.21 20404 14 0.36 -1 -1 36312 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 262 294 1 191 88 17 17 289 -1 unnamed_device 26.1 MiB 0.31 1289 8473 2236 5614 623 64.7 MiB 0.08 0.00 6.84876 -137.901 -6.84876 6.84876 0.93 0.000633419 0.000569786 0.0305386 0.0276408 30 3000 22 6.55708e+06 289320 526063. 1820.29 1.18 0.118208 0.104325 21886 126133 -1 2617 17 1168 3578 168819 40175 7.1187 7.1187 -156.293 -7.1187 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0265899 0.0239601 168 167 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 6.99 vpr 64.63 MiB -1 -1 0.22 21012 13 0.33 -1 -1 36504 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 32 32 291 323 1 224 94 17 17 289 -1 unnamed_device 26.3 MiB 0.43 1499 6271 1214 4551 506 64.6 MiB 0.06 0.00 6.65216 -135.411 -6.65216 6.65216 0.93 0.000649183 0.000586549 0.0226394 0.0205331 34 3903 44 6.55708e+06 361650 585099. 2024.56 2.98 0.18188 0.159792 22462 138074 -1 3365 16 1496 4410 260341 60234 7.13296 7.13296 -157.983 -7.13296 0 0 742403. 2568.87 0.30 0.09 0.13 -1 -1 0.30 0.0296399 0.0267521 198 196 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 8.15 vpr 65.08 MiB -1 -1 0.26 20768 13 0.35 -1 -1 36440 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 31 32 302 334 1 235 94 17 17 289 -1 unnamed_device 26.5 MiB 0.33 1434 8401 1843 5875 683 65.1 MiB 0.08 0.00 6.4799 -135.748 -6.4799 6.4799 0.94 0.000674821 0.000613339 0.0312183 0.0282736 36 3518 25 6.55708e+06 373705 612192. 2118.31 4.17 0.275552 0.24142 22750 144809 -1 3057 16 1347 4037 212170 50138 6.8405 6.8405 -154.035 -6.8405 0 0 782063. 2706.10 0.32 0.08 0.14 -1 -1 0.32 0.0305108 0.027621 213 209 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 7.76 vpr 64.90 MiB -1 -1 0.25 20712 12 0.38 -1 -1 36512 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 308 340 1 238 97 17 17 289 -1 unnamed_device 26.3 MiB 0.33 1589 11197 2983 6899 1315 64.9 MiB 0.10 0.00 6.10964 -134.46 -6.10964 6.10964 0.92 0.000713252 0.000643453 0.0404771 0.0365626 30 3846 21 6.55708e+06 397815 526063. 1820.29 3.77 0.262386 0.229736 21886 126133 -1 3227 16 1434 3949 189025 44884 6.55124 6.55124 -154.517 -6.55124 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0311517 0.0282864 216 213 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 7.37 vpr 64.04 MiB -1 -1 0.17 20208 11 0.16 -1 -1 36544 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 32 32 216 248 1 160 82 17 17 289 -1 unnamed_device 25.6 MiB 0.29 1043 8270 2193 4722 1355 64.0 MiB 0.06 0.00 5.08892 -106.669 -5.08892 5.08892 0.95 0.000492579 0.000447672 0.0257161 0.0233624 34 2548 49 6.55708e+06 216990 585099. 2024.56 3.78 0.228629 0.19856 22462 138074 -1 2145 18 890 2376 135276 31753 5.16992 5.16992 -123.994 -5.16992 0 0 742403. 2568.87 0.31 0.06 0.13 -1 -1 0.31 0.0225682 0.0201984 125 121 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 8.07 vpr 64.34 MiB -1 -1 0.22 20768 13 0.26 -1 -1 36560 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65880 32 32 254 286 1 194 88 17 17 289 -1 unnamed_device 25.9 MiB 0.43 1283 10033 2378 6116 1539 64.3 MiB 0.08 0.00 6.14684 -133.05 -6.14684 6.14684 0.96 0.000626423 0.000558938 0.0336692 0.0304084 36 3137 20 6.55708e+06 289320 612192. 2118.31 4.07 0.209254 0.183345 22750 144809 -1 2719 17 1118 3218 184518 41755 6.31084 6.31084 -146.175 -6.31084 0 0 782063. 2706.10 0.32 0.07 0.14 -1 -1 0.32 0.0270319 0.0244111 161 159 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 5.96 vpr 65.25 MiB -1 -1 0.26 21544 14 0.55 -1 -1 36720 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 338 370 1 252 97 17 17 289 -1 unnamed_device 26.8 MiB 0.32 1645 7201 1587 4956 658 65.2 MiB 0.08 0.00 7.13802 -146.534 -7.13802 7.13802 0.95 0.000794868 0.000704122 0.0307742 0.0278751 30 4467 23 6.55708e+06 397815 526063. 1820.29 1.74 0.152349 0.135051 21886 126133 -1 3419 16 1725 5491 253979 60022 7.25822 7.25822 -163.606 -7.25822 0 0 666494. 2306.21 0.29 0.09 0.12 -1 -1 0.29 0.0351653 0.0319757 245 243 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 11.44 vpr 64.62 MiB -1 -1 0.22 20812 13 0.36 -1 -1 36784 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 271 303 1 212 91 17 17 289 -1 unnamed_device 26.0 MiB 0.47 1483 8659 2075 5739 845 64.6 MiB 0.08 0.00 6.4779 -143.013 -6.4779 6.4779 0.96 0.000638719 0.000576815 0.0308211 0.0278798 30 3485 21 6.55708e+06 325485 526063. 1820.29 7.33 0.227493 0.198855 21886 126133 -1 2997 17 1272 3728 193414 44190 6.8385 6.8385 -164.022 -6.8385 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0293071 0.0264855 178 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 6.71 vpr 64.13 MiB -1 -1 0.20 20628 11 0.21 -1 -1 36212 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 30 32 224 256 1 165 85 17 17 289 -1 unnamed_device 25.6 MiB 0.20 1056 13105 3637 7273 2195 64.1 MiB 0.09 0.00 5.73878 -119.706 -5.73878 5.73878 0.93 0.000509575 0.000459487 0.0392824 0.0355575 30 2470 20 6.55708e+06 277265 526063. 1820.29 3.15 0.196251 0.171228 21886 126133 -1 2084 19 882 2579 121506 29479 5.85898 5.85898 -134.37 -5.85898 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0244864 0.0218699 139 133 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 9.26 vpr 65.03 MiB -1 -1 0.27 21260 15 0.65 -1 -1 36872 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 32 32 351 383 1 268 98 17 17 289 -1 unnamed_device 26.8 MiB 0.37 1738 6398 1188 4870 340 65.0 MiB 0.07 0.00 7.74667 -152.974 -7.74667 7.74667 0.91 0.000778125 0.000696602 0.027482 0.024552 36 4646 28 6.55708e+06 409870 612192. 2118.31 4.85 0.319472 0.279721 22750 144809 -1 3792 16 1760 5626 300520 70294 8.33801 8.33801 -177.387 -8.33801 0 0 782063. 2706.10 0.32 0.11 0.14 -1 -1 0.32 0.0381515 0.0346294 257 256 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 6.27 vpr 64.79 MiB -1 -1 0.22 21064 13 0.40 -1 -1 36444 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 297 329 1 215 92 17 17 289 -1 unnamed_device 26.3 MiB 0.37 1341 16445 4319 9553 2573 64.8 MiB 0.14 0.00 6.89196 -139.203 -6.89196 6.89196 0.93 0.000689363 0.000616908 0.0589697 0.053121 28 4241 39 6.55708e+06 337540 500653. 1732.36 2.23 0.182231 0.161337 21310 115450 -1 3254 17 1479 4308 268014 62539 7.25256 7.25256 -163.427 -7.25256 0 0 612192. 2118.31 0.28 0.10 0.11 -1 -1 0.28 0.0327059 0.0296085 203 202 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 10.53 vpr 64.25 MiB -1 -1 0.17 20136 11 0.16 -1 -1 36756 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 32 32 231 263 1 176 86 17 17 289 -1 unnamed_device 25.7 MiB 0.36 1079 10670 2760 6773 1137 64.3 MiB 0.08 0.00 5.16732 -114.648 -5.16732 5.16732 0.90 0.000524155 0.00047308 0.0325283 0.0293223 30 2635 29 6.55708e+06 265210 526063. 1820.29 6.94 0.240495 0.208944 21886 126133 -1 2348 15 1022 2912 146555 34817 5.28752 5.28752 -130.999 -5.28752 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0207041 0.0186029 141 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 5.94 vpr 65.05 MiB -1 -1 0.22 20972 12 0.38 -1 -1 36684 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 305 337 1 231 94 17 17 289 -1 unnamed_device 26.5 MiB 0.53 1510 9892 2482 6147 1263 65.0 MiB 0.09 0.00 6.1611 -129.511 -6.1611 6.1611 0.92 0.00069135 0.000625651 0.0356349 0.0321028 30 3825 29 6.55708e+06 361650 526063. 1820.29 1.78 0.146479 0.129139 21886 126133 -1 3149 18 1480 4554 223719 51843 6.79164 6.79164 -148.996 -6.79164 0 0 666494. 2306.21 0.30 0.09 0.12 -1 -1 0.30 0.0344191 0.0310988 213 210 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 10.31 vpr 64.33 MiB -1 -1 0.17 20136 12 0.23 -1 -1 36580 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65872 32 32 243 275 1 186 90 17 17 289 -1 unnamed_device 25.9 MiB 0.45 1168 10542 2561 6541 1440 64.3 MiB 0.08 0.00 6.06844 -127.107 -6.06844 6.06844 0.90 0.000601693 0.000543641 0.0315487 0.0285108 28 3149 38 6.55708e+06 313430 500653. 1732.36 6.62 0.205168 0.179413 21310 115450 -1 2691 15 1211 3433 198055 46191 6.38984 6.38984 -147.61 -6.38984 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0231827 0.0209031 153 148 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 8.21 vpr 64.20 MiB -1 -1 0.21 20480 12 0.23 -1 -1 36408 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65744 30 32 228 260 1 161 83 17 17 289 -1 unnamed_device 25.6 MiB 0.24 980 11063 3215 5473 2375 64.2 MiB 0.08 0.00 5.82944 -117.747 -5.82944 5.82944 0.93 0.000550653 0.000501424 0.036561 0.0331869 26 3052 48 6.55708e+06 253155 477104. 1650.88 4.55 0.212905 0.18585 21022 109990 -1 2388 23 1260 3586 388887 145620 6.31284 6.31284 -138.224 -6.31284 0 0 585099. 2024.56 0.26 0.13 0.10 -1 -1 0.26 0.0304629 0.027075 140 137 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 13.16 vpr 64.42 MiB -1 -1 0.23 20840 12 0.35 -1 -1 36396 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 29 32 275 307 1 206 92 17 17 289 -1 unnamed_device 25.8 MiB 0.29 1347 5681 1105 4130 446 64.4 MiB 0.06 0.00 5.58198 -108.434 -5.58198 5.58198 0.91 0.000658727 0.000585985 0.0220281 0.019911 30 3412 25 6.55708e+06 373705 526063. 1820.29 9.25 0.241865 0.21139 21886 126133 -1 2883 34 1302 4513 431927 192329 6.06278 6.06278 -126.548 -6.06278 0 0 666494. 2306.21 0.30 0.18 0.11 -1 -1 0.30 0.0498312 0.0442248 191 186 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 7.35 vpr 65.07 MiB -1 -1 0.22 20956 13 0.43 -1 -1 36440 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 330 362 1 256 97 17 17 289 -1 unnamed_device 26.3 MiB 0.71 1596 6091 1106 4589 396 65.1 MiB 0.06 0.00 7.25056 -149.828 -7.25056 7.25056 0.91 0.000723801 0.000655234 0.0243608 0.0220643 36 3936 20 6.55708e+06 397815 612192. 2118.31 2.94 0.20257 0.178531 22750 144809 -1 3417 16 1582 4403 234662 55827 7.28976 7.28976 -166.224 -7.28976 0 0 782063. 2706.10 0.32 0.09 0.14 -1 -1 0.32 0.0327134 0.0297023 238 235 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 6.43 vpr 64.84 MiB -1 -1 0.23 20648 12 0.29 -1 -1 36384 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 290 322 1 220 96 17 17 289 -1 unnamed_device 26.4 MiB 0.51 1327 12360 3501 7386 1473 64.8 MiB 0.11 0.00 6.2023 -126.011 -6.2023 6.2023 0.93 0.000673521 0.000610971 0.0418386 0.0379164 30 3690 33 6.55708e+06 385760 526063. 1820.29 2.31 0.158391 0.140719 21886 126133 -1 2976 29 1496 4347 308025 105107 6.7641 6.7641 -147.776 -6.7641 0 0 666494. 2306.21 0.29 0.13 0.12 -1 -1 0.29 0.0441246 0.0393626 200 195 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 7.42 vpr 64.27 MiB -1 -1 0.18 20680 12 0.19 -1 -1 36732 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65812 32 32 214 246 1 164 84 17 17 289 -1 unnamed_device 25.7 MiB 0.64 1135 10149 2462 6257 1430 64.3 MiB 0.08 0.00 5.60752 -119.408 -5.60752 5.60752 0.92 0.000502799 0.000452076 0.0305093 0.0275446 30 2634 30 6.55708e+06 241100 526063. 1820.29 3.49 0.194843 0.169742 21886 126133 -1 2293 16 862 2574 131469 30510 5.72772 5.72772 -134.035 -5.72772 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0218434 0.0196604 126 119 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 7.43 vpr 64.44 MiB -1 -1 0.22 20472 12 0.27 -1 -1 36568 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65984 31 32 244 276 1 182 87 17 17 289 -1 unnamed_device 26.0 MiB 0.33 1177 12375 3387 6891 2097 64.4 MiB 0.10 0.00 5.89878 -117.754 -5.89878 5.89878 0.93 0.000588772 0.000535227 0.0395517 0.0359014 30 3039 24 6.55708e+06 289320 526063. 1820.29 3.64 0.222238 0.194881 21886 126133 -1 2526 19 1183 3741 175898 41521 6.02158 6.02158 -135.21 -6.02158 0 0 666494. 2306.21 0.29 0.07 0.12 -1 -1 0.29 0.0271989 0.0243751 154 151 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 7.39 vpr 64.64 MiB -1 -1 0.22 20692 11 0.24 -1 -1 36828 -1 -1 30 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66192 30 32 276 308 1 210 92 17 17 289 -1 unnamed_device 26.0 MiB 0.17 1415 11684 3005 6575 2104 64.6 MiB 0.10 0.00 5.55244 -111.164 -5.55244 5.55244 0.93 0.000647797 0.000587375 0.0398381 0.0359443 34 4089 40 6.55708e+06 361650 585099. 2024.56 3.72 0.192579 0.169651 22462 138074 -1 3205 17 1264 4093 272253 59262 6.15344 6.15344 -131.879 -6.15344 0 0 742403. 2568.87 0.31 0.09 0.13 -1 -1 0.31 0.0282435 0.0253851 190 185 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 7.70 vpr 64.46 MiB -1 -1 0.20 20780 11 0.25 -1 -1 36472 -1 -1 27 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 28 32 253 285 1 181 87 17 17 289 -1 unnamed_device 26.0 MiB 0.18 1110 9879 2527 6538 814 64.5 MiB 0.08 0.00 5.44692 -100.796 -5.44692 5.44692 0.93 0.000591701 0.000534996 0.0333418 0.0300429 36 2693 31 6.55708e+06 325485 612192. 2118.31 4.03 0.242973 0.212343 22750 144809 -1 2264 15 922 3266 170122 39155 5.56712 5.56712 -113.853 -5.56712 0 0 782063. 2706.10 0.32 0.06 0.14 -1 -1 0.32 0.0237392 0.0213595 172 166 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 7.07 vpr 64.30 MiB -1 -1 0.21 20564 13 0.27 -1 -1 36256 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65844 30 32 235 267 1 174 87 17 17 289 -1 unnamed_device 25.9 MiB 0.35 1100 4887 930 3736 221 64.3 MiB 0.05 0.00 6.0017 -114.095 -6.0017 6.0017 0.89 0.000533527 0.000481575 0.0169211 0.0154041 34 2741 21 6.55708e+06 301375 585099. 2024.56 3.31 0.161137 0.140335 22462 138074 -1 2425 15 921 2851 159241 36701 6.3623 6.3623 -130.551 -6.3623 0 0 742403. 2568.87 0.31 0.06 0.13 -1 -1 0.31 0.0223051 0.0201046 148 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 5.10 vpr 64.51 MiB -1 -1 0.19 20720 12 0.23 -1 -1 36568 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66056 32 32 264 296 1 207 92 17 17 289 -1 unnamed_device 26.0 MiB 0.34 1297 12305 3023 7216 2066 64.5 MiB 0.09 0.00 5.8417 -128.186 -5.8417 5.8417 0.90 0.000554223 0.000495326 0.0375162 0.0337237 30 3234 22 6.55708e+06 337540 526063. 1820.29 1.43 0.12747 0.112424 21886 126133 -1 2577 15 1202 3317 168811 40013 6.2833 6.2833 -150.363 -6.2833 0 0 666494. 2306.21 0.28 0.06 0.11 -1 -1 0.28 0.0258241 0.023375 174 169 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 7.05 vpr 64.46 MiB -1 -1 0.21 20564 13 0.36 -1 -1 36648 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 31 32 278 310 1 202 90 17 17 289 -1 unnamed_device 25.8 MiB 0.35 1311 5517 1031 4052 434 64.5 MiB 0.05 0.00 6.88936 -133.054 -6.88936 6.88936 0.92 0.000647762 0.000584347 0.0207517 0.0188527 28 3253 23 6.55708e+06 325485 500653. 1732.36 3.19 0.197463 0.172013 21310 115450 -1 2883 19 1436 4373 244079 55911 7.17156 7.17156 -153.12 -7.17156 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0320299 0.0288214 187 185 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 5.20 vpr 64.83 MiB -1 -1 0.23 20712 14 0.33 -1 -1 36708 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 290 322 1 214 92 17 17 289 -1 unnamed_device 26.2 MiB 0.30 1361 13340 3813 7167 2360 64.8 MiB 0.11 0.00 6.8411 -135.771 -6.8411 6.8411 0.91 0.00062271 0.000561831 0.0457354 0.0413255 30 3144 23 6.55708e+06 337540 526063. 1820.29 1.34 0.144139 0.127572 21886 126133 -1 2588 19 1262 3534 163137 39516 7.22102 7.22102 -153.683 -7.22102 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0312138 0.0279127 196 195 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 13.96 vpr 64.54 MiB -1 -1 0.22 21228 14 0.31 -1 -1 36396 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 269 301 1 199 89 17 17 289 -1 unnamed_device 26.0 MiB 0.34 1146 8009 1888 5047 1074 64.5 MiB 0.07 0.00 6.30884 -127.798 -6.30884 6.30884 0.97 0.000619234 0.000561601 0.0286023 0.0259184 30 3067 48 6.55708e+06 301375 526063. 1820.29 9.99 0.315543 0.27605 21886 126133 -1 2427 28 1375 4635 298215 91491 6.65518 6.65518 -145.715 -6.65518 0 0 666494. 2306.21 0.28 0.12 0.12 -1 -1 0.28 0.0395468 0.0351974 175 174 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 14.37 vpr 64.90 MiB -1 -1 0.25 21336 13 0.42 -1 -1 36620 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 296 328 1 221 93 17 17 289 -1 unnamed_device 26.5 MiB 0.37 1422 6183 1209 4389 585 64.9 MiB 0.06 0.00 6.76916 -133.677 -6.76916 6.76916 0.96 0.000691048 0.000622609 0.0243303 0.0220396 28 4132 33 6.55708e+06 349595 500653. 1732.36 10.26 0.290677 0.254882 21310 115450 -1 3635 23 1844 5727 400675 91440 7.28916 7.28916 -158.916 -7.28916 0 0 612192. 2118.31 0.27 0.13 0.11 -1 -1 0.27 0.0406205 0.0364399 205 201 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 5.47 vpr 64.18 MiB -1 -1 0.20 20800 13 0.23 -1 -1 36268 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65724 30 32 234 266 1 186 86 17 17 289 -1 unnamed_device 25.8 MiB 0.48 1247 8024 1931 5322 771 64.2 MiB 0.07 0.00 6.22784 -128.097 -6.22784 6.22784 0.90 0.000559115 0.000507955 0.0264477 0.0241007 28 3152 24 6.55708e+06 289320 500653. 1732.36 1.70 0.116309 0.10334 21310 115450 -1 2630 21 1216 3233 218773 57442 6.57618 6.57618 -146.95 -6.57618 0 0 612192. 2118.31 0.26 0.09 0.10 -1 -1 0.26 0.0288423 0.0256173 147 143 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 6.19 vpr 64.78 MiB -1 -1 0.25 20932 13 0.56 -1 -1 36532 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 30 32 291 323 1 232 94 17 17 289 -1 unnamed_device 26.3 MiB 0.41 1415 6271 1187 4688 396 64.8 MiB 0.06 0.00 6.73256 -132.364 -6.73256 6.73256 0.92 0.000685535 0.000621806 0.0243063 0.022106 36 3617 20 6.55708e+06 385760 612192. 2118.31 1.94 0.150585 0.133445 22750 144809 -1 3016 19 1498 4248 213887 51415 7.0025 7.0025 -151.423 -7.0025 0 0 782063. 2706.10 0.32 0.09 0.13 -1 -1 0.32 0.0339842 0.0305829 203 200 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 7.29 vpr 64.81 MiB -1 -1 0.23 20788 14 0.39 -1 -1 37040 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 32 32 274 306 1 210 91 17 17 289 -1 unnamed_device 26.2 MiB 0.56 1358 8659 1951 6310 398 64.8 MiB 0.06 0.00 6.61036 -137.215 -6.61036 6.61036 0.94 0.00038777 0.000353023 0.024411 0.0221825 28 3771 27 6.55708e+06 325485 500653. 1732.36 3.14 0.125966 0.111192 21310 115450 -1 3246 18 1370 4536 274705 61330 7.0815 7.0815 -164.534 -7.0815 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0308294 0.0278221 181 179 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 7.24 vpr 64.45 MiB -1 -1 0.24 20768 13 0.29 -1 -1 36736 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 31 32 266 298 1 204 88 17 17 289 -1 unnamed_device 25.9 MiB 0.35 1349 8278 1974 5355 949 64.4 MiB 0.07 0.00 6.42704 -130.579 -6.42704 6.42704 0.92 0.000635303 0.000573579 0.0296981 0.0268644 34 3511 38 6.55708e+06 301375 585099. 2024.56 3.36 0.174852 0.153717 22462 138074 -1 2911 18 1302 3842 225853 50546 6.75044 6.75044 -151.174 -6.75044 0 0 742403. 2568.87 0.32 0.08 0.13 -1 -1 0.32 0.0283848 0.0254108 175 173 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 8.46 vpr 64.55 MiB -1 -1 0.24 20836 13 0.27 -1 -1 36476 -1 -1 27 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 30 32 266 298 1 204 89 17 17 289 -1 unnamed_device 26.0 MiB 0.47 1263 13751 4201 7179 2371 64.5 MiB 0.11 0.00 6.1611 -115.224 -6.1611 6.1611 0.92 0.000600209 0.00054389 0.0456557 0.0413949 28 4205 49 6.55708e+06 325485 500653. 1732.36 4.44 0.172513 0.152729 21310 115450 -1 3289 20 1565 4686 376453 95353 7.07584 7.07584 -149.602 -7.07584 0 0 612192. 2118.31 0.27 0.12 0.11 -1 -1 0.27 0.0319662 0.0287041 178 175 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 8.62 vpr 65.07 MiB -1 -1 0.24 20952 14 0.45 -1 -1 36524 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 32 32 310 342 1 238 101 17 17 289 -1 unnamed_device 26.5 MiB 0.44 1520 7151 1439 5129 583 65.1 MiB 0.07 0.00 6.7601 -141.653 -6.7601 6.7601 0.93 0.000727721 0.000653122 0.027031 0.0244932 36 3501 23 6.55708e+06 446035 612192. 2118.31 4.40 0.249541 0.219423 22750 144809 -1 3151 20 1703 5082 260911 60831 7.1579 7.1579 -163.412 -7.1579 0 0 782063. 2706.10 0.32 0.10 0.14 -1 -1 0.32 0.0364274 0.0327516 218 215 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 15.12 vpr 64.48 MiB -1 -1 0.25 21024 11 0.35 -1 -1 36772 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 29 32 262 294 1 203 90 17 17 289 -1 unnamed_device 26.0 MiB 0.53 1295 6522 1299 4707 516 64.5 MiB 0.06 0.00 5.61152 -113.195 -5.61152 5.61152 0.93 0.000628334 0.000574666 0.023891 0.0215626 28 3697 38 6.55708e+06 349595 500653. 1732.36 11.04 0.218402 0.191186 21310 115450 -1 3005 17 1331 4059 255860 57412 6.09232 6.09232 -135.828 -6.09232 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0287617 0.0259795 177 173 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 5.24 vpr 64.21 MiB -1 -1 0.18 20120 13 0.20 -1 -1 36448 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65752 32 32 222 254 1 180 88 17 17 289 -1 unnamed_device 25.7 MiB 0.35 1208 10033 2479 6508 1046 64.2 MiB 0.08 0.00 5.77918 -133.225 -5.77918 5.77918 0.94 0.000514932 0.00046849 0.0298369 0.027149 28 3106 18 6.55708e+06 289320 500653. 1732.36 1.56 0.105059 0.0931637 21310 115450 -1 2597 18 1078 2812 189582 42904 6.33838 6.33838 -157.264 -6.33838 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0237788 0.0212802 138 127 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 8.03 vpr 64.62 MiB -1 -1 0.21 20680 14 0.28 -1 -1 36564 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 32 32 267 299 1 205 92 17 17 289 -1 unnamed_device 26.0 MiB 0.47 1338 8786 2060 6174 552 64.6 MiB 0.07 0.00 6.6771 -139.917 -6.6771 6.6771 0.91 0.000664958 0.000590804 0.0286533 0.0258537 36 3612 23 6.55708e+06 337540 612192. 2118.31 4.10 0.182971 0.160924 22750 144809 -1 2964 17 1178 3617 197428 46284 6.9175 6.9175 -155.344 -6.9175 0 0 782063. 2706.10 0.32 0.07 0.13 -1 -1 0.32 0.0271926 0.0244602 179 172 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 7.10 vpr 64.93 MiB -1 -1 0.24 21384 15 0.53 -1 -1 36384 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 32 32 334 366 1 263 97 17 17 289 -1 unnamed_device 26.5 MiB 0.34 1709 5869 1164 4191 514 64.9 MiB 0.07 0.00 7.46001 -156.735 -7.46001 7.46001 0.92 0.000779393 0.000702614 0.0251001 0.0227855 30 4927 44 6.55708e+06 397815 526063. 1820.29 2.93 0.17053 0.150468 21886 126133 -1 3716 18 1751 5210 263598 60393 7.70041 7.70041 -177.924 -7.70041 0 0 666494. 2306.21 0.30 0.10 0.12 -1 -1 0.30 0.0385954 0.0350361 241 239 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 6.55 vpr 64.16 MiB -1 -1 0.19 20760 11 0.21 -1 -1 36276 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65700 32 32 220 252 1 157 86 17 17 289 -1 unnamed_device 25.6 MiB 0.48 1028 5756 1180 4285 291 64.2 MiB 0.05 0.00 5.42258 -113.307 -5.42258 5.42258 0.92 0.000518568 0.000471845 0.0181037 0.0164088 26 2901 44 6.55708e+06 265210 477104. 1650.88 2.73 0.113908 0.0996964 21022 109990 -1 2375 24 1161 3732 428395 163376 6.18498 6.18498 -143.463 -6.18498 0 0 585099. 2024.56 0.26 0.14 0.10 -1 -1 0.26 0.029192 0.0259557 129 125 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 6.47 vpr 64.32 MiB -1 -1 0.19 20168 12 0.23 -1 -1 36800 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65868 31 32 244 276 1 193 89 17 17 289 -1 unnamed_device 25.9 MiB 0.31 1205 6821 1354 4638 829 64.3 MiB 0.06 0.00 6.08312 -125.639 -6.08312 6.08312 0.92 0.000551924 0.00050105 0.0227346 0.0206703 36 3143 34 6.55708e+06 313430 612192. 2118.31 2.78 0.171254 0.150081 22750 144809 -1 2484 17 1108 3191 176457 41920 6.45798 6.45798 -141.47 -6.45798 0 0 782063. 2706.10 0.32 0.07 0.13 -1 -1 0.32 0.0258242 0.0232294 156 151 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 14.09 vpr 64.67 MiB -1 -1 0.24 20720 12 0.38 -1 -1 36664 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 32 32 300 332 1 237 96 17 17 289 -1 unnamed_device 26.1 MiB 0.38 1469 9951 2618 6485 848 64.7 MiB 0.09 0.00 5.9201 -131.593 -5.9201 5.9201 0.94 0.000691472 0.000615095 0.0361073 0.032707 32 4445 48 6.55708e+06 385760 554710. 1919.41 9.95 0.331217 0.289268 22174 131602 -1 3594 22 1912 5637 423276 114987 6.6831 6.6831 -157.607 -6.6831 0 0 701300. 2426.64 0.31 0.13 0.13 -1 -1 0.31 0.0385667 0.034521 213 205 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 13.09 vpr 64.33 MiB -1 -1 0.23 20700 12 0.30 -1 -1 36436 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65872 32 32 271 303 1 211 90 17 17 289 -1 unnamed_device 25.7 MiB 0.38 1389 8331 1865 6003 463 64.3 MiB 0.08 0.00 6.2813 -131.805 -6.2813 6.2813 0.95 0.000631393 0.000573655 0.0297449 0.0269517 30 3867 48 6.55708e+06 313430 526063. 1820.29 9.14 0.258339 0.226676 21886 126133 -1 3102 17 1277 3831 205589 46912 6.6027 6.6027 -153.6 -6.6027 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0285982 0.0257741 181 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 8.07 vpr 64.80 MiB -1 -1 0.24 21392 14 0.57 -1 -1 36332 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 327 359 1 242 95 17 17 289 -1 unnamed_device 26.2 MiB 0.64 1671 11543 2996 7456 1091 64.8 MiB 0.11 0.00 7.09622 -146.756 -7.09622 7.09622 0.93 0.000749217 0.000677134 0.0456152 0.0412108 38 4115 22 6.55708e+06 373705 638502. 2209.35 3.42 0.236991 0.20901 23326 155178 -1 3573 19 1694 5651 296699 66773 7.53782 7.53782 -167.747 -7.53782 0 0 851065. 2944.86 0.34 0.11 0.15 -1 -1 0.34 0.0399025 0.0360068 234 232 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 7.92 vpr 64.30 MiB -1 -1 0.21 20648 12 0.27 -1 -1 36624 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65848 30 32 246 278 1 191 87 17 17 289 -1 unnamed_device 26.0 MiB 0.52 1284 13527 3800 7239 2488 64.3 MiB 0.10 0.00 6.01898 -117.232 -6.01898 6.01898 0.95 0.000610399 0.000551044 0.044172 0.0398864 38 2876 18 6.55708e+06 301375 638502. 2209.35 3.86 0.268534 0.234987 23326 155178 -1 2423 14 947 3057 141901 33076 6.25738 6.25738 -129.556 -6.25738 0 0 851065. 2944.86 0.34 0.06 0.15 -1 -1 0.34 0.0236295 0.0213223 160 155 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 6.97 vpr 64.08 MiB -1 -1 0.19 20864 11 0.25 -1 -1 36484 -1 -1 26 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65616 27 32 219 251 1 163 85 17 17 289 -1 unnamed_device 25.5 MiB 0.35 900 5851 1344 3581 926 64.1 MiB 0.05 0.00 5.51064 -100.013 -5.51064 5.51064 0.94 0.00050787 0.000460558 0.0190638 0.0173348 28 2818 39 6.55708e+06 313430 500653. 1732.36 3.28 0.173621 0.150582 21310 115450 -1 2314 18 1079 3038 170210 42049 5.87324 5.87324 -120.497 -5.87324 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0242292 0.0217345 140 134 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 8.64 vpr 65.19 MiB -1 -1 0.27 21564 13 0.55 -1 -1 36556 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 32 32 380 412 1 282 104 17 17 289 -1 unnamed_device 27.0 MiB 0.53 1950 18404 4591 11225 2588 65.2 MiB 0.17 0.00 6.8013 -139.508 -6.8013 6.8013 0.93 0.000835112 0.000742362 0.0691776 0.0622924 34 5228 29 6.55708e+06 482200 585099. 2024.56 3.96 0.291099 0.257045 22462 138074 -1 4321 20 2156 6991 497672 127673 7.0417 7.0417 -163.002 -7.0417 0 0 742403. 2568.87 0.33 0.16 0.13 -1 -1 0.33 0.0464486 0.041989 286 285 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 7.35 vpr 64.52 MiB -1 -1 0.23 20924 14 0.32 -1 -1 36368 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 31 32 277 309 1 210 91 17 17 289 -1 unnamed_device 25.9 MiB 0.27 1332 7639 1822 5338 479 64.5 MiB 0.07 0.00 6.88996 -136.389 -6.88996 6.88996 0.93 0.000661198 0.00060074 0.0281542 0.0256045 30 3296 25 6.55708e+06 337540 526063. 1820.29 3.51 0.233839 0.204181 21886 126133 -1 2888 16 1247 3422 170143 39665 7.16956 7.16956 -156.147 -7.16956 0 0 666494. 2306.21 0.30 0.07 0.12 -1 -1 0.30 0.0275974 0.0249193 188 184 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 5.54 vpr 64.20 MiB -1 -1 0.22 20512 12 0.21 -1 -1 36292 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65736 32 32 229 261 1 178 91 17 17 289 -1 unnamed_device 25.6 MiB 0.38 1244 7843 1649 5230 964 64.2 MiB 0.06 0.00 5.95024 -133.449 -5.95024 5.95024 0.91 0.000540657 0.000489031 0.024244 0.0220683 28 3098 38 6.55708e+06 325485 500653. 1732.36 1.64 0.122343 0.10792 21310 115450 -1 2730 45 1092 3254 590813 313803 6.07044 6.07044 -147.877 -6.07044 0 0 612192. 2118.31 0.27 0.24 0.11 -1 -1 0.27 0.0511368 0.0450316 145 134 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 7.68 vpr 64.59 MiB -1 -1 0.20 20388 13 0.34 -1 -1 36552 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 32 32 263 295 1 201 90 17 17 289 -1 unnamed_device 26.1 MiB 0.49 1287 7125 1609 5108 408 64.6 MiB 0.06 0.00 6.3623 -131.885 -6.3623 6.3623 0.91 0.00056857 0.000510895 0.0246196 0.0222799 34 3158 35 6.55708e+06 313430 585099. 2024.56 3.71 0.250384 0.21826 22462 138074 -1 2757 16 1170 3582 193816 44877 6.5635 6.5635 -147.052 -6.5635 0 0 742403. 2568.87 0.31 0.07 0.12 -1 -1 0.31 0.0269202 0.0242117 169 168 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 8.53 vpr 64.97 MiB -1 -1 0.25 21012 13 0.41 -1 -1 37240 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 31 32 321 353 1 256 98 17 17 289 -1 unnamed_device 26.3 MiB 0.32 1670 11123 2853 7320 950 65.0 MiB 0.10 0.00 6.6399 -137.993 -6.6399 6.6399 0.92 0.000643554 0.000582611 0.0381795 0.0345114 36 3904 29 6.55708e+06 421925 612192. 2118.31 4.43 0.279975 0.245542 22750 144809 -1 3392 17 1464 4546 242752 55520 7.0815 7.0815 -156.658 -7.0815 0 0 782063. 2706.10 0.32 0.09 0.14 -1 -1 0.32 0.0348318 0.0316285 233 228 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 8.20 vpr 64.57 MiB -1 -1 0.22 20748 11 0.30 -1 -1 36680 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 30 32 287 319 1 212 93 17 17 289 -1 unnamed_device 26.2 MiB 0.30 1371 11013 2777 6591 1645 64.6 MiB 0.10 0.00 5.55444 -107.668 -5.55444 5.55444 0.93 0.000670032 0.000600095 0.0386645 0.0349629 44 3158 17 6.55708e+06 373705 742403. 2568.87 4.21 0.251656 0.220745 24478 177802 -1 2704 16 1208 4255 214892 48886 5.74338 5.74338 -122.134 -5.74338 0 0 937218. 3242.97 0.38 0.07 0.17 -1 -1 0.38 0.0275925 0.0248925 199 196 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 6.14 vpr 64.71 MiB -1 -1 0.24 20888 15 0.45 -1 -1 35920 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 32 32 296 328 1 223 93 17 17 289 -1 unnamed_device 26.3 MiB 0.61 1573 8283 1929 5503 851 64.7 MiB 0.08 0.00 7.25622 -151.279 -7.25622 7.25622 0.93 0.000703565 0.000630062 0.0310189 0.0281699 30 3633 31 6.55708e+06 349595 526063. 1820.29 1.82 0.146821 0.13006 21886 126133 -1 3123 17 1378 4357 216630 50087 7.40596 7.40596 -169.664 -7.40596 0 0 666494. 2306.21 0.28 0.08 0.12 -1 -1 0.28 0.0308618 0.027818 202 201 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 8.33 vpr 64.52 MiB -1 -1 0.24 21352 13 0.41 -1 -1 36296 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 285 317 1 224 94 17 17 289 -1 unnamed_device 26.1 MiB 0.54 1476 9679 2155 6321 1203 64.5 MiB 0.08 0.00 6.6765 -142.241 -6.6765 6.6765 0.91 0.000631868 0.000570661 0.033028 0.0298123 30 3554 24 6.55708e+06 361650 526063. 1820.29 4.14 0.25306 0.221161 21886 126133 -1 2918 17 1260 3846 183753 42552 7.2383 7.2383 -164.859 -7.2383 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0297121 0.02673 194 190 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 5.47 vpr 64.42 MiB -1 -1 0.21 20644 12 0.25 -1 -1 36572 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 29 32 239 271 1 189 90 17 17 289 -1 unnamed_device 26.0 MiB 0.51 1204 8934 2237 5876 821 64.4 MiB 0.07 0.00 6.0801 -125.534 -6.0801 6.0801 0.89 0.000532263 0.000483173 0.0274194 0.024957 28 3189 27 6.55708e+06 349595 500653. 1732.36 1.65 0.11716 0.103922 21310 115450 -1 2764 21 1452 3938 224981 53984 6.2813 6.2813 -146.197 -6.2813 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0288022 0.0257424 157 150 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 6.46 vpr 64.10 MiB -1 -1 0.21 20516 11 0.20 -1 -1 36252 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65636 32 32 235 267 1 176 85 17 17 289 -1 unnamed_device 25.5 MiB 0.26 952 14035 4009 7528 2498 64.1 MiB 0.10 0.00 5.54018 -111.263 -5.54018 5.54018 0.91 0.000522678 0.000470316 0.0423549 0.0382632 36 2625 26 6.55708e+06 253155 612192. 2118.31 2.77 0.178806 0.157179 22750 144809 -1 2131 20 1087 2910 160403 39351 6.10198 6.10198 -130.971 -6.10198 0 0 782063. 2706.10 0.32 0.07 0.14 -1 -1 0.32 0.0261079 0.0233796 145 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 16.33 vpr 64.80 MiB -1 -1 0.22 20920 13 0.41 -1 -1 36404 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 31 32 294 326 1 226 92 17 17 289 -1 unnamed_device 26.2 MiB 0.59 1439 12719 3244 7088 2387 64.8 MiB 0.11 0.00 6.4387 -131.153 -6.4387 6.4387 0.92 0.000687286 0.000624991 0.0453507 0.0410734 34 4660 48 6.55708e+06 349595 585099. 2024.56 12.03 0.371007 0.327747 22462 138074 -1 3478 21 1812 6041 410407 91825 7.3611 7.3611 -158.691 -7.3611 0 0 742403. 2568.87 0.30 0.13 0.12 -1 -1 0.30 0.0395341 0.0355585 203 201 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 7.21 vpr 63.99 MiB -1 -1 0.20 20680 10 0.22 -1 -1 36704 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65524 29 32 219 251 1 164 85 17 17 289 -1 unnamed_device 25.4 MiB 0.22 913 14035 5246 6939 1850 64.0 MiB 0.10 0.00 5.09292 -99.2405 -5.09292 5.09292 0.90 0.000528602 0.000475427 0.0410118 0.0370443 34 2404 20 6.55708e+06 289320 585099. 2024.56 3.59 0.18377 0.160299 22462 138074 -1 2075 15 980 2835 152073 37736 5.33332 5.33332 -116.092 -5.33332 0 0 742403. 2568.87 0.32 0.06 0.13 -1 -1 0.32 0.0212317 0.019059 137 130 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 6.29 vpr 64.24 MiB -1 -1 0.19 20420 14 0.24 -1 -1 36532 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65780 32 32 239 271 1 186 88 17 17 289 -1 unnamed_device 25.9 MiB 0.61 1158 13153 3647 7119 2387 64.2 MiB 0.10 0.00 6.58503 -138.344 -6.58503 6.58503 0.94 0.000566139 0.000510947 0.040771 0.0370424 28 3476 45 6.55708e+06 289320 500653. 1732.36 2.25 0.147147 0.129736 21310 115450 -1 2763 14 1137 3231 204538 47472 7.18603 7.18603 -165.083 -7.18603 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0232284 0.0209603 146 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 5.39 vpr 64.48 MiB -1 -1 0.22 20924 13 0.32 -1 -1 36584 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 31 32 266 298 1 208 93 17 17 289 -1 unnamed_device 25.9 MiB 0.38 1220 13113 3406 7382 2325 64.5 MiB 0.10 0.00 5.98744 -129.966 -5.98744 5.98744 0.91 0.000549909 0.000496875 0.0408713 0.0368684 30 3420 24 6.55708e+06 361650 526063. 1820.29 1.53 0.137395 0.121776 21886 126133 -1 2679 17 1325 3747 181095 43888 6.34804 6.34804 -148.475 -6.34804 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0265092 0.0237858 180 173 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 5.25 vpr 64.17 MiB -1 -1 0.20 20484 12 0.19 -1 -1 36296 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65712 31 32 225 257 1 178 89 17 17 289 -1 unnamed_device 25.6 MiB 0.40 1163 6029 1206 4357 466 64.2 MiB 0.05 0.00 5.32992 -116.93 -5.32992 5.32992 0.93 0.00053387 0.000487856 0.0186723 0.0169543 28 2915 31 6.55708e+06 313430 500653. 1732.36 1.54 0.104102 0.0918272 21310 115450 -1 2507 16 967 2480 149110 34103 5.60952 5.60952 -134.494 -5.60952 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0235686 0.0212053 138 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 7.07 vpr 64.49 MiB -1 -1 0.23 20736 12 0.25 -1 -1 36780 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 288 320 1 216 90 17 17 289 -1 unnamed_device 26.2 MiB 0.36 1459 10743 2927 6675 1141 64.5 MiB 0.09 0.00 5.87384 -126.375 -5.87384 5.87384 0.93 0.000677481 0.000605397 0.037749 0.0340036 32 3941 50 6.55708e+06 313430 554710. 1919.41 3.10 0.218467 0.190946 22174 131602 -1 3383 24 1629 5368 500610 154602 6.30318 6.30318 -151.615 -6.30318 0 0 701300. 2426.64 0.30 0.15 0.13 -1 -1 0.30 0.0363726 0.0323708 195 193 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 6.87 vpr 64.62 MiB -1 -1 0.23 21020 13 0.37 -1 -1 36408 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 31 32 282 314 1 222 92 17 17 289 -1 unnamed_device 26.2 MiB 0.57 1296 9614 2415 5873 1326 64.6 MiB 0.09 0.00 6.3205 -129.242 -6.3205 6.3205 0.91 0.000650327 0.00058757 0.0344984 0.0312529 34 3973 43 6.55708e+06 349595 585099. 2024.56 2.66 0.180458 0.158608 22462 138074 -1 3085 17 1414 4327 235656 56179 7.0809 7.0809 -148.998 -7.0809 0 0 742403. 2568.87 0.30 0.08 0.13 -1 -1 0.30 0.029509 0.0264764 193 189 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 6.18 vpr 64.28 MiB -1 -1 0.19 20440 11 0.20 -1 -1 36488 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65824 32 32 233 265 1 183 89 17 17 289 -1 unnamed_device 25.9 MiB 0.27 1116 11177 2875 7084 1218 64.3 MiB 0.08 0.00 5.45012 -119.477 -5.45012 5.45012 0.95 0.000539557 0.000486958 0.0323996 0.0293783 28 3098 50 6.55708e+06 301375 500653. 1732.36 2.32 0.145332 0.128473 21310 115450 -1 2688 51 1180 3608 874816 535081 5.69052 5.69052 -139.612 -5.69052 0 0 612192. 2118.31 0.27 0.34 0.11 -1 -1 0.27 0.0571017 0.0501829 148 138 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 5.12 vpr 64.23 MiB -1 -1 0.20 20556 13 0.27 -1 -1 36504 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65768 32 32 254 286 1 196 88 17 17 289 -1 unnamed_device 25.7 MiB 0.35 1165 7498 1705 5278 515 64.2 MiB 0.07 0.00 6.30884 -128.988 -6.30884 6.30884 0.88 0.000554165 0.000499976 0.0251904 0.0229136 30 3180 42 6.55708e+06 289320 526063. 1820.29 1.47 0.135334 0.119871 21886 126133 -1 2544 16 1203 3444 159747 40235 6.7229 6.7229 -152.17 -6.7229 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0253066 0.0228737 164 159 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 8.91 vpr 64.75 MiB -1 -1 0.21 20592 13 0.32 -1 -1 36560 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 285 317 1 216 92 17 17 289 -1 unnamed_device 26.3 MiB 0.82 1369 12098 3252 7054 1792 64.8 MiB 0.10 0.00 6.3969 -138.761 -6.3969 6.3969 0.90 0.000652226 0.000590427 0.0421226 0.0380656 34 3997 31 6.55708e+06 337540 585099. 2024.56 4.55 0.273491 0.239561 22462 138074 -1 3109 22 1387 3983 289402 80028 7.1991 7.1991 -164.502 -7.1991 0 0 742403. 2568.87 0.30 0.10 0.11 -1 -1 0.30 0.0343756 0.0307464 193 190 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 5.72 vpr 64.31 MiB -1 -1 0.22 20760 11 0.24 -1 -1 36532 -1 -1 27 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 29 32 243 275 1 185 88 17 17 289 -1 unnamed_device 25.9 MiB 0.24 1096 12958 3826 6869 2263 64.3 MiB 0.10 0.00 5.22078 -100.831 -5.22078 5.22078 0.93 0.00054846 0.000497186 0.0394362 0.0356952 36 2776 31 6.55708e+06 325485 612192. 2118.31 1.98 0.152785 0.134846 22750 144809 -1 2339 16 1018 3006 166395 38788 5.62318 5.62318 -116.985 -5.62318 0 0 782063. 2706.10 0.32 0.06 0.14 -1 -1 0.32 0.0251038 0.0226326 160 154 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 7.77 vpr 65.01 MiB -1 -1 0.25 21284 14 0.42 -1 -1 36704 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 318 350 1 251 99 17 17 289 -1 unnamed_device 26.3 MiB 0.43 1594 7167 1380 5462 325 65.0 MiB 0.07 0.00 7.1971 -154.531 -7.1971 7.1971 0.93 0.000734123 0.000664018 0.027402 0.0248957 30 4580 33 6.55708e+06 421925 526063. 1820.29 3.59 0.153236 0.135447 21886 126133 -1 3517 17 1720 5336 269606 62842 7.32956 7.32956 -174.936 -7.32956 0 0 666494. 2306.21 0.29 0.10 0.11 -1 -1 0.29 0.0343201 0.0310915 224 223 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 7.95 vpr 64.09 MiB -1 -1 0.18 20152 12 0.19 -1 -1 37048 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65628 31 32 222 254 1 184 91 17 17 289 -1 unnamed_device 25.5 MiB 0.34 1173 9271 2248 6318 705 64.1 MiB 0.07 0.00 5.61918 -122.422 -5.61918 5.61918 0.93 0.000511213 0.000467079 0.0262013 0.0238926 36 2677 17 6.55708e+06 337540 612192. 2118.31 4.24 0.179964 0.157139 22750 144809 -1 2356 16 906 2343 129428 30345 5.85958 5.85958 -138.52 -5.85958 0 0 782063. 2706.10 0.33 0.06 0.14 -1 -1 0.33 0.0219681 0.0197765 138 129 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 7.05 vpr 64.63 MiB -1 -1 0.23 21132 13 0.35 -1 -1 36396 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 32 32 282 314 1 218 89 17 17 289 -1 unnamed_device 26.0 MiB 0.48 1324 14741 4283 8099 2359 64.6 MiB 0.12 0.00 6.6373 -131.168 -6.6373 6.6373 0.92 0.000672518 0.000604064 0.0485918 0.0436932 30 3834 46 6.55708e+06 301375 526063. 1820.29 2.97 0.17844 0.15772 21886 126133 -1 2834 18 1370 4192 195728 47049 6.7595 6.7595 -150.727 -6.7595 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0317002 0.0285814 189 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 5.63 vpr 64.05 MiB -1 -1 0.22 20636 13 0.23 -1 -1 36084 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65592 32 32 238 270 1 186 90 17 17 289 -1 unnamed_device 25.7 MiB 0.42 1225 11145 2890 6998 1257 64.1 MiB 0.08 0.00 6.2003 -133.674 -6.2003 6.2003 0.92 0.000546077 0.000492558 0.0332906 0.0301232 28 3169 46 6.55708e+06 313430 500653. 1732.36 1.87 0.142114 0.125469 21310 115450 -1 2626 15 1114 2978 174876 40741 6.5217 6.5217 -155.697 -6.5217 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0231982 0.0209959 151 143 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 6.45 vpr 64.59 MiB -1 -1 0.23 20552 12 0.27 -1 -1 36656 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 269 301 1 199 90 17 17 289 -1 unnamed_device 26.1 MiB 0.31 1321 8331 2279 5504 548 64.6 MiB 0.08 0.00 5.99144 -129.522 -5.99144 5.99144 0.95 0.000657534 0.000587476 0.0299881 0.0271478 28 3784 36 6.55708e+06 313430 500653. 1732.36 2.56 0.142494 0.125853 21310 115450 -1 3035 30 1622 5426 436136 117998 6.14118 6.14118 -146.104 -6.14118 0 0 612192. 2118.31 0.26 0.15 0.11 -1 -1 0.26 0.0443057 0.0395197 176 174 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 11.44 vpr 65.10 MiB -1 -1 0.25 21468 15 0.63 -1 -1 37100 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 350 382 1 272 100 17 17 289 -1 unnamed_device 26.9 MiB 0.33 1872 6828 1323 5035 470 65.1 MiB 0.07 0.00 7.1187 -144.806 -7.1187 7.1187 0.90 0.000849897 0.000759187 0.0291873 0.0263507 36 4919 38 6.55708e+06 433980 612192. 2118.31 7.06 0.259598 0.228581 22750 144809 -1 4074 19 2274 7722 434993 96946 7.53076 7.53076 -165.403 -7.53076 0 0 782063. 2706.10 0.33 0.14 0.14 -1 -1 0.33 0.0434015 0.0393893 256 255 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 6.13 vpr 63.57 MiB -1 -1 0.17 20500 10 0.12 -1 -1 36364 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65092 30 32 172 204 1 134 80 17 17 289 -1 unnamed_device 25.1 MiB 0.13 707 9196 2491 4714 1991 63.6 MiB 0.06 0.00 4.60046 -100.678 -4.60046 4.60046 0.95 0.000396246 0.000359522 0.0228545 0.0207453 28 2112 18 6.55708e+06 216990 500653. 1732.36 2.84 0.132804 0.115775 21310 115450 -1 1738 18 875 2136 123162 30526 4.72266 4.72266 -117.439 -4.72266 0 0 612192. 2118.31 0.27 0.05 0.10 -1 -1 0.27 0.0178686 0.0159235 90 81 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 6.88 vpr 64.38 MiB -1 -1 0.21 20296 13 0.23 -1 -1 35940 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 30 32 228 260 1 171 87 17 17 289 -1 unnamed_device 25.8 MiB 0.20 1113 8727 2049 5683 995 64.4 MiB 0.07 0.00 5.93658 -124.205 -5.93658 5.93658 0.93 0.000553987 0.000501405 0.0278669 0.0252933 30 2630 38 6.55708e+06 301375 526063. 1820.29 3.32 0.196285 0.171215 21886 126133 -1 2207 14 918 2610 125370 30237 6.45658 6.45658 -139.784 -6.45658 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.0221055 0.0200274 143 137 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 5.84 vpr 64.26 MiB -1 -1 0.21 20784 12 0.25 -1 -1 36384 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65800 32 32 264 296 1 204 88 17 17 289 -1 unnamed_device 25.8 MiB 0.34 1277 10033 2500 6526 1007 64.3 MiB 0.09 0.00 5.98744 -129.532 -5.98744 5.98744 0.94 0.000580983 0.000523106 0.034432 0.0312128 28 3294 38 6.55708e+06 289320 500653. 1732.36 2.08 0.155408 0.137941 21310 115450 -1 2855 19 1297 3408 183985 44118 6.22784 6.22784 -150.422 -6.22784 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0279707 0.0249597 171 169 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 7.28 vpr 63.59 MiB -1 -1 0.19 20324 9 0.16 -1 -1 36332 -1 -1 22 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65120 25 32 183 215 1 140 79 17 17 289 -1 unnamed_device 25.2 MiB 0.21 872 8360 2111 5229 1020 63.6 MiB 0.06 0.00 4.52146 -85.4086 -4.52146 4.52146 0.94 0.000420434 0.000381005 0.0246301 0.0223264 26 2632 28 6.55708e+06 265210 477104. 1650.88 3.85 0.154929 0.135367 21022 109990 -1 2049 14 820 2247 150399 35731 4.76186 4.76186 -100.49 -4.76186 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0168587 0.0151738 111 102 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 8.41 vpr 64.94 MiB -1 -1 0.24 20696 12 0.33 -1 -1 36156 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 300 332 1 233 97 17 17 289 -1 unnamed_device 26.4 MiB 0.38 1505 6535 1419 4335 781 64.9 MiB 0.07 0.00 6.23184 -132.341 -6.23184 6.23184 0.92 0.000690553 0.00060238 0.0249273 0.0225572 44 3402 23 6.55708e+06 397815 742403. 2568.87 4.34 0.264036 0.231583 24478 177802 -1 2838 16 1288 3719 184424 43444 6.6439 6.6439 -150.47 -6.6439 0 0 937218. 3242.97 0.38 0.07 0.17 -1 -1 0.38 0.0288114 0.0260806 212 205 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 7.08 vpr 64.79 MiB -1 -1 0.25 21548 13 0.39 -1 -1 36588 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 31 32 290 322 1 226 93 17 17 289 -1 unnamed_device 26.4 MiB 0.37 1471 4713 821 3565 327 64.8 MiB 0.05 0.00 6.6791 -139.471 -6.6791 6.6791 0.95 0.000688384 0.000612224 0.0192155 0.0174901 36 3676 24 6.55708e+06 361650 612192. 2118.31 2.96 0.189267 0.166802 22750 144809 -1 3219 17 1422 4455 244371 56018 7.3193 7.3193 -160.431 -7.3193 0 0 782063. 2706.10 0.32 0.09 0.14 -1 -1 0.32 0.0318411 0.0287376 200 197 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.46 vpr 64.68 MiB -1 -1 0.17 20812 1 0.03 -1 -1 33796 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 32 32 354 285 1 202 96 17 17 289 -1 unnamed_device 26.2 MiB 0.27 1200 18711 5518 10873 2320 64.7 MiB 0.15 0.00 4.42712 -134.27 -4.42712 4.42712 0.91 0.000524758 0.00047705 0.0463698 0.0421739 30 2363 21 6.64007e+06 401856 526063. 1820.29 0.99 0.113744 0.100666 22546 126617 -1 2074 19 1171 1872 104132 24106 4.03148 4.03148 -143.212 -4.03148 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0230751 0.0205588 154 47 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.41 vpr 65.00 MiB -1 -1 0.17 20620 1 0.03 -1 -1 33848 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 30 32 363 293 1 194 86 17 17 289 -1 unnamed_device 26.3 MiB 0.23 990 12560 3925 6298 2337 65.0 MiB 0.11 0.00 3.79642 -119.207 -3.79642 3.79642 0.91 0.000541321 0.000494289 0.0363701 0.0331503 32 2328 25 6.64007e+06 301392 554710. 1919.41 0.97 0.105729 0.0931798 22834 132086 -1 2086 22 1755 2609 155918 38088 4.06448 4.06448 -140.007 -4.06448 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0261499 0.0232219 139 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.47 vpr 64.70 MiB -1 -1 0.16 20120 1 0.03 -1 -1 33932 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 26.2 MiB 0.25 1042 9879 2813 6425 641 64.7 MiB 0.08 0.00 3.51556 -105.741 -3.51556 3.51556 0.94 0.000457872 0.000416375 0.0255985 0.0233228 26 2737 36 6.64007e+06 288834 477104. 1650.88 1.12 0.105001 0.0926696 21682 110474 -1 2385 22 1371 1908 142211 32923 3.82183 3.82183 -127.011 -3.82183 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.0233795 0.0207552 126 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.06 vpr 64.65 MiB -1 -1 0.15 20472 1 0.03 -1 -1 33748 -1 -1 27 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 26.3 MiB 0.06 857 9643 2090 7038 515 64.7 MiB 0.08 0.00 3.62076 -98.2027 -3.62076 3.62076 0.90 0.00043624 0.000395332 0.0245947 0.0223306 32 2035 22 6.64007e+06 339066 554710. 1919.41 0.93 0.0821312 0.0718701 22834 132086 -1 1667 21 1365 2505 147655 34817 3.69582 3.69582 -115.082 -3.69582 0 0 701300. 2426.64 0.30 0.06 0.11 -1 -1 0.30 0.0207403 0.01833 126 25 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.32 vpr 64.63 MiB -1 -1 0.17 20208 1 0.03 -1 -1 33768 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 26.2 MiB 0.07 1030 11607 3106 7421 1080 64.6 MiB 0.11 0.00 3.68447 -108.975 -3.68447 3.68447 0.97 0.000518376 0.000473681 0.0331709 0.0303128 28 2540 24 6.64007e+06 288834 500653. 1732.36 1.06 0.103419 0.0913204 21970 115934 -1 2272 22 1579 3046 187999 43547 3.74663 3.74663 -128.739 -3.74663 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0244576 0.0216447 130 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.24 vpr 65.00 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33568 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 366 295 1 189 98 17 17 289 -1 unnamed_device 26.3 MiB 0.11 1007 14048 3875 8350 1823 65.0 MiB 0.12 0.00 2.80439 -99.9308 -2.80439 2.80439 0.94 0.000564116 0.000514694 0.0353441 0.03215 32 2273 21 6.64007e+06 426972 554710. 1919.41 0.93 0.101094 0.0888459 22834 132086 -1 1969 20 1230 1967 127006 29498 2.88697 2.88697 -113.569 -2.88697 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0243209 0.0214969 142 55 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.03 vpr 64.30 MiB -1 -1 0.15 20200 1 0.03 -1 -1 34076 -1 -1 19 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65840 27 32 259 221 1 130 78 17 17 289 -1 unnamed_device 25.9 MiB 0.09 662 9872 2684 6158 1030 64.3 MiB 0.07 0.00 3.15021 -83.3249 -3.15021 3.15021 0.94 0.000409601 0.00037251 0.0255079 0.0232527 28 1534 18 6.64007e+06 238602 500653. 1732.36 0.87 0.0751525 0.066042 21970 115934 -1 1439 16 751 1307 84098 20786 2.93397 2.93397 -97.2121 -2.93397 0 0 612192. 2118.31 0.28 0.04 0.11 -1 -1 0.28 0.016147 0.0143802 93 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.07 vpr 64.38 MiB -1 -1 0.16 20120 1 0.03 -1 -1 33624 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 25.8 MiB 0.07 926 10318 2286 7425 607 64.4 MiB 0.08 0.00 2.7039 -83.4236 -2.7039 2.7039 0.94 0.00041205 0.000373034 0.0232497 0.0211856 30 2003 21 6.64007e+06 389298 526063. 1820.29 0.89 0.0772927 0.0679213 22546 126617 -1 1797 15 756 1372 77218 17648 2.57857 2.57857 -94.7281 -2.57857 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0157992 0.0140795 115 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.30 vpr 64.24 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33880 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65784 31 32 317 271 1 167 83 17 17 289 -1 unnamed_device 25.9 MiB 0.21 829 10163 2729 6557 877 64.2 MiB 0.08 0.00 2.88585 -95.7089 -2.88585 2.88585 0.96 0.000460682 0.000420772 0.0282516 0.0258388 32 2052 19 6.64007e+06 251160 554710. 1919.41 0.92 0.0855623 0.0754181 22834 132086 -1 1741 17 1055 1523 99192 24046 2.95417 2.95417 -114.4 -2.95417 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0191082 0.0169947 111 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.28 vpr 64.48 MiB -1 -1 0.14 20148 1 0.03 -1 -1 34028 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66028 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 25.9 MiB 0.15 843 12681 4107 6589 1985 64.5 MiB 0.10 0.00 3.13721 -105.548 -3.13721 3.13721 0.93 0.000454058 0.000414092 0.0345998 0.0315823 32 2039 20 6.64007e+06 213486 554710. 1919.41 0.94 0.0913421 0.0806072 22834 132086 -1 1761 20 1157 1870 129550 29578 2.88297 2.88297 -116.419 -2.88297 0 0 701300. 2426.64 0.31 0.06 0.12 -1 -1 0.31 0.0207404 0.0184209 112 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.17 vpr 64.56 MiB -1 -1 0.16 20264 1 0.03 -1 -1 33792 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66108 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 26.1 MiB 0.13 799 12247 3622 6888 1737 64.6 MiB 0.09 0.00 3.46461 -96.3089 -3.46461 3.46461 0.95 0.000438828 0.000397993 0.034161 0.0310861 32 1630 20 6.64007e+06 213486 554710. 1919.41 0.91 0.0894343 0.0787983 22834 132086 -1 1523 18 835 1333 88304 20554 3.08637 3.08637 -106.104 -3.08637 0 0 701300. 2426.64 0.28 0.05 0.13 -1 -1 0.28 0.0186587 0.016588 98 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.44 vpr 64.46 MiB -1 -1 0.15 20604 1 0.03 -1 -1 33436 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 32 32 276 237 1 166 82 17 17 289 -1 unnamed_device 25.9 MiB 0.26 822 13788 4290 7344 2154 64.5 MiB 0.10 0.00 3.02301 -96.2485 -3.02301 3.02301 0.92 0.000424493 0.000388878 0.0354679 0.0323191 28 2144 22 6.64007e+06 226044 500653. 1732.36 1.08 0.099037 0.088017 21970 115934 -1 1783 20 1137 1549 107524 27817 3.17457 3.17457 -115.82 -3.17457 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0199797 0.0177791 109 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.51 vpr 64.69 MiB -1 -1 0.17 20624 1 0.03 -1 -1 33996 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 26.1 MiB 0.25 976 11008 2637 7796 575 64.7 MiB 0.11 0.00 3.57727 -114.954 -3.57727 3.57727 0.94 0.000516449 0.000472034 0.0316943 0.0289187 28 2727 24 6.64007e+06 301392 500653. 1732.36 1.10 0.105367 0.0931493 21970 115934 -1 2283 18 1544 2273 150181 36410 3.41003 3.41003 -130.192 -3.41003 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0218796 0.0194564 139 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.34 vpr 64.61 MiB -1 -1 0.16 20624 1 0.03 -1 -1 33656 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 26.1 MiB 0.14 967 13055 3737 7982 1336 64.6 MiB 0.12 0.00 4.12607 -118.057 -4.12607 4.12607 0.94 0.000546275 0.000495443 0.0342001 0.031122 32 2101 20 6.64007e+06 389298 554710. 1919.41 0.98 0.100737 0.088781 22834 132086 -1 1917 16 1121 1814 116086 26260 3.85063 3.85063 -131.913 -3.85063 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0211724 0.0189251 134 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 3.85 vpr 64.32 MiB -1 -1 0.13 20404 1 0.03 -1 -1 33712 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 25.9 MiB 0.09 702 8804 2321 5810 673 64.3 MiB 0.06 0.00 2.68419 -77.7395 -2.68419 2.68419 0.92 0.000363806 0.000333425 0.0191469 0.0173521 28 1678 21 6.64007e+06 263718 500653. 1732.36 0.83 0.0651826 0.0569324 21970 115934 -1 1521 20 882 1468 101805 23563 2.74677 2.74677 -94.5772 -2.74677 0 0 612192. 2118.31 0.26 0.04 0.10 -1 -1 0.26 0.0166926 0.0147262 98 21 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 5.03 vpr 64.79 MiB -1 -1 0.16 20644 1 0.03 -1 -1 34052 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 370 297 1 183 86 17 17 289 -1 unnamed_device 26.2 MiB 0.14 1051 7835 1955 5391 489 64.8 MiB 0.08 0.00 3.1755 -102.949 -3.1755 3.1755 0.97 0.00051423 0.000466984 0.0247333 0.0225963 26 2779 22 6.64007e+06 276276 477104. 1650.88 1.71 0.103157 0.0911675 21682 110474 -1 2329 18 1184 2149 141374 33294 3.41577 3.41577 -126.75 -3.41577 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.0232139 0.0206982 133 55 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 5.34 vpr 64.80 MiB -1 -1 0.16 20844 1 0.03 -1 -1 33912 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 338 269 1 196 87 17 17 289 -1 unnamed_device 26.3 MiB 0.25 1191 14103 4303 7979 1821 64.8 MiB 0.12 0.00 3.51127 -116.95 -3.51127 3.51127 0.97 0.000507738 0.000462619 0.0396737 0.0362072 36 2335 19 6.64007e+06 288834 612192. 2118.31 1.76 0.15049 0.132472 23410 145293 -1 2077 20 1248 1797 122609 27247 3.38723 3.38723 -126.769 -3.38723 0 0 782063. 2706.10 0.32 0.06 0.14 -1 -1 0.32 0.0243984 0.0217815 138 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.11 vpr 64.46 MiB -1 -1 0.15 20196 1 0.03 -1 -1 33252 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 25.9 MiB 0.11 862 14373 3535 9309 1529 64.5 MiB 0.11 0.00 2.30864 -87.9994 -2.30864 2.30864 0.95 0.000505145 0.000459673 0.0345302 0.0313896 28 1961 20 6.64007e+06 364182 500653. 1732.36 0.85 0.0930277 0.0817837 21970 115934 -1 1781 22 1029 1630 108695 24471 2.15051 2.15051 -99.672 -2.15051 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0219985 0.0193637 110 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.04 vpr 64.18 MiB -1 -1 0.14 20496 1 0.03 -1 -1 33756 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65720 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 25.7 MiB 0.06 696 12139 3818 6692 1629 64.2 MiB 0.07 0.00 1.89953 -66.1252 -1.89953 1.89953 0.95 0.000361436 0.000325199 0.0283004 0.0258802 32 1407 19 6.64007e+06 188370 554710. 1919.41 0.88 0.0718791 0.0634153 22834 132086 -1 1310 19 715 1024 78926 18595 2.07431 2.07431 -84.2067 -2.07431 0 0 701300. 2426.64 0.30 0.04 0.13 -1 -1 0.30 0.0159237 0.0140924 81 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 5.28 vpr 64.36 MiB -1 -1 0.15 20252 1 0.03 -1 -1 34016 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 31 32 291 243 1 171 83 17 17 289 -1 unnamed_device 26.0 MiB 0.23 732 14483 4620 6823 3040 64.4 MiB 0.10 0.00 3.93687 -115.005 -3.93687 3.93687 0.98 0.000447816 0.000407088 0.0378872 0.0345524 28 2486 46 6.64007e+06 251160 500653. 1732.36 1.84 0.121863 0.107618 21970 115934 -1 1709 21 1252 1822 145800 39862 3.93503 3.93503 -138.184 -3.93503 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0216779 0.0192397 128 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.19 vpr 64.60 MiB -1 -1 0.16 20944 1 0.03 -1 -1 33912 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 26.1 MiB 0.06 1026 10031 2477 6283 1271 64.6 MiB 0.09 0.00 3.49156 -112.285 -3.49156 3.49156 0.94 0.000485206 0.0004411 0.0267882 0.024407 32 2289 24 6.64007e+06 389298 554710. 1919.41 0.96 0.0949887 0.0835557 22834 132086 -1 2109 23 1453 2212 168706 37644 3.72563 3.72563 -130.056 -3.72563 0 0 701300. 2426.64 0.30 0.07 0.12 -1 -1 0.30 0.0258115 0.0228232 135 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.69 vpr 64.96 MiB -1 -1 0.17 20540 1 0.03 -1 -1 34016 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 372 300 1 204 89 17 17 289 -1 unnamed_device 26.3 MiB 0.28 1003 14939 4038 8027 2874 65.0 MiB 0.13 0.00 3.77042 -113.976 -3.77042 3.77042 0.98 0.000535015 0.000488843 0.0432307 0.039426 32 2935 22 6.64007e+06 313950 554710. 1919.41 1.08 0.114943 0.101838 22834 132086 -1 2346 22 1580 2470 211174 46261 3.78029 3.78029 -131.671 -3.78029 0 0 701300. 2426.64 0.30 0.08 0.12 -1 -1 0.30 0.0265736 0.0235307 144 59 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.16 vpr 63.81 MiB -1 -1 0.15 19980 1 0.03 -1 -1 33968 -1 -1 18 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65344 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 25.4 MiB 0.15 382 11116 4194 4894 2028 63.8 MiB 0.06 0.00 1.89953 -51.9576 -1.89953 1.89953 0.95 0.000309022 0.000274467 0.0225463 0.0205127 28 1285 33 6.64007e+06 226044 500653. 1732.36 0.98 0.073754 0.0648814 21970 115934 -1 996 18 627 886 67634 17264 1.99111 1.99111 -68.3768 -1.99111 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0130916 0.011596 77 21 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.39 vpr 64.44 MiB -1 -1 0.16 20516 1 0.03 -1 -1 33800 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65984 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 26.1 MiB 0.05 891 5479 1091 4207 181 64.4 MiB 0.06 0.00 4.09606 -104.083 -4.09606 4.09606 0.93 0.000440807 0.000400924 0.0155281 0.0142018 28 2361 23 6.64007e+06 263718 500653. 1732.36 1.26 0.0822264 0.072561 21970 115934 -1 1903 20 1047 1887 129241 30402 3.69482 3.69482 -121.689 -3.69482 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0210594 0.0187565 118 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.01 vpr 63.82 MiB -1 -1 0.14 20248 1 0.03 -1 -1 33500 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65348 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 25.4 MiB 0.05 445 9872 3098 4487 2287 63.8 MiB 0.05 0.00 2.08773 -60.0534 -2.08773 2.08773 0.95 0.00029495 0.000267522 0.0188884 0.017177 28 1274 35 6.64007e+06 175812 500653. 1732.36 0.93 0.0664363 0.0581943 21970 115934 -1 985 16 510 566 48315 14627 2.17251 2.17251 -72.6657 -2.17251 0 0 612192. 2118.31 0.28 0.03 0.11 -1 -1 0.28 0.0121255 0.0107834 79 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.23 vpr 64.16 MiB -1 -1 0.15 20332 1 0.03 -1 -1 33976 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65696 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 25.8 MiB 0.06 945 17347 4996 9879 2472 64.2 MiB 0.13 0.00 3.53527 -103.097 -3.53527 3.53527 0.94 0.000452817 0.000412096 0.0399535 0.0364072 28 2142 20 6.64007e+06 376740 500653. 1732.36 1.01 0.101499 0.089715 21970 115934 -1 1941 19 1099 1807 125821 28580 3.53223 3.53223 -116.055 -3.53223 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0204616 0.0181722 123 21 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 3.97 vpr 64.66 MiB -1 -1 0.14 20200 1 0.03 -1 -1 34072 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 26.3 MiB 0.05 919 7223 1423 5155 645 64.7 MiB 0.06 0.00 3.0905 -86.6383 -3.0905 3.0905 0.94 0.00042986 0.000390644 0.0169682 0.0154697 28 2086 22 6.64007e+06 389298 500653. 1732.36 0.84 0.0735966 0.064323 21970 115934 -1 1847 20 1017 1797 105514 27277 2.96317 2.96317 -103.765 -2.96317 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.0205725 0.0182171 128 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.31 vpr 64.69 MiB -1 -1 0.16 20900 1 0.03 -1 -1 33948 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 338 277 1 179 91 17 17 289 -1 unnamed_device 26.2 MiB 0.12 971 16819 5046 9728 2045 64.7 MiB 0.15 0.00 3.69347 -109.301 -3.69347 3.69347 0.91 0.000509483 0.000465778 0.0442872 0.0402638 26 2482 27 6.64007e+06 339066 477104. 1650.88 1.07 0.118834 0.10498 21682 110474 -1 2031 18 1053 1844 108786 27152 3.69963 3.69963 -126.409 -3.69963 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0206485 0.0183577 126 47 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.13 vpr 64.43 MiB -1 -1 0.15 20352 1 0.03 -1 -1 33732 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 26.0 MiB 0.08 882 10744 2967 6502 1275 64.4 MiB 0.09 0.00 2.42079 -85.7817 -2.42079 2.42079 0.94 0.000443798 0.000405073 0.0294606 0.026891 32 1924 23 6.64007e+06 200928 554710. 1919.41 0.93 0.0874375 0.0770779 22834 132086 -1 1700 19 995 1628 109060 25217 2.58457 2.58457 -102.676 -2.58457 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0195405 0.0173108 101 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.07 vpr 64.33 MiB -1 -1 0.16 20576 1 0.03 -1 -1 33428 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 25.9 MiB 0.08 689 6409 1351 4572 486 64.3 MiB 0.05 0.00 2.64019 -80.0435 -2.64019 2.64019 0.95 0.000395636 0.000358934 0.0159859 0.0145939 30 1444 18 6.64007e+06 288834 526063. 1820.29 0.90 0.0657175 0.0575031 22546 126617 -1 1310 15 588 898 50634 12182 2.56257 2.56257 -92.3011 -2.56257 0 0 666494. 2306.21 0.30 0.03 0.12 -1 -1 0.30 0.0154451 0.0138133 97 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.19 vpr 64.39 MiB -1 -1 0.15 20440 1 0.03 -1 -1 33812 -1 -1 23 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 25.9 MiB 0.05 629 15023 5392 7050 2581 64.4 MiB 0.11 0.00 2.8541 -79.3333 -2.8541 2.8541 0.95 0.000418485 0.000374495 0.0358753 0.0325042 32 1660 27 6.64007e+06 288834 554710. 1919.41 0.97 0.0910866 0.0800768 22834 132086 -1 1318 22 1052 1709 113422 28086 2.75397 2.75397 -88.3961 -2.75397 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.019509 0.0171697 98 27 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.10 vpr 64.26 MiB -1 -1 0.15 20420 1 0.03 -1 -1 33684 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65800 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 25.8 MiB 0.05 895 5483 1169 3854 460 64.3 MiB 0.05 0.00 3.19341 -98.0221 -3.19341 3.19341 0.97 0.000412832 0.000375546 0.014395 0.0131244 30 1868 15 6.64007e+06 238602 526063. 1820.29 0.91 0.065283 0.0574362 22546 126617 -1 1688 20 1007 1689 98702 22723 2.75257 2.75257 -110.299 -2.75257 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0187484 0.0165727 110 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.07 vpr 64.29 MiB -1 -1 0.15 20320 1 0.03 -1 -1 33692 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65836 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 25.7 MiB 0.05 706 8532 1848 6324 360 64.3 MiB 0.07 0.00 2.8301 -84.4669 -2.8301 2.8301 0.94 0.000428451 0.00039017 0.0198057 0.0180274 30 1758 21 6.64007e+06 339066 526063. 1820.29 0.94 0.0743002 0.065153 22546 126617 -1 1444 16 676 1133 55665 13801 2.67557 2.67557 -96.6856 -2.67557 0 0 666494. 2306.21 0.28 0.04 0.12 -1 -1 0.28 0.0166702 0.014884 103 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.15 vpr 64.31 MiB -1 -1 0.15 20428 1 0.03 -1 -1 33408 -1 -1 26 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 29 32 291 250 1 153 87 17 17 289 -1 unnamed_device 25.8 MiB 0.13 731 15831 5815 7225 2791 64.3 MiB 0.11 0.00 2.6377 -83.2904 -2.6377 2.6377 0.89 0.00043171 0.00039137 0.0381309 0.0346602 32 1741 21 6.64007e+06 326508 554710. 1919.41 0.91 0.0925877 0.0814414 22834 132086 -1 1437 16 1024 1529 89902 21988 2.36297 2.36297 -88.6699 -2.36297 0 0 701300. 2426.64 0.31 0.04 0.12 -1 -1 0.31 0.0175259 0.0156212 105 48 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.69 vpr 64.55 MiB -1 -1 0.14 20500 1 0.03 -1 -1 33848 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 32 32 367 282 1 201 102 17 17 289 -1 unnamed_device 26.2 MiB 0.13 1061 9622 2162 6470 990 64.6 MiB 0.09 0.00 3.40636 -98.4167 -3.40636 3.40636 0.92 0.000502656 0.000452036 0.0233855 0.0212282 26 2803 25 6.64007e+06 477204 477104. 1650.88 1.53 0.103401 0.090966 21682 110474 -1 2279 19 1316 2396 160690 35426 3.70163 3.70163 -122.436 -3.70163 0 0 585099. 2024.56 0.25 0.06 0.09 -1 -1 0.25 0.0225748 0.0199728 151 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.34 vpr 64.98 MiB -1 -1 0.16 20612 1 0.04 -1 -1 33672 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 391 311 1 192 101 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1081 12791 3347 8418 1026 65.0 MiB 0.11 0.00 3.01701 -105.389 -3.01701 3.01701 0.96 0.000546244 0.000495966 0.0326986 0.0296928 26 2277 35 6.64007e+06 464646 477104. 1650.88 1.01 0.117979 0.103523 21682 110474 -1 1984 22 1507 2430 150796 35089 2.82477 2.82477 -117.13 -2.82477 0 0 585099. 2024.56 0.26 0.07 0.10 -1 -1 0.26 0.0274371 0.024406 147 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.32 vpr 64.36 MiB -1 -1 0.14 20368 1 0.03 -1 -1 34048 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 31 32 279 237 1 161 82 17 17 289 -1 unnamed_device 25.8 MiB 0.23 916 10406 2538 6139 1729 64.4 MiB 0.08 0.00 3.48127 -103.954 -3.48127 3.48127 0.95 0.00042834 0.000390273 0.0273099 0.0249658 32 2055 18 6.64007e+06 238602 554710. 1919.41 0.94 0.0800924 0.0706814 22834 132086 -1 1849 20 1212 1772 135602 30447 3.22583 3.22583 -114.783 -3.22583 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0194449 0.0172357 112 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.60 vpr 64.86 MiB -1 -1 0.16 20452 1 0.03 -1 -1 33900 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 31 32 370 297 1 186 88 17 17 289 -1 unnamed_device 26.2 MiB 0.13 997 11788 2979 6980 1829 64.9 MiB 0.10 0.00 3.41261 -107.545 -3.41261 3.41261 0.94 0.000522996 0.000477194 0.0340764 0.0310419 26 2647 34 6.64007e+06 313950 477104. 1650.88 1.32 0.12032 0.106147 21682 110474 -1 2146 19 1396 2470 170180 39447 3.01017 3.01017 -115.213 -3.01017 0 0 585099. 2024.56 0.25 0.07 0.10 -1 -1 0.25 0.0236164 0.0209857 138 57 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.66 vpr 64.51 MiB -1 -1 0.17 20624 1 0.03 -1 -1 33764 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 31 32 377 302 1 233 92 17 17 289 -1 unnamed_device 26.3 MiB 0.40 1394 12098 3192 7301 1605 64.5 MiB 0.12 0.00 4.79918 -144.463 -4.79918 4.79918 0.95 0.000555482 0.000508291 0.0341144 0.0311775 28 3376 19 6.64007e+06 364182 500653. 1732.36 1.05 0.111106 0.0986504 21970 115934 -1 2835 21 1990 2853 199087 44185 5.06955 5.06955 -174.236 -5.06955 0 0 612192. 2118.31 0.27 0.08 0.10 -1 -1 0.27 0.0272501 0.0242606 172 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.71 vpr 64.71 MiB -1 -1 0.16 20452 1 0.03 -1 -1 33552 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 31 32 383 305 1 209 90 17 17 289 -1 unnamed_device 26.3 MiB 0.37 975 16773 5551 8324 2898 64.7 MiB 0.15 0.00 4.11401 -119.791 -4.11401 4.11401 0.95 0.000547328 0.000500186 0.047761 0.0435352 32 2738 28 6.64007e+06 339066 554710. 1919.41 1.09 0.129332 0.11451 22834 132086 -1 2179 22 1787 2688 186992 44479 4.70968 4.70968 -149.457 -4.70968 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0265444 0.02357 164 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.54 vpr 64.53 MiB -1 -1 0.17 20708 1 0.03 -1 -1 33732 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 31 32 352 285 1 184 94 17 17 289 -1 unnamed_device 26.0 MiB 0.14 988 10531 2804 6903 824 64.5 MiB 0.10 0.00 3.70647 -107.491 -3.70647 3.70647 0.94 0.000529319 0.000480818 0.0277704 0.0253187 26 2651 23 6.64007e+06 389298 477104. 1650.88 1.26 0.105114 0.0928279 21682 110474 -1 2234 23 1419 2405 156873 36774 3.46002 3.46002 -124.227 -3.46002 0 0 585099. 2024.56 0.25 0.07 0.10 -1 -1 0.25 0.0252888 0.0223151 135 51 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.41 vpr 64.58 MiB -1 -1 0.15 20352 1 0.03 -1 -1 34020 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 291 242 1 179 87 17 17 289 -1 unnamed_device 26.2 MiB 0.22 993 15447 5333 7306 2808 64.6 MiB 0.12 0.00 3.46356 -96.491 -3.46356 3.46356 0.93 0.000454093 0.000413045 0.0386478 0.0351828 28 2392 18 6.64007e+06 288834 500653. 1732.36 1.03 0.0980556 0.0868311 21970 115934 -1 2108 22 1225 1945 143056 32591 3.95322 3.95322 -118.682 -3.95322 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0224309 0.0198927 119 24 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.47 vpr 65.09 MiB -1 -1 0.18 20940 1 0.03 -1 -1 34136 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 457 356 1 223 104 17 17 289 -1 unnamed_device 26.7 MiB 0.19 1203 14500 4049 9162 1289 65.1 MiB 0.13 0.00 4.04253 -130.907 -4.04253 4.04253 0.94 0.000626718 0.000569777 0.0403256 0.0366368 32 2767 19 6.64007e+06 502320 554710. 1919.41 0.97 0.118874 0.104854 22834 132086 -1 2472 22 1724 2699 165419 39381 4.03949 4.03949 -149.029 -4.03949 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0306689 0.0271955 174 84 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.09 vpr 64.23 MiB -1 -1 0.14 20268 1 0.03 -1 -1 34064 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65776 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 25.8 MiB 0.08 848 8685 2093 5796 796 64.2 MiB 0.07 0.00 3.1015 -86.7938 -3.1015 3.1015 0.93 0.000412549 0.000375331 0.0212912 0.0194479 30 1771 20 6.64007e+06 263718 526063. 1820.29 0.92 0.072391 0.0635229 22546 126617 -1 1590 17 677 1179 67650 16124 2.75757 2.75757 -100.169 -2.75757 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0164883 0.0146677 101 24 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 5.33 vpr 65.00 MiB -1 -1 0.15 20588 1 0.03 -1 -1 33784 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 31 32 337 267 1 205 88 17 17 289 -1 unnamed_device 26.3 MiB 0.25 1110 9058 2024 6495 539 65.0 MiB 0.09 0.00 4.12053 -125.48 -4.12053 4.12053 0.95 0.000544788 0.000496502 0.0264421 0.0239466 26 3316 35 6.64007e+06 313950 477104. 1650.88 1.97 0.109097 0.0957298 21682 110474 -1 2401 17 1444 2047 136506 32228 4.95008 4.95008 -151.835 -4.95008 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0202306 0.0180289 144 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 4.65 vpr 64.90 MiB -1 -1 0.16 20708 1 0.03 -1 -1 33584 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 26.4 MiB 0.13 1044 9643 2272 6962 409 64.9 MiB 0.09 0.00 3.1757 -97.2977 -3.1757 3.1757 0.93 0.00048425 0.000439449 0.0244786 0.0222571 28 2747 32 6.64007e+06 414414 500653. 1732.36 1.25 0.105411 0.0927828 21970 115934 -1 2217 22 1357 2569 174049 40866 2.95297 2.95297 -115.033 -2.95297 0 0 612192. 2118.31 0.28 0.07 0.11 -1 -1 0.28 0.025153 0.0222804 131 50 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.26 vpr 64.43 MiB -1 -1 0.15 20180 1 0.03 -1 -1 33664 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 26.1 MiB 0.05 868 12568 4215 5967 2386 64.4 MiB 0.11 0.00 3.36216 -101.805 -3.36216 3.36216 0.93 0.000464478 0.000405814 0.0312792 0.0284961 30 2238 25 6.64007e+06 301392 526063. 1820.29 0.99 0.0950677 0.0838201 22546 126617 -1 1781 22 1230 2418 123469 29498 3.71263 3.71263 -120.333 -3.71263 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0222522 0.0196803 123 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.71 vpr 64.76 MiB -1 -1 0.13 20572 1 0.03 -1 -1 33908 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 353 287 1 198 88 17 17 289 -1 unnamed_device 26.2 MiB 0.28 1104 15493 4624 8426 2443 64.8 MiB 0.12 0.00 3.67818 -114.268 -3.67818 3.67818 0.90 0.000500558 0.000452938 0.0405491 0.036769 26 2902 23 6.64007e+06 301392 477104. 1650.88 1.41 0.11579 0.102548 21682 110474 -1 2293 18 1073 1532 126208 28440 3.36923 3.36923 -124.055 -3.36923 0 0 585099. 2024.56 0.25 0.05 0.09 -1 -1 0.25 0.0212933 0.0189762 138 52 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.59 vpr 64.92 MiB -1 -1 0.17 20516 1 0.03 -1 -1 33968 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 361 291 1 185 96 17 17 289 -1 unnamed_device 26.4 MiB 0.14 1015 18492 6680 9224 2588 64.9 MiB 0.15 0.00 2.9151 -98.0492 -2.9151 2.9151 0.95 0.000522227 0.000475185 0.0466249 0.042396 28 2748 28 6.64007e+06 401856 500653. 1732.36 1.28 0.128289 0.113595 21970 115934 -1 2186 19 1240 2237 161172 36196 2.89597 2.89597 -116.06 -2.89597 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0228796 0.0203081 133 52 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.27 vpr 64.88 MiB -1 -1 0.16 20416 1 0.03 -1 -1 33856 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 382 305 1 192 101 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1097 16316 4466 9393 2457 64.9 MiB 0.13 0.00 3.82667 -120.249 -3.82667 3.82667 0.91 0.000555628 0.000504253 0.0382509 0.0345956 32 2359 20 6.64007e+06 464646 554710. 1919.41 0.93 0.105601 0.092798 22834 132086 -1 2109 16 1122 1642 111103 25419 3.42303 3.42303 -131.929 -3.42303 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0219857 0.019701 145 59 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.14 vpr 64.56 MiB -1 -1 0.15 20232 1 0.03 -1 -1 33640 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 26.1 MiB 0.06 850 14583 4378 8839 1366 64.6 MiB 0.12 0.00 3.38416 -99.6745 -3.38416 3.38416 0.88 0.000456123 0.000413675 0.0348323 0.0316886 32 2076 21 6.64007e+06 364182 554710. 1919.41 0.92 0.0935093 0.0823134 22834 132086 -1 1756 23 1282 2101 134730 32053 3.57842 3.57842 -115.625 -3.57842 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0241339 0.0213762 122 21 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.28 vpr 64.81 MiB -1 -1 0.14 20236 1 0.03 -1 -1 33776 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 319 257 1 198 88 17 17 289 -1 unnamed_device 26.2 MiB 0.21 1165 14128 4153 7796 2179 64.8 MiB 0.12 0.00 4.07126 -117.916 -4.07126 4.07126 0.94 0.000451251 0.000411674 0.0365639 0.0334077 32 2572 22 6.64007e+06 301392 554710. 1919.41 0.94 0.0988122 0.0874425 22834 132086 -1 2338 19 1419 2056 155374 34681 4.18542 4.18542 -140.04 -4.18542 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0218298 0.0194426 133 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 6.74 vpr 64.98 MiB -1 -1 0.17 20908 1 0.03 -1 -1 33860 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 31 32 373 299 1 202 88 17 17 289 -1 unnamed_device 26.3 MiB 0.29 1048 15688 5236 7551 2901 65.0 MiB 0.14 0.00 4.03253 -118.529 -4.03253 4.03253 0.92 0.000525256 0.000478657 0.0451559 0.0411788 30 2699 24 6.64007e+06 313950 526063. 1820.29 3.23 0.1994 0.17421 22546 126617 -1 2201 20 1428 2402 151903 34994 4.24989 4.24989 -135.295 -4.24989 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0234521 0.0207312 148 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 5.49 vpr 64.60 MiB -1 -1 0.17 20736 1 0.03 -1 -1 33804 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 387 315 1 189 86 17 17 289 -1 unnamed_device 26.1 MiB 0.16 984 13883 4650 6915 2318 64.6 MiB 0.09 0.00 3.49656 -107.233 -3.49656 3.49656 0.90 0.000557585 0.000512099 0.0298748 0.0271745 28 3431 38 6.64007e+06 276276 500653. 1732.36 2.19 0.125674 0.110426 21970 115934 -1 2508 20 1506 2729 257454 62164 3.75182 3.75182 -135.793 -3.75182 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.0263532 0.023264 136 74 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.03 vpr 64.12 MiB -1 -1 0.15 20236 1 0.03 -1 -1 33732 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65656 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 25.7 MiB 0.05 729 15298 4392 9026 1880 64.1 MiB 0.11 0.00 2.7119 -83.0677 -2.7119 2.7119 0.95 0.000381733 0.000346332 0.0334844 0.0305294 30 1545 18 6.64007e+06 301392 526063. 1820.29 0.86 0.0828504 0.0732466 22546 126617 -1 1389 17 560 887 45983 11225 2.49037 2.49037 -89.9128 -2.49037 0 0 666494. 2306.21 0.30 0.03 0.12 -1 -1 0.30 0.0161708 0.0144059 97 20 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.74 vpr 64.75 MiB -1 -1 0.16 20468 1 0.03 -1 -1 33828 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66308 32 32 341 285 1 187 86 17 17 289 -1 unnamed_device 26.3 MiB 0.25 985 9914 2672 6604 638 64.8 MiB 0.09 0.00 3.21396 -114.637 -3.21396 3.21396 0.93 0.000491966 0.000448092 0.027989 0.0255183 26 2814 24 6.64007e+06 276276 477104. 1650.88 1.29 0.102495 0.0903787 21682 110474 -1 2315 19 1529 2157 170237 37997 3.35877 3.35877 -135.145 -3.35877 0 0 585099. 2024.56 0.26 0.07 0.10 -1 -1 0.26 0.02193 0.0194044 127 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.69 vpr 64.89 MiB -1 -1 0.17 20424 1 0.03 -1 -1 33872 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 387 293 1 234 93 17 17 289 -1 unnamed_device 26.6 MiB 0.25 1448 16263 4802 9323 2138 64.9 MiB 0.16 0.00 4.36321 -135.43 -4.36321 4.36321 0.95 0.000576409 0.000523846 0.0466547 0.0424935 32 3475 22 6.64007e+06 364182 554710. 1919.41 1.05 0.122514 0.108431 22834 132086 -1 2887 21 2056 3256 248178 53747 4.82288 4.82288 -158.033 -4.82288 0 0 701300. 2426.64 0.31 0.08 0.13 -1 -1 0.31 0.0275396 0.0245222 169 28 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.24 vpr 64.39 MiB -1 -1 0.15 20192 1 0.03 -1 -1 33744 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 340 270 1 181 96 17 17 289 -1 unnamed_device 26.0 MiB 0.12 1034 10827 2607 7018 1202 64.4 MiB 0.10 0.00 3.67472 -113.027 -3.67472 3.67472 0.95 0.000497185 0.000451743 0.0277002 0.0252838 32 2063 19 6.64007e+06 401856 554710. 1919.41 0.95 0.0906717 0.0799952 22834 132086 -1 1880 18 1059 1648 100795 23472 3.06437 3.06437 -117.014 -3.06437 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.021685 0.0192842 133 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.20 vpr 64.30 MiB -1 -1 0.14 20268 1 0.03 -1 -1 33932 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65844 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 25.8 MiB 0.05 767 13153 3910 7980 1263 64.3 MiB 0.10 0.00 2.7859 -86.9546 -2.7859 2.7859 0.94 0.000435415 0.00039649 0.0312895 0.0285409 26 1980 21 6.64007e+06 326508 477104. 1650.88 1.05 0.0922599 0.0816958 21682 110474 -1 1739 20 1060 1667 118023 27388 2.73257 2.73257 -103.972 -2.73257 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0197291 0.0174806 104 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.48 vpr 64.68 MiB -1 -1 0.17 21028 1 0.03 -1 -1 33860 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 32 32 431 332 1 235 91 17 17 289 -1 unnamed_device 26.4 MiB 0.32 1385 13963 4000 8588 1375 64.7 MiB 0.14 0.00 5.03129 -150.861 -5.03129 5.03129 0.91 0.000644883 0.000584623 0.042388 0.0384638 32 2867 24 6.64007e+06 339066 554710. 1919.41 0.96 0.118445 0.104364 22834 132086 -1 2460 22 1770 2550 156453 37983 5.12974 5.12974 -172.888 -5.12974 0 0 701300. 2426.64 0.29 0.07 0.11 -1 -1 0.29 0.0289724 0.0256433 170 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.26 vpr 64.39 MiB -1 -1 0.16 20300 1 0.03 -1 -1 33800 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65936 32 32 336 268 1 174 97 17 17 289 -1 unnamed_device 25.9 MiB 0.14 938 8533 1741 6439 353 64.4 MiB 0.08 0.00 3.69147 -114.707 -3.69147 3.69147 0.92 0.000506625 0.000463884 0.021805 0.0199149 32 2294 22 6.64007e+06 414414 554710. 1919.41 0.98 0.0868042 0.0762416 22834 132086 -1 1978 22 1373 2107 151194 34342 3.84103 3.84103 -129.777 -3.84103 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0239521 0.021145 130 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 6.61 vpr 64.02 MiB -1 -1 0.14 20264 1 0.03 -1 -1 33524 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65556 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 25.6 MiB 0.07 756 11991 4313 6225 1453 64.0 MiB 0.09 0.00 2.8441 -82.1212 -2.8441 2.8441 0.95 0.000393739 0.000359094 0.0260087 0.0237538 26 2189 42 6.64007e+06 288834 477104. 1650.88 3.50 0.147109 0.128075 21682 110474 -1 1867 21 1024 1678 141008 32016 3.12737 3.12737 -106.295 -3.12737 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0177505 0.0156695 100 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 4.20 vpr 65.05 MiB -1 -1 0.17 20600 1 0.03 -1 -1 33740 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 26.4 MiB 0.10 993 13373 3403 9060 910 65.0 MiB 0.12 0.00 4.67452 -111.4 -4.67452 4.67452 0.91 0.000522566 0.00047437 0.0330296 0.0300644 32 2441 23 6.64007e+06 426972 554710. 1919.41 0.94 0.0988706 0.0869648 22834 132086 -1 2063 20 1317 2419 154920 36193 4.53928 4.53928 -133.218 -4.53928 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0229979 0.0203359 139 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 3.97 vpr 64.44 MiB -1 -1 0.13 20392 1 0.03 -1 -1 33812 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 26.0 MiB 0.05 834 13992 4642 7291 2059 64.4 MiB 0.10 0.00 2.8171 -91.1457 -2.8171 2.8171 0.90 0.000335511 0.000304559 0.0312406 0.0283418 32 1921 19 6.64007e+06 251160 554710. 1919.41 0.90 0.0806062 0.0710541 22834 132086 -1 1771 22 1186 2046 145584 32746 3.00117 3.00117 -110.407 -3.00117 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0192519 0.0170073 104 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.11 vpr 64.46 MiB -1 -1 0.16 20224 1 0.03 -1 -1 33460 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 25.9 MiB 0.09 858 15215 4246 9186 1783 64.5 MiB 0.11 0.00 3.22421 -90.1146 -3.22421 3.22421 0.95 0.000439312 0.000401731 0.0324044 0.0295577 28 1799 20 6.64007e+06 414414 500653. 1732.36 0.89 0.0868533 0.0765496 21970 115934 -1 1661 17 719 1261 74312 17388 2.62817 2.62817 -101.354 -2.62817 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0166479 0.0147888 105 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 4.75 vpr 64.65 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33916 -1 -1 26 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 29 32 355 287 1 198 87 17 17 289 -1 unnamed_device 26.1 MiB 0.30 1098 11799 3472 7108 1219 64.6 MiB 0.11 0.00 3.67395 -109.891 -3.67395 3.67395 0.94 0.000504676 0.000460278 0.0337567 0.0308169 26 2965 27 6.64007e+06 326508 477104. 1650.88 1.28 0.112413 0.0992188 21682 110474 -1 2368 21 1600 2432 173155 39458 3.63562 3.63562 -128.421 -3.63562 0 0 585099. 2024.56 0.25 0.07 0.10 -1 -1 0.25 0.0251069 0.0222516 139 56 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.29 vpr 64.70 MiB -1 -1 0.16 20492 1 0.03 -1 -1 33920 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 26.3 MiB 0.12 917 8083 1747 5852 484 64.7 MiB 0.08 0.00 3.64276 -112.872 -3.64276 3.64276 0.96 0.000521207 0.000474995 0.0240128 0.0219629 32 2091 21 6.64007e+06 301392 554710. 1919.41 0.96 0.0894012 0.0785446 22834 132086 -1 1892 22 1556 2403 155849 36838 3.74782 3.74782 -130.415 -3.74782 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0255491 0.0226312 130 51 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.70 vpr 64.87 MiB -1 -1 0.17 20868 1 0.03 -1 -1 34048 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 353 285 1 181 92 17 17 289 -1 unnamed_device 26.4 MiB 0.12 1015 14582 3560 9437 1585 64.9 MiB 0.14 0.00 3.99107 -121.69 -3.99107 3.99107 0.94 0.00053939 0.000489629 0.0391188 0.0356594 26 2734 26 6.64007e+06 351624 477104. 1650.88 1.39 0.119862 0.106022 21682 110474 -1 2243 20 1435 2441 181049 40203 3.85283 3.85283 -139.163 -3.85283 0 0 585099. 2024.56 0.27 0.07 0.10 -1 -1 0.27 0.0247301 0.0220127 133 48 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.32 vpr 64.42 MiB -1 -1 0.14 20512 1 0.03 -1 -1 33736 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 32 32 276 237 1 159 81 17 17 289 -1 unnamed_device 25.9 MiB 0.26 1003 13381 4221 6932 2228 64.4 MiB 0.10 0.00 3.67818 -110.331 -3.67818 3.67818 0.93 0.000434158 0.000396164 0.0346014 0.0315596 32 2087 18 6.64007e+06 213486 554710. 1919.41 0.92 0.0869991 0.0770007 22834 132086 -1 1858 17 812 1066 72851 17000 3.37823 3.37823 -120.137 -3.37823 0 0 701300. 2426.64 0.31 0.04 0.13 -1 -1 0.31 0.017887 0.0159606 105 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.32 vpr 64.50 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33848 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 26.1 MiB 0.22 739 8626 1841 6026 759 64.5 MiB 0.07 0.00 3.12596 -96.872 -3.12596 3.12596 0.94 0.000494507 0.000453217 0.0246853 0.0225132 32 2072 19 6.64007e+06 238602 554710. 1919.41 0.97 0.0843757 0.0740738 22834 132086 -1 1618 20 1149 1727 108460 26754 3.25437 3.25437 -118.509 -3.25437 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0212979 0.0188908 113 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.21 vpr 64.73 MiB -1 -1 0.14 20684 1 0.03 -1 -1 33948 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 26.3 MiB 0.11 911 9383 2139 6426 818 64.7 MiB 0.08 0.00 2.8221 -83.2193 -2.8221 2.8221 0.92 0.00048731 0.000446545 0.0217348 0.0197468 26 2190 24 6.64007e+06 414414 477104. 1650.88 1.10 0.089456 0.0785352 21682 110474 -1 1968 19 1047 1836 121328 28832 3.12537 3.12537 -104.706 -3.12537 0 0 585099. 2024.56 0.25 0.05 0.09 -1 -1 0.25 0.0205513 0.0181746 123 52 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 5.26 vpr 64.57 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33616 -1 -1 35 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 26.0 MiB 0.09 929 10247 2547 6712 988 64.6 MiB 0.08 0.00 3.51555 -88.3652 -3.51555 3.51555 0.93 0.000412353 0.000375515 0.0219296 0.0199814 22 2667 41 6.64007e+06 439530 420624. 1455.45 2.13 0.117396 0.102421 20818 92861 -1 2101 23 1262 2448 183259 39211 3.79982 3.79982 -112.787 -3.79982 0 0 500653. 1732.36 0.22 0.07 0.09 -1 -1 0.22 0.0216673 0.0190495 115 20 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.40 vpr 64.30 MiB -1 -1 0.16 20268 1 0.03 -1 -1 33524 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65844 30 32 317 269 1 152 80 17 17 289 -1 unnamed_device 25.8 MiB 0.14 630 13152 4366 5924 2862 64.3 MiB 0.10 0.00 3.29461 -91.6276 -3.29461 3.29461 0.94 0.000478273 0.000432678 0.037499 0.0339659 32 1968 34 6.64007e+06 226044 554710. 1919.41 1.09 0.111234 0.0972197 22834 132086 -1 1591 20 1273 2169 148041 36356 3.09117 3.09117 -109.623 -3.09117 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.021054 0.0186081 108 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.36 vpr 64.79 MiB -1 -1 0.15 20200 1 0.03 -1 -1 33516 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 335 282 1 184 85 17 17 289 -1 unnamed_device 26.3 MiB 0.24 1023 9385 2482 6091 812 64.8 MiB 0.08 0.00 3.14796 -106.886 -3.14796 3.14796 0.95 0.000472809 0.00042961 0.0261089 0.0237711 30 2207 18 6.64007e+06 263718 526063. 1820.29 0.97 0.0866133 0.0760161 22546 126617 -1 1844 17 1064 1516 87977 20525 3.03263 3.03263 -119.645 -3.03263 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0197323 0.0175435 121 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.22 vpr 64.55 MiB -1 -1 0.16 20248 1 0.03 -1 -1 33692 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 26.1 MiB 0.05 1045 12191 3364 7726 1101 64.5 MiB 0.10 0.00 3.68447 -107.387 -3.68447 3.68447 0.95 0.000461541 0.000420562 0.0276066 0.025148 32 2314 23 6.64007e+06 401856 554710. 1919.41 0.95 0.0879886 0.0773677 22834 132086 -1 2040 19 1090 1931 121657 28243 3.81983 3.81983 -124.487 -3.81983 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0200911 0.0178477 127 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.35 vpr 64.94 MiB -1 -1 0.15 20656 1 0.03 -1 -1 34024 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 350 275 1 209 88 17 17 289 -1 unnamed_device 26.2 MiB 0.27 1051 8083 1886 5144 1053 64.9 MiB 0.09 0.00 4.34793 -133.107 -4.34793 4.34793 0.88 0.000507105 0.00046373 0.0236609 0.0216466 32 2930 26 6.64007e+06 301392 554710. 1919.41 0.99 0.0916332 0.0807353 22834 132086 -1 2374 20 1488 2226 147028 34923 4.45708 4.45708 -149.869 -4.45708 0 0 701300. 2426.64 0.28 0.06 0.13 -1 -1 0.28 0.0242935 0.0215975 146 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.51 vpr 64.68 MiB -1 -1 0.15 20552 1 0.03 -1 -1 33944 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 32 32 385 308 1 185 98 17 17 289 -1 unnamed_device 26.1 MiB 0.18 921 11123 2939 7368 816 64.7 MiB 0.10 0.00 4.17072 -114.904 -4.17072 4.17072 0.90 0.000506343 0.000462537 0.0289176 0.0264517 28 2601 24 6.64007e+06 426972 500653. 1732.36 1.29 0.113055 0.100507 21970 115934 -1 2087 17 1069 1860 114441 28969 3.91528 3.91528 -136.144 -3.91528 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.0221192 0.0197548 144 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 4.37 vpr 64.90 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33892 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 26.3 MiB 0.13 1136 16081 4424 9548 2109 64.9 MiB 0.14 0.00 3.73647 -122.312 -3.73647 3.73647 0.96 0.000556928 0.000505498 0.0406239 0.0369691 30 2614 19 6.64007e+06 464646 526063. 1820.29 0.98 0.110682 0.0977358 22546 126617 -1 2163 19 1209 2160 109414 26358 3.58523 3.58523 -134.191 -3.58523 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0244194 0.0217883 140 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.22 vpr 64.22 MiB -1 -1 0.16 20296 1 0.03 -1 -1 33588 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65764 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 25.8 MiB 0.10 797 11806 4058 5679 2069 64.2 MiB 0.09 0.00 3.00301 -90.9444 -3.00301 3.00301 0.96 0.000422145 0.000385014 0.0307688 0.0280371 32 1703 19 6.64007e+06 238602 554710. 1919.41 0.93 0.0834973 0.0736997 22834 132086 -1 1571 21 1067 1906 128544 29683 2.89197 2.89197 -99.3264 -2.89197 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0200092 0.0176843 104 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.29 vpr 64.93 MiB -1 -1 0.18 20572 1 0.03 -1 -1 33964 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 30 32 375 299 1 187 85 17 17 289 -1 unnamed_device 26.4 MiB 0.15 1022 13477 3982 7289 2206 64.9 MiB 0.12 0.00 3.92987 -116.279 -3.92987 3.92987 0.92 0.000525308 0.000477682 0.0409063 0.0372572 28 2406 24 6.64007e+06 288834 500653. 1732.36 0.96 0.113454 0.100255 21970 115934 -1 2115 23 1599 2611 183131 40647 3.85963 3.85963 -135.626 -3.85963 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0277967 0.0245205 138 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 4.88 vpr 64.79 MiB -1 -1 0.17 20632 1 0.03 -1 -1 33732 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 340 270 1 200 90 17 17 289 -1 unnamed_device 26.2 MiB 0.25 1214 16170 4598 9399 2173 64.8 MiB 0.14 0.00 4.18044 -127.637 -4.18044 4.18044 0.95 0.000510173 0.000465069 0.0433891 0.0395492 28 2786 24 6.64007e+06 326508 500653. 1732.36 1.39 0.118827 0.105252 21970 115934 -1 2329 20 1665 2768 197018 43313 4.06449 4.06449 -143.647 -4.06449 0 0 612192. 2118.31 0.28 0.07 0.11 -1 -1 0.28 0.0237939 0.0211636 140 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.48 vpr 64.85 MiB -1 -1 0.17 20740 1 0.03 -1 -1 33916 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 31 32 340 275 1 195 93 17 17 289 -1 unnamed_device 26.3 MiB 0.28 1101 17523 5760 9336 2427 64.8 MiB 0.14 0.00 4.22421 -124.896 -4.22421 4.22421 0.93 0.000493096 0.000449749 0.0441803 0.0402564 32 2546 23 6.64007e+06 376740 554710. 1919.41 0.97 0.110766 0.0978969 22834 132086 -1 2226 20 1388 2035 147695 32663 4.38728 4.38728 -141.853 -4.38728 0 0 701300. 2426.64 0.29 0.06 0.11 -1 -1 0.29 0.0234042 0.0207851 148 43 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.24 vpr 64.49 MiB -1 -1 0.16 20504 1 0.03 -1 -1 33928 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66036 30 32 377 310 1 177 95 17 17 289 -1 unnamed_device 25.9 MiB 0.21 1021 16511 4409 9765 2337 64.5 MiB 0.13 0.00 3.53327 -109.699 -3.53327 3.53327 0.90 0.000465976 0.00042093 0.0404861 0.0366876 32 2233 21 6.64007e+06 414414 554710. 1919.41 0.92 0.103409 0.0908185 22834 132086 -1 2011 19 1082 1765 108131 25453 3.16963 3.16963 -120.227 -3.16963 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.022168 0.0195988 135 78 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.40 vpr 64.71 MiB -1 -1 0.17 20584 1 0.03 -1 -1 33972 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 32 32 365 294 1 185 85 17 17 289 -1 unnamed_device 26.2 MiB 0.12 988 16453 5540 8537 2376 64.7 MiB 0.15 0.00 3.97286 -114.775 -3.97286 3.97286 0.94 0.000498789 0.000452847 0.0489273 0.044595 32 2553 20 6.64007e+06 263718 554710. 1919.41 1.02 0.117611 0.104167 22834 132086 -1 2197 21 1515 2675 178177 41761 3.76462 3.76462 -129.825 -3.76462 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0252037 0.022233 134 54 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.28 vpr 64.90 MiB -1 -1 0.17 20612 1 0.03 -1 -1 33956 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 29 32 378 310 1 177 92 17 17 289 -1 unnamed_device 26.3 MiB 0.17 986 12926 3233 8004 1689 64.9 MiB 0.11 0.00 4.15226 -117.476 -4.15226 4.15226 0.91 0.000535186 0.000485136 0.0354388 0.0322269 30 2251 20 6.64007e+06 389298 526063. 1820.29 0.95 0.102934 0.0907415 22546 126617 -1 1927 16 927 1531 91197 20690 3.53923 3.53923 -123.039 -3.53923 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.020891 0.0186968 132 79 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.05 vpr 64.34 MiB -1 -1 0.15 20364 1 0.03 -1 -1 33980 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 26.0 MiB 0.04 806 12923 4373 6606 1944 64.3 MiB 0.09 0.00 3.02901 -96.2276 -3.02901 3.02901 0.94 0.000389192 0.000348921 0.0319288 0.029098 26 1969 20 6.64007e+06 188370 477104. 1650.88 0.95 0.0864711 0.0765427 21682 110474 -1 1736 19 972 1459 129922 28908 2.93797 2.93797 -111.437 -2.93797 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0175087 0.0154996 96 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.39 vpr 64.55 MiB -1 -1 0.16 20500 1 0.03 -1 -1 33928 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 32 32 373 302 1 176 96 17 17 289 -1 unnamed_device 26.1 MiB 0.22 927 16083 3992 9701 2390 64.6 MiB 0.13 0.00 3.69947 -113.313 -3.69947 3.69947 0.94 0.000546418 0.000496332 0.0416079 0.0378603 30 1943 19 6.64007e+06 401856 526063. 1820.29 0.95 0.108857 0.0961644 22546 126617 -1 1662 21 1118 1893 84033 21774 3.63163 3.63163 -126.674 -3.63163 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.0247923 0.0220268 132 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.73 vpr 64.77 MiB -1 -1 0.17 20684 1 0.03 -1 -1 33960 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 397 314 1 196 86 17 17 289 -1 unnamed_device 26.2 MiB 0.16 1038 7646 1661 5658 327 64.8 MiB 0.08 0.00 3.95187 -123.513 -3.95187 3.95187 0.92 0.000578932 0.000525275 0.0255413 0.0232122 26 2838 22 6.64007e+06 276276 477104. 1650.88 1.42 0.105687 0.0929542 21682 110474 -1 2322 22 1891 3161 222196 50864 3.91582 3.91582 -144.09 -3.91582 0 0 585099. 2024.56 0.27 0.08 0.10 -1 -1 0.27 0.0281049 0.0248962 148 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.21 vpr 64.38 MiB -1 -1 0.15 20264 1 0.03 -1 -1 33832 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 32 32 269 231 1 170 84 17 17 289 -1 unnamed_device 25.8 MiB 0.24 832 8319 2000 5848 471 64.4 MiB 0.07 0.00 3.43261 -99.0262 -3.43261 3.43261 0.90 0.000407136 0.000372733 0.0209187 0.0191083 26 2328 19 6.64007e+06 251160 477104. 1650.88 1.02 0.07595 0.0669007 21682 110474 -1 1843 21 1066 1410 105645 24215 3.10363 3.10363 -113.971 -3.10363 0 0 585099. 2024.56 0.24 0.05 0.10 -1 -1 0.24 0.0192946 0.0169891 109 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.02 vpr 64.15 MiB -1 -1 0.14 20344 1 0.03 -1 -1 33940 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 25.7 MiB 0.05 708 6672 1420 4967 285 64.1 MiB 0.06 0.00 3.02901 -87.4156 -3.02901 3.02901 0.94 0.000401075 0.000366314 0.0163366 0.0149803 30 1746 24 6.64007e+06 263718 526063. 1820.29 0.91 0.0685194 0.0600736 22546 126617 -1 1435 18 948 1595 87485 20862 2.80477 2.80477 -98.823 -2.80477 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0167031 0.0147597 106 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.63 vpr 64.99 MiB -1 -1 0.15 20784 1 0.03 -1 -1 33892 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 348 274 1 211 90 17 17 289 -1 unnamed_device 26.2 MiB 0.23 939 8733 1902 6428 403 65.0 MiB 0.08 0.00 4.06553 -126.825 -4.06553 4.06553 0.90 0.000513214 0.00046938 0.0241152 0.0220669 28 2851 28 6.64007e+06 326508 500653. 1732.36 1.36 0.106171 0.0940707 21970 115934 -1 2274 21 1730 2302 181123 43563 4.30309 4.30309 -150.935 -4.30309 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0244833 0.0217725 144 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 4.43 vpr 64.85 MiB -1 -1 0.16 20760 1 0.03 -1 -1 33912 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 356 289 1 202 93 17 17 289 -1 unnamed_device 26.2 MiB 0.22 1068 15423 4268 8888 2267 64.9 MiB 0.13 0.00 4.05281 -122.297 -4.05281 4.05281 0.94 0.000512813 0.000472067 0.0412203 0.0376085 32 2502 25 6.64007e+06 364182 554710. 1919.41 0.98 0.110627 0.0977328 22834 132086 -1 2251 18 1473 2252 143270 33995 4.33109 4.33109 -144.02 -4.33109 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0222111 0.0197937 155 53 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.68 vpr 65.04 MiB -1 -1 0.16 20640 1 0.03 -1 -1 33768 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.5 MiB 0.08 1187 19124 5509 11212 2403 65.0 MiB 0.16 0.00 4.49732 -123.909 -4.49732 4.49732 0.93 0.000524048 0.000470508 0.04597 0.0418406 26 3053 26 6.64007e+06 452088 477104. 1650.88 1.37 0.128869 0.114576 21682 110474 -1 2612 19 1474 2750 211797 46129 4.78088 4.78088 -150.953 -4.78088 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0238205 0.0211983 153 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.22 vpr 64.61 MiB -1 -1 0.17 20292 1 0.03 -1 -1 33848 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 30 32 316 264 1 162 94 17 17 289 -1 unnamed_device 26.3 MiB 0.13 794 9466 2086 6910 470 64.6 MiB 0.08 0.00 2.8471 -85.0982 -2.8471 2.8471 0.94 0.000482359 0.000436998 0.0232042 0.0211076 32 1890 20 6.64007e+06 401856 554710. 1919.41 0.94 0.0816135 0.071518 22834 132086 -1 1700 18 1180 2054 140247 33477 2.93917 2.93917 -102.027 -2.93917 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0198486 0.0175807 121 47 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.01 vpr 64.36 MiB -1 -1 0.15 20484 1 0.03 -1 -1 33920 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 26.0 MiB 0.05 674 11776 4790 5774 1212 64.4 MiB 0.08 0.00 2.8251 -80.1721 -2.8251 2.8251 0.94 0.000391898 0.000357387 0.0286321 0.0261299 30 1427 20 6.64007e+06 263718 526063. 1820.29 0.89 0.0776547 0.0683993 22546 126617 -1 1278 17 686 1020 59031 13742 2.77497 2.77497 -92.8344 -2.77497 0 0 666494. 2306.21 0.28 0.04 0.12 -1 -1 0.28 0.0161 0.0143017 97 26 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 4.47 vpr 65.06 MiB -1 -1 0.16 20492 1 0.03 -1 -1 33652 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 26.7 MiB 0.23 1359 16974 5069 10032 1873 65.1 MiB 0.16 0.00 3.53756 -116.72 -3.53756 3.53756 0.89 0.000542981 0.000491448 0.0504875 0.0457043 32 3660 23 6.64007e+06 326508 554710. 1919.41 1.05 0.131053 0.115892 22834 132086 -1 3035 23 2208 3702 281206 60198 4.03123 4.03123 -141.398 -4.03123 0 0 701300. 2426.64 0.29 0.09 0.11 -1 -1 0.29 0.0297366 0.026301 170 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.56 vpr 64.98 MiB -1 -1 0.15 20600 1 0.03 -1 -1 33976 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 31 32 365 296 1 193 86 17 17 289 -1 unnamed_device 26.2 MiB 0.31 951 15206 4197 8608 2401 65.0 MiB 0.13 0.00 4.33341 -126.789 -4.33341 4.33341 0.94 0.000510912 0.000467075 0.0453836 0.0413596 32 2397 19 6.64007e+06 288834 554710. 1919.41 1.01 0.113088 0.100233 22834 132086 -1 1970 20 1361 2299 142685 35836 4.41229 4.41229 -145.198 -4.41229 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0244899 0.0217388 152 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.45 vpr 64.54 MiB -1 -1 0.16 20744 1 0.03 -1 -1 33988 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66092 32 32 331 280 1 174 83 17 17 289 -1 unnamed_device 26.2 MiB 0.30 926 14483 5297 7170 2016 64.5 MiB 0.11 0.00 3.74495 -109.736 -3.74495 3.74495 0.92 0.000479829 0.000436666 0.0407552 0.0370684 32 2201 25 6.64007e+06 238602 554710. 1919.41 0.96 0.105995 0.0934927 22834 132086 -1 1861 18 1209 1761 134527 30349 3.60863 3.60863 -130.242 -3.60863 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0211179 0.0188317 128 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.24 vpr 64.59 MiB -1 -1 0.16 20316 1 0.03 -1 -1 33880 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 26.2 MiB 0.06 1036 14365 4152 8683 1530 64.6 MiB 0.12 0.00 4.23518 -110.63 -4.23518 4.23518 0.94 0.000486163 0.000444499 0.0346607 0.0315455 32 2279 23 6.64007e+06 376740 554710. 1919.41 0.94 0.0990804 0.087291 22834 132086 -1 1967 22 1250 2039 135547 31812 3.69163 3.69163 -123.184 -3.69163 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0240845 0.0212923 126 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.36 vpr 64.69 MiB -1 -1 0.17 20556 1 0.03 -1 -1 33384 -1 -1 34 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66240 31 32 373 294 1 196 97 17 17 289 -1 unnamed_device 26.2 MiB 0.14 1087 9643 2281 6657 705 64.7 MiB 0.10 0.00 4.20246 -118.632 -4.20246 4.20246 0.95 0.000545696 0.000495272 0.0261259 0.0238572 32 2318 21 6.64007e+06 426972 554710. 1919.41 0.95 0.0940724 0.0827293 22834 132086 -1 2114 18 1497 2473 160924 37402 3.62142 3.62142 -126.679 -3.62142 0 0 701300. 2426.64 0.31 0.06 0.12 -1 -1 0.31 0.023503 0.0208693 145 46 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 4.26 vpr 64.54 MiB -1 -1 0.17 20264 1 0.03 -1 -1 34032 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 26.1 MiB 0.13 1009 8493 2078 5528 887 64.5 MiB 0.08 0.00 2.8933 -91.5477 -2.8933 2.8933 0.96 0.00047952 0.000436096 0.0219824 0.0200934 32 2234 21 6.64007e+06 389298 554710. 1919.41 0.95 0.0828285 0.0727219 22834 132086 -1 1920 17 1027 1794 115359 26727 2.87297 2.87297 -103.937 -2.87297 0 0 701300. 2426.64 0.28 0.05 0.12 -1 -1 0.28 0.0194434 0.0173473 124 46 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.56 vpr 65.08 MiB -1 -1 0.16 20556 1 0.03 -1 -1 33628 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 350 275 1 214 89 17 17 289 -1 unnamed_device 26.6 MiB 0.28 1119 10781 2979 7147 655 65.1 MiB 0.10 0.00 4.13153 -129.919 -4.13153 4.13153 0.96 0.000514477 0.000469121 0.0305527 0.0278025 32 2706 23 6.64007e+06 313950 554710. 1919.41 1.00 0.0987509 0.0870087 22834 132086 -1 2273 19 1861 2789 166184 40299 3.98829 3.98829 -144.542 -3.98829 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0243561 0.0217875 148 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 4.25 vpr 64.66 MiB -1 -1 0.17 20684 1 0.03 -1 -1 33644 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 386 307 1 195 100 17 17 289 -1 unnamed_device 26.1 MiB 0.15 1104 11700 2860 7980 860 64.7 MiB 0.11 0.00 3.87621 -121.227 -3.87621 3.87621 0.93 0.000576991 0.00052486 0.0304677 0.0276921 28 2487 20 6.64007e+06 452088 500653. 1732.36 0.92 0.0997756 0.0874845 21970 115934 -1 2147 20 1056 1758 109021 25582 3.53977 3.53977 -130.685 -3.53977 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0255423 0.022726 144 59 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 3.91 vpr 64.39 MiB -1 -1 0.14 20212 1 0.03 -1 -1 33820 -1 -1 17 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 25.9 MiB 0.07 649 8544 2271 5215 1058 64.4 MiB 0.06 0.00 2.88681 -85.3419 -2.88681 2.88681 0.90 0.00040867 0.0003737 0.0223803 0.020455 32 1394 18 6.64007e+06 213486 554710. 1919.41 0.86 0.0709669 0.0624741 22834 132086 -1 1253 21 831 1206 77536 20313 2.84617 2.84617 -95.4283 -2.84617 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0198571 0.0173413 91 28 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.18 vpr 64.60 MiB -1 -1 0.15 20648 1 0.03 -1 -1 33656 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 310 266 1 175 85 17 17 289 -1 unnamed_device 26.2 MiB 0.20 906 14407 4955 7380 2072 64.6 MiB 0.10 0.00 3.19816 -104.679 -3.19816 3.19816 0.91 0.000377594 0.000343427 0.0356255 0.0323493 32 2003 25 6.64007e+06 263718 554710. 1919.41 0.90 0.0891703 0.0784636 22834 132086 -1 1812 19 1390 1845 136228 31162 3.38223 3.38223 -123.94 -3.38223 0 0 701300. 2426.64 0.28 0.05 0.13 -1 -1 0.28 0.0191572 0.0170127 117 55 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 4.36 vpr 64.38 MiB -1 -1 0.16 20532 1 0.03 -1 -1 33784 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 25.9 MiB 0.07 1074 10772 2559 6550 1663 64.4 MiB 0.09 0.00 3.82167 -106.687 -3.82167 3.82167 0.93 0.00047786 0.000436767 0.0252038 0.0230129 26 2543 22 6.64007e+06 464646 477104. 1650.88 1.15 0.095813 0.0847094 21682 110474 -1 2192 20 1457 2525 173779 38989 3.94703 3.94703 -130.551 -3.94703 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.02196 0.0194091 129 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.21 vpr 64.31 MiB -1 -1 0.15 20100 1 0.03 -1 -1 33868 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 29 32 262 224 1 168 83 17 17 289 -1 unnamed_device 25.8 MiB 0.26 863 8903 2450 5951 502 64.3 MiB 0.07 0.00 3.36661 -94.4008 -3.36661 3.36661 0.93 0.000422555 0.000386137 0.022391 0.0204565 26 2186 26 6.64007e+06 276276 477104. 1650.88 0.91 0.0809795 0.071017 21682 110474 -1 1870 19 1142 1477 98944 23738 3.32403 3.32403 -111.122 -3.32403 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0181348 0.0160919 109 25 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.18 vpr 64.41 MiB -1 -1 0.14 20676 1 0.03 -1 -1 34008 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 32 32 278 238 1 149 81 17 17 289 -1 unnamed_device 25.9 MiB 0.14 780 12156 4109 5877 2170 64.4 MiB 0.09 0.00 3.14521 -97.6445 -3.14521 3.14521 0.94 0.000436705 0.00039693 0.0320065 0.0291305 30 1871 21 6.64007e+06 213486 526063. 1820.29 0.92 0.086778 0.0764246 22546 126617 -1 1526 21 1030 1705 94036 22210 2.68457 2.68457 -102.443 -2.68457 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0198803 0.0175421 108 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.14 vpr 64.91 MiB -1 -1 0.16 20940 1 0.03 -1 -1 33916 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 31 32 373 300 1 181 99 17 17 289 -1 unnamed_device 26.3 MiB 0.13 996 14691 3901 8364 2426 64.9 MiB 0.11 0.00 3.26641 -99.9164 -3.26641 3.26641 0.91 0.000489342 0.000442814 0.0350554 0.0317478 30 2036 20 6.64007e+06 452088 526063. 1820.29 0.92 0.100646 0.0883024 22546 126617 -1 1818 19 1102 1810 100063 22915 2.96337 2.96337 -110.282 -2.96337 0 0 666494. 2306.21 0.29 0.05 0.11 -1 -1 0.29 0.0231508 0.0204581 136 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.66 vpr 64.42 MiB -1 -1 0.15 20300 1 0.03 -1 -1 33640 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 31 32 265 230 1 162 83 17 17 289 -1 unnamed_device 25.9 MiB 0.21 982 12683 3621 7257 1805 64.4 MiB 0.09 0.00 3.15716 -100.932 -3.15716 3.15716 0.93 0.000421012 0.000382732 0.0315461 0.0287811 26 2409 38 6.64007e+06 251160 477104. 1650.88 1.38 0.105447 0.0930228 21682 110474 -1 2037 16 1033 1479 128978 28149 3.07163 3.07163 -114.128 -3.07163 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0167836 0.0149867 107 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 4.21 vpr 64.45 MiB -1 -1 0.16 20476 1 0.03 -1 -1 33524 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66000 32 32 349 286 1 171 96 17 17 289 -1 unnamed_device 26.0 MiB 0.13 1075 16302 4705 9136 2461 64.5 MiB 0.13 0.00 3.01201 -100.358 -3.01201 3.01201 0.94 0.000498386 0.000452576 0.039752 0.0362025 28 2377 21 6.64007e+06 401856 500653. 1732.36 0.93 0.105316 0.0930148 21970 115934 -1 2095 22 1203 2004 129735 29346 2.79997 2.79997 -112.06 -2.79997 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0249498 0.0221348 127 54 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.47 vpr 64.85 MiB -1 -1 0.16 20568 1 0.03 -1 -1 33948 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 26.4 MiB 0.28 913 16727 5100 9076 2551 64.9 MiB 0.14 0.00 3.50555 -110.684 -3.50555 3.50555 0.94 0.000573578 0.000521796 0.0457943 0.0416669 32 2126 21 6.64007e+06 401856 554710. 1919.41 0.94 0.115125 0.101522 22834 132086 -1 1821 18 1174 1713 109682 26171 3.19083 3.19083 -124.221 -3.19083 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0243479 0.021731 138 87 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.22 vpr 64.43 MiB -1 -1 0.15 20404 1 0.03 -1 -1 33968 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 25.9 MiB 0.18 888 13381 3986 7743 1652 64.4 MiB 0.10 0.00 2.6639 -87.2845 -2.6639 2.6639 0.93 0.000443039 0.000403749 0.0362434 0.0327885 28 1944 19 6.64007e+06 213486 500653. 1732.36 0.93 0.0922144 0.0811775 21970 115934 -1 1801 22 966 1555 120817 27049 2.78577 2.78577 -105.27 -2.78577 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0174866 0.0155419 104 54 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.25 vpr 64.66 MiB -1 -1 0.14 20264 1 0.03 -1 -1 33788 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 32 32 290 244 1 175 85 17 17 289 -1 unnamed_device 26.3 MiB 0.22 846 13291 3660 8053 1578 64.7 MiB 0.10 0.00 3.55527 -109.103 -3.55527 3.55527 0.91 0.000443481 0.000403392 0.033578 0.0306007 32 2247 19 6.64007e+06 263718 554710. 1919.41 0.94 0.0886153 0.0780852 22834 132086 -1 1876 19 1337 1984 140078 32977 3.14763 3.14763 -118.7 -3.14763 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0195836 0.0173792 117 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.23 vpr 64.65 MiB -1 -1 0.15 20456 1 0.03 -1 -1 33868 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 32 32 318 257 1 194 87 17 17 289 -1 unnamed_device 26.1 MiB 0.20 1051 13911 3659 8243 2009 64.7 MiB 0.11 0.00 3.86215 -116.454 -3.86215 3.86215 0.94 0.000459497 0.000420384 0.0372946 0.0340072 28 2530 21 6.64007e+06 288834 500653. 1732.36 0.93 0.10131 0.0896302 21970 115934 -1 2203 23 1486 2051 135255 32228 3.90203 3.90203 -135.165 -3.90203 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0243505 0.0215231 130 27 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.27 vpr 64.43 MiB -1 -1 0.16 20656 1 0.03 -1 -1 33768 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 29 32 324 268 1 168 90 17 17 289 -1 unnamed_device 26.0 MiB 0.18 859 15768 4529 8713 2526 64.4 MiB 0.13 0.00 3.68447 -96.6025 -3.68447 3.68447 0.94 0.000473785 0.000433542 0.0397998 0.0363194 26 2162 19 6.64007e+06 364182 477104. 1650.88 0.97 0.10322 0.0914219 21682 110474 -1 1905 18 892 1449 93432 22466 3.55022 3.55022 -113.116 -3.55022 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0202794 0.0180055 122 49 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.49 vpr 64.88 MiB -1 -1 0.15 20464 1 0.03 -1 -1 33764 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 393 312 1 213 88 17 17 289 -1 unnamed_device 26.3 MiB 0.30 1157 9838 2543 6218 1077 64.9 MiB 0.10 0.00 4.33064 -141.129 -4.33064 4.33064 0.94 0.000556424 0.000504345 0.031223 0.0284527 32 2570 23 6.64007e+06 301392 554710. 1919.41 1.00 0.104699 0.0921975 22834 132086 -1 2304 22 1759 2560 175611 41828 4.09849 4.09849 -152.239 -4.09849 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0266466 0.0237105 154 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 3.96 vpr 64.13 MiB -1 -1 0.14 20076 1 0.03 -1 -1 33412 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 25.8 MiB 0.04 706 6906 1534 4834 538 64.1 MiB 0.05 0.00 2.9133 -81.7891 -2.9133 2.9133 0.94 0.000383901 0.000350213 0.0168301 0.0153526 28 1672 18 6.64007e+06 226044 500653. 1732.36 0.85 0.0635507 0.0557477 21970 115934 -1 1535 21 718 1168 75546 17883 2.82396 2.82396 -94.3225 -2.82396 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.0176137 0.0155676 96 -1 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 4.50 vpr 64.99 MiB -1 -1 0.16 20512 1 0.03 -1 -1 33928 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 412 334 1 190 98 17 17 289 -1 unnamed_device 26.4 MiB 0.15 1105 16748 4968 9398 2382 65.0 MiB 0.14 0.00 3.56376 -119.795 -3.56376 3.56376 0.98 0.000571268 0.000518825 0.0449704 0.0408648 32 2545 21 6.64007e+06 426972 554710. 1919.41 1.02 0.119241 0.105211 22834 132086 -1 2199 22 1705 2531 190564 42792 3.74783 3.74783 -138.38 -3.74783 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0269783 0.0238399 145 87 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.37 vpr 64.53 MiB -1 -1 0.17 20548 1 0.03 -1 -1 33528 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66076 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 26.2 MiB 0.25 824 9706 2362 5671 1673 64.5 MiB 0.09 0.00 2.8021 -101.477 -2.8021 2.8021 0.93 0.000533314 0.000483668 0.0315629 0.0287959 32 1768 17 6.64007e+06 213486 554710. 1919.41 0.95 0.0946222 0.0833028 22834 132086 -1 1618 21 1351 2031 133556 30224 2.85677 2.85677 -120.021 -2.85677 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0248141 0.0219546 114 93 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 4.30 vpr 64.74 MiB -1 -1 0.15 20700 1 0.03 -1 -1 33716 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 360 293 1 179 96 17 17 289 -1 unnamed_device 26.2 MiB 0.13 1004 14550 3983 8870 1697 64.7 MiB 0.13 0.00 3.45707 -108.459 -3.45707 3.45707 0.94 0.000517969 0.000470878 0.0371967 0.0339467 32 2217 21 6.64007e+06 401856 554710. 1919.41 0.93 0.102843 0.0906547 22834 132086 -1 1811 16 866 1303 77073 18411 3.09343 3.09343 -111.369 -3.09343 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0211327 0.0188976 131 57 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 4.57 vpr 64.77 MiB -1 -1 0.14 20608 1 0.03 -1 -1 33804 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 396 299 1 236 91 17 17 289 -1 unnamed_device 26.5 MiB 0.31 1295 17839 6169 8853 2817 64.8 MiB 0.16 0.00 5.15449 -155.274 -5.15449 5.15449 0.91 0.000511908 0.000461835 0.0504611 0.0458219 32 3235 26 6.64007e+06 339066 554710. 1919.41 1.04 0.130715 0.115535 22834 132086 -1 2704 21 1968 2788 222083 49560 5.14774 5.14774 -171.414 -5.14774 0 0 701300. 2426.64 0.29 0.08 0.11 -1 -1 0.29 0.027133 0.0240735 170 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.16 vpr 64.23 MiB -1 -1 0.15 20204 1 0.03 -1 -1 33524 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 30 32 224 207 1 137 80 17 17 289 -1 unnamed_device 25.8 MiB 0.17 602 9368 2178 6608 582 64.2 MiB 0.06 0.00 2.5747 -78.1428 -2.5747 2.5747 0.95 0.000362368 0.000330507 0.0212551 0.0193939 28 1556 21 6.64007e+06 226044 500653. 1732.36 0.95 0.0700966 0.0615969 21970 115934 -1 1282 17 751 943 69499 17017 2.40817 2.40817 -89.7622 -2.40817 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.014669 0.013018 87 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.08 vpr 64.10 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33996 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65640 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 25.6 MiB 0.11 610 7382 1813 5031 538 64.1 MiB 0.06 0.00 3.52781 -96.4169 -3.52781 3.52781 0.95 0.000455708 0.000414516 0.0209338 0.0190872 28 1663 19 6.64007e+06 200928 500653. 1732.36 0.91 0.077006 0.0674382 21970 115934 -1 1384 18 728 1074 69622 17765 3.29237 3.29237 -107.775 -3.29237 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.0185906 0.0165762 92 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.08 vpr 64.40 MiB -1 -1 0.14 20392 1 0.03 -1 -1 33616 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 25.9 MiB 0.08 741 10873 2389 7568 916 64.4 MiB 0.08 0.00 2.8981 -91.503 -2.8981 2.8981 0.92 0.00043478 0.000397278 0.0278067 0.0254297 32 2284 22 6.64007e+06 263718 554710. 1919.41 0.93 0.0851248 0.0750498 22834 132086 -1 1775 22 1367 2417 161621 38287 2.91017 2.91017 -108.948 -2.91017 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0223236 0.0197704 115 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.02 vpr 64.19 MiB -1 -1 0.15 20236 1 0.03 -1 -1 33592 -1 -1 27 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65732 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 25.8 MiB 0.05 556 11247 2989 6164 2094 64.2 MiB 0.07 0.00 2.7119 -63.6186 -2.7119 2.7119 0.95 0.000342232 0.000312116 0.0231577 0.0211093 26 1468 20 6.64007e+06 339066 477104. 1650.88 0.91 0.0687129 0.0602792 21682 110474 -1 1215 21 776 1300 91156 21503 2.77777 2.77777 -77.531 -2.77777 0 0 585099. 2024.56 0.27 0.04 0.10 -1 -1 0.27 0.016541 0.0145811 89 19 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 4.37 vpr 64.88 MiB -1 -1 0.16 20536 1 0.03 -1 -1 33912 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 376 307 1 185 85 17 17 289 -1 unnamed_device 26.4 MiB 0.16 1072 15337 4750 8718 1869 64.9 MiB 0.14 0.00 3.61676 -114.072 -3.61676 3.61676 0.96 0.000547809 0.000485502 0.0468529 0.0424652 30 2407 18 6.64007e+06 263718 526063. 1820.29 0.93 0.111501 0.0984814 22546 126617 -1 2105 20 1107 1987 113424 25922 3.47022 3.47022 -128.943 -3.47022 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0244153 0.0216606 136 69 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.44 vpr 64.98 MiB -1 -1 0.18 20588 1 0.04 -1 -1 33872 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 31 32 409 331 1 191 98 17 17 289 -1 unnamed_device 26.4 MiB 0.18 995 17198 4860 9696 2642 65.0 MiB 0.15 0.00 3.48461 -115.73 -3.48461 3.48461 0.95 0.000570082 0.000518321 0.0465932 0.0424328 32 2320 17 6.64007e+06 439530 554710. 1919.41 0.98 0.11598 0.102656 22834 132086 -1 2068 19 1363 2140 144666 33127 3.44303 3.44303 -130.38 -3.44303 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0247196 0.0219481 143 86 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.66 vpr 64.82 MiB -1 -1 0.17 20908 1 0.03 -1 -1 34040 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 32 32 354 285 1 202 94 17 17 289 -1 unnamed_device 26.3 MiB 0.39 1082 17347 4948 10194 2205 64.8 MiB 0.15 0.00 4.10361 -121.4 -4.10361 4.10361 0.95 0.000515203 0.000467641 0.0453745 0.0412516 32 2497 23 6.65987e+06 380340 554710. 1919.41 0.98 0.114856 0.101593 22834 132086 -1 2140 25 1788 2723 175966 43783 4.22077 4.22077 -140.27 -4.22077 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0288472 0.0255062 152 47 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.34 vpr 64.46 MiB -1 -1 0.15 20712 1 0.03 -1 -1 33608 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 30 32 363 293 1 194 85 17 17 289 -1 unnamed_device 26.1 MiB 0.28 991 15523 5586 7790 2147 64.5 MiB 0.13 0.00 3.67516 -115.945 -3.67516 3.67516 0.89 0.000480952 0.000439414 0.044786 0.0408329 32 2444 23 6.65987e+06 291594 554710. 1919.41 0.95 0.112562 0.0997028 22834 132086 -1 2103 23 1775 2677 207960 46484 4.15863 4.15863 -138.726 -4.15863 0 0 701300. 2426.64 0.28 0.08 0.12 -1 -1 0.28 0.0267848 0.0237228 138 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.35 vpr 64.54 MiB -1 -1 0.15 20340 1 0.03 -1 -1 33768 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 26.1 MiB 0.11 1069 9687 2852 6193 642 64.5 MiB 0.08 0.00 3.25104 -98.8467 -3.25104 3.25104 0.97 0.000427885 0.000390226 0.0247734 0.0226453 26 2480 20 6.65987e+06 291594 477104. 1650.88 1.13 0.0889777 0.0787486 21682 110474 -1 2284 19 1207 1670 121344 28933 3.62951 3.62951 -121.513 -3.62951 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.020548 0.0183032 126 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.22 vpr 64.08 MiB -1 -1 0.17 20196 1 0.03 -1 -1 33968 -1 -1 27 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65620 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 25.7 MiB 0.09 893 8278 2000 5724 554 64.1 MiB 0.07 0.00 3.3873 -93.5418 -3.3873 3.3873 0.92 0.00047763 0.000434184 0.0221133 0.020156 28 2101 24 6.65987e+06 342306 500653. 1732.36 1.00 0.0872825 0.0766169 21970 115934 -1 1901 28 1535 3012 240263 73368 3.69157 3.69157 -115.859 -3.69157 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.0278407 0.0245189 126 25 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.52 vpr 64.53 MiB -1 -1 0.15 20328 1 0.03 -1 -1 33716 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 26.0 MiB 0.11 1033 14679 4625 8400 1654 64.5 MiB 0.13 0.00 3.36095 -103.8 -3.36095 3.36095 0.92 0.00047934 0.000436742 0.0400422 0.0364503 32 2758 39 6.65987e+06 291594 554710. 1919.41 1.19 0.122646 0.107931 22834 132086 -1 2289 22 1637 3117 260871 60492 3.33385 3.33385 -125.572 -3.33385 0 0 701300. 2426.64 0.31 0.09 0.13 -1 -1 0.31 0.0270789 0.0240777 130 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.40 vpr 64.50 MiB -1 -1 0.16 20588 1 0.03 -1 -1 33572 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 32 32 366 295 1 189 97 17 17 289 -1 unnamed_device 26.0 MiB 0.16 919 17413 5357 9150 2906 64.5 MiB 0.14 0.00 2.58864 -91.1676 -2.58864 2.58864 0.94 0.000522144 0.000473578 0.0442503 0.0401894 32 2503 18 6.65987e+06 418374 554710. 1919.41 0.98 0.110953 0.09799 22834 132086 -1 2000 20 1341 2148 140478 33987 2.97811 2.97811 -110.611 -2.97811 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0250638 0.0222705 141 55 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 3.99 vpr 64.12 MiB -1 -1 0.13 20120 1 0.03 -1 -1 34192 -1 -1 18 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65656 27 32 259 221 1 130 77 17 17 289 -1 unnamed_device 25.7 MiB 0.17 644 11161 3052 7265 844 64.1 MiB 0.08 0.00 3.02895 -81.0603 -3.02895 3.02895 0.91 0.000359526 0.000325413 0.0275355 0.0250267 30 1460 18 6.65987e+06 228204 526063. 1820.29 0.84 0.0745232 0.0654327 22546 126617 -1 1196 17 609 1030 52113 12846 2.59551 2.59551 -89.2382 -2.59551 0 0 666494. 2306.21 0.28 0.03 0.11 -1 -1 0.28 0.0160934 0.0143451 94 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.11 vpr 64.53 MiB -1 -1 0.15 20116 1 0.03 -1 -1 34052 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 26.1 MiB 0.05 870 11809 2706 8564 539 64.5 MiB 0.09 0.00 2.49244 -77.2921 -2.49244 2.49244 0.94 0.000435307 0.00039745 0.026006 0.0236707 30 2028 17 6.65987e+06 393018 526063. 1820.29 0.93 0.0792759 0.0698657 22546 126617 -1 1695 15 701 1200 76567 17585 2.39611 2.39611 -90.5274 -2.39611 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0168419 0.0151462 115 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.31 vpr 64.52 MiB -1 -1 0.17 20576 1 0.03 -1 -1 33872 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 31 32 317 271 1 168 82 17 17 289 -1 unnamed_device 26.1 MiB 0.17 947 14500 4345 8336 1819 64.5 MiB 0.11 0.00 2.68253 -95.8496 -2.68253 2.68253 0.93 0.000459036 0.000417757 0.0396946 0.0361937 32 2174 26 6.65987e+06 240882 554710. 1919.41 0.94 0.102018 0.0900679 22834 132086 -1 1844 20 1225 1814 125579 28653 3.09771 3.09771 -121.689 -3.09771 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0223041 0.0198023 111 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.35 vpr 64.20 MiB -1 -1 0.15 20136 1 0.03 -1 -1 33636 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65736 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 25.6 MiB 0.26 930 14431 4375 7912 2144 64.2 MiB 0.11 0.00 2.86455 -99.7586 -2.86455 2.86455 0.90 0.000426023 0.000389504 0.0382301 0.0349134 32 1946 19 6.65987e+06 215526 554710. 1919.41 0.91 0.0922618 0.0816977 22834 132086 -1 1763 21 1093 1756 125892 29398 2.70731 2.70731 -108.205 -2.70731 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0213982 0.0190394 113 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.32 vpr 63.94 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33852 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65472 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 25.4 MiB 0.36 715 4304 940 3213 151 63.9 MiB 0.04 0.00 3.13415 -87.8029 -3.13415 3.13415 0.94 0.00045064 0.00041087 0.0137011 0.0125365 28 1618 21 6.65987e+06 215526 500653. 1732.36 0.91 0.0716409 0.0625567 21970 115934 -1 1410 14 646 989 65070 15862 2.80291 2.80291 -98.7068 -2.80291 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0160211 0.0143656 98 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.32 vpr 64.38 MiB -1 -1 0.15 20536 1 0.03 -1 -1 33732 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 32 32 276 237 1 166 81 17 17 289 -1 unnamed_device 26.0 MiB 0.26 1005 8131 2008 5241 882 64.4 MiB 0.07 0.00 2.91589 -97.8016 -2.91589 2.91589 0.94 0.000426234 0.000387861 0.0224884 0.020554 32 2129 23 6.65987e+06 215526 554710. 1919.41 0.94 0.079018 0.06939 22834 132086 -1 1837 20 1016 1363 97086 22484 2.72385 2.72385 -108.178 -2.72385 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0193916 0.0171728 106 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.46 vpr 64.64 MiB -1 -1 0.17 20440 1 0.03 -1 -1 33740 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 26.3 MiB 0.23 1087 16468 5411 8415 2642 64.6 MiB 0.15 0.00 3.37501 -113.673 -3.37501 3.37501 0.94 0.00049588 0.000450918 0.0456554 0.0416192 30 2450 17 6.65987e+06 304272 526063. 1820.29 1.01 0.110801 0.0985065 22546 126617 -1 2094 20 1279 1903 113485 26060 3.13051 3.13051 -120.427 -3.13051 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0232462 0.0206385 139 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.43 vpr 64.77 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33996 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 363 295 1 181 94 17 17 289 -1 unnamed_device 26.2 MiB 0.20 986 17134 5267 9560 2307 64.8 MiB 0.14 0.00 3.76229 -109.603 -3.76229 3.76229 0.95 0.000534578 0.000485364 0.0446356 0.0406598 32 2329 24 6.65987e+06 380340 554710. 1919.41 0.98 0.113503 0.100269 22834 132086 -1 1971 19 1450 2287 164931 37989 3.78251 3.78251 -127.061 -3.78251 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0231237 0.0205181 133 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.09 vpr 64.00 MiB -1 -1 0.15 20288 1 0.03 -1 -1 33708 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65540 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 25.6 MiB 0.14 698 9872 2621 6533 718 64.0 MiB 0.07 0.00 2.56293 -74.8303 -2.56293 2.56293 0.94 0.000408946 0.000373027 0.0238867 0.0218218 30 1649 20 6.65987e+06 266238 526063. 1820.29 0.89 0.073218 0.0645062 22546 126617 -1 1435 16 719 1151 63206 15652 2.53151 2.53151 -86.9201 -2.53151 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.015417 0.0137943 98 21 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.44 vpr 64.67 MiB -1 -1 0.16 20772 1 0.03 -1 -1 33888 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 32 32 370 297 1 183 85 17 17 289 -1 unnamed_device 26.2 MiB 0.40 1096 12361 3666 7320 1375 64.7 MiB 0.11 0.00 3.1755 -102.099 -3.1755 3.1755 0.90 0.000481735 0.000440371 0.0364183 0.0332877 32 2617 23 6.65987e+06 266238 554710. 1919.41 0.95 0.106077 0.0937424 22834 132086 -1 2323 22 1528 2740 215247 48326 3.13537 3.13537 -122.259 -3.13537 0 0 701300. 2426.64 0.29 0.08 0.11 -1 -1 0.29 0.0269411 0.0239323 132 55 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 4.40 vpr 64.39 MiB -1 -1 0.14 20708 1 0.03 -1 -1 34048 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 338 269 1 196 85 17 17 289 -1 unnamed_device 25.8 MiB 0.23 1132 11059 2708 6964 1387 64.4 MiB 0.11 0.00 3.69641 -122.527 -3.69641 3.69641 0.92 0.00052072 0.000466677 0.0327203 0.0298691 32 2558 21 6.65987e+06 266238 554710. 1919.41 0.97 0.0993016 0.0877489 22834 132086 -1 2331 21 1457 1999 161310 37351 3.35197 3.35197 -128.82 -3.35197 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.02567 0.0228678 137 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.32 vpr 64.34 MiB -1 -1 0.15 20292 1 0.03 -1 -1 33584 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 26.0 MiB 0.22 885 11433 3022 7683 728 64.3 MiB 0.09 0.00 2.30864 -87.3984 -2.30864 2.30864 0.93 0.000448899 0.00040752 0.0285026 0.0259465 32 1943 22 6.65987e+06 367662 554710. 1919.41 0.94 0.0896898 0.0787646 22834 132086 -1 1747 18 953 1468 102647 24244 2.15051 2.15051 -99.0054 -2.15051 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0202485 0.0179981 110 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 3.97 vpr 63.94 MiB -1 -1 0.14 19840 1 0.03 -1 -1 33764 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65476 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 25.4 MiB 0.13 657 12465 3732 7266 1467 63.9 MiB 0.08 0.00 1.87027 -66.1942 -1.87027 1.87027 0.93 0.000363347 0.000331607 0.0289885 0.0264973 26 1441 19 6.65987e+06 190170 477104. 1650.88 0.79 0.0732062 0.0645249 21682 110474 -1 1354 16 611 858 65640 15679 1.83285 1.83285 -78.8705 -1.83285 0 0 585099. 2024.56 0.25 0.04 0.11 -1 -1 0.25 0.0141927 0.0125868 81 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.25 vpr 64.38 MiB -1 -1 0.14 20484 1 0.03 -1 -1 33944 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 31 32 291 243 1 171 82 17 17 289 -1 unnamed_device 26.0 MiB 0.34 832 7736 1853 5457 426 64.4 MiB 0.07 0.00 3.73355 -112.498 -3.73355 3.73355 0.92 0.000419528 0.000377191 0.0207448 0.0188782 32 2122 20 6.65987e+06 240882 554710. 1919.41 0.88 0.0731231 0.0640029 22834 132086 -1 1767 19 1039 1504 111432 26880 3.56017 3.56017 -127.621 -3.56017 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0190543 0.0169107 127 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.29 vpr 64.61 MiB -1 -1 0.16 20092 1 0.03 -1 -1 33940 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 26.0 MiB 0.06 1026 14351 3572 8513 2266 64.6 MiB 0.11 0.00 3.38856 -108.872 -3.38856 3.38856 0.95 0.000505548 0.000458312 0.0363655 0.0331661 32 2300 50 6.65987e+06 393018 554710. 1919.41 1.09 0.126277 0.110994 22834 132086 -1 2070 20 1311 1981 140162 33324 3.46643 3.46643 -126.077 -3.46643 0 0 701300. 2426.64 0.29 0.06 0.07 -1 -1 0.29 0.0241567 0.0214989 135 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.69 vpr 64.86 MiB -1 -1 0.17 20480 1 0.03 -1 -1 33804 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 32 32 372 300 1 204 87 17 17 289 -1 unnamed_device 26.3 MiB 0.25 1213 10071 2587 6696 788 64.9 MiB 0.10 0.00 3.36484 -110.526 -3.36484 3.36484 0.94 0.000542008 0.000494716 0.0311251 0.0284202 30 2569 19 6.65987e+06 291594 526063. 1820.29 1.22 0.102914 0.0911783 22546 126617 -1 2118 20 1205 1987 115763 26098 3.20411 3.20411 -119.464 -3.20411 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0251505 0.0223342 142 59 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.26 vpr 63.72 MiB -1 -1 0.13 20012 1 0.03 -1 -1 34140 -1 -1 18 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65252 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 25.3 MiB 0.28 367 10956 3949 4559 2448 63.7 MiB 0.06 0.00 1.89953 -52.3182 -1.89953 1.89953 0.91 0.000295676 0.000268848 0.0222832 0.0202766 28 1154 25 6.65987e+06 228204 500653. 1732.36 1.01 0.0681052 0.059924 21970 115934 -1 986 20 647 902 74712 21727 2.02411 2.02411 -68.1052 -2.02411 0 0 612192. 2118.31 0.28 0.04 0.11 -1 -1 0.28 0.0141793 0.0125285 77 21 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.13 vpr 64.31 MiB -1 -1 0.13 20408 1 0.03 -1 -1 33832 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 25.9 MiB 0.10 929 5665 1132 4314 219 64.3 MiB 0.05 0.00 3.9748 -101.056 -3.9748 3.9748 0.90 0.000372729 0.000340239 0.0149717 0.0137113 28 2308 25 6.65987e+06 266238 500653. 1732.36 1.11 0.084581 0.0747593 21970 115934 -1 1997 21 978 1818 126245 29611 3.74256 3.74256 -117.061 -3.74256 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.0213276 0.0190088 118 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.05 vpr 63.75 MiB -1 -1 0.12 19984 1 0.03 -1 -1 33468 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65280 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 25.3 MiB 0.03 445 10038 3155 4475 2408 63.8 MiB 0.05 0.00 1.96647 -57.992 -1.96647 1.96647 0.95 0.000279802 0.000253753 0.0197132 0.0179678 28 1372 39 6.65987e+06 177492 500653. 1732.36 0.98 0.0687207 0.0603129 21970 115934 -1 1046 13 531 594 65791 18934 1.94431 1.94431 -71.9175 -1.94431 0 0 612192. 2118.31 0.28 0.03 0.11 -1 -1 0.28 0.0107858 0.00967614 79 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.36 vpr 64.41 MiB -1 -1 0.15 20380 1 0.03 -1 -1 34024 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65960 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 26.0 MiB 0.10 952 15217 4231 8557 2429 64.4 MiB 0.12 0.00 3.42395 -99.6489 -3.42395 3.42395 0.95 0.000456153 0.000416571 0.0357519 0.0326393 32 2057 23 6.65987e+06 380340 554710. 1919.41 0.95 0.0970718 0.0858967 22834 132086 -1 1845 21 1129 1777 127226 29850 3.28665 3.28665 -110.197 -3.28665 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0216195 0.0191242 123 21 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.23 vpr 64.31 MiB -1 -1 0.16 20120 1 0.03 -1 -1 33972 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.9 MiB 0.10 958 7007 1494 4955 558 64.3 MiB 0.07 0.00 3.02324 -88.0996 -3.02324 3.02324 0.95 0.000452974 0.000413294 0.0170439 0.0155431 32 2305 24 6.65987e+06 393018 554710. 1919.41 0.95 0.077965 0.0683549 22834 132086 -1 1995 20 1205 2109 142255 33292 2.82597 2.82597 -104.202 -2.82597 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.021428 0.0190304 128 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.32 vpr 64.59 MiB -1 -1 0.17 20180 1 0.03 -1 -1 33976 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 32 32 338 277 1 179 90 17 17 289 -1 unnamed_device 26.1 MiB 0.12 1088 16371 5050 9180 2141 64.6 MiB 0.14 0.00 3.32969 -104.657 -3.32969 3.32969 0.95 0.000505414 0.000461088 0.0433339 0.0394649 30 2264 22 6.65987e+06 329628 526063. 1820.29 0.96 0.108071 0.0955544 22546 126617 -1 1975 20 1173 2077 116844 27378 3.28465 3.28465 -117.946 -3.28465 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0230795 0.0205074 125 47 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.06 vpr 64.32 MiB -1 -1 0.15 20336 1 0.03 -1 -1 33708 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65860 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 25.7 MiB 0.05 780 7132 1689 4801 642 64.3 MiB 0.06 0.00 2.29953 -80.5951 -2.29953 2.29953 0.94 0.000425908 0.000387963 0.0200938 0.0183809 28 1888 23 6.65987e+06 202848 500653. 1732.36 0.96 0.0792776 0.0695635 21970 115934 -1 1757 17 967 1474 112737 26088 2.79185 2.79185 -103.87 -2.79185 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0184442 0.0165095 101 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.01 vpr 64.20 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33496 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65740 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 25.7 MiB 0.09 677 6781 1373 4947 461 64.2 MiB 0.05 0.00 2.39767 -75.3822 -2.39767 2.39767 0.93 0.000397726 0.000362924 0.0165157 0.0151031 26 1775 25 6.65987e+06 291594 477104. 1650.88 0.91 0.0736173 0.0644979 21682 110474 -1 1501 19 949 1491 104178 25148 2.63945 2.63945 -93.4285 -2.63945 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0182477 0.0162219 97 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 6.19 vpr 63.99 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33716 -1 -1 23 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65528 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 25.5 MiB 0.05 610 15023 6381 7775 867 64.0 MiB 0.10 0.00 2.58899 -72.1138 -2.58899 2.58899 0.91 0.00038067 0.000344737 0.0339003 0.030738 34 1712 24 6.65987e+06 291594 585099. 2024.56 3.03 0.14175 0.123204 23122 138558 -1 1296 23 964 1640 111241 28067 2.64271 2.64271 -85.5581 -2.64271 0 0 742403. 2568.87 0.30 0.05 0.12 -1 -1 0.30 0.0199189 0.0175676 98 27 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.06 vpr 64.37 MiB -1 -1 0.14 20136 1 0.03 -1 -1 33788 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 25.8 MiB 0.10 842 4763 892 3641 230 64.4 MiB 0.05 0.00 2.97595 -94.2544 -2.97595 2.97595 0.95 0.000412141 0.000377838 0.0130203 0.0119489 30 1799 22 6.65987e+06 240882 526063. 1820.29 0.90 0.0657516 0.0576213 22546 126617 -1 1644 18 941 1590 90315 21554 2.60445 2.60445 -103.129 -2.60445 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0180133 0.0159394 110 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 5.94 vpr 64.23 MiB -1 -1 0.15 20340 1 0.03 -1 -1 33716 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65776 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 25.7 MiB 0.10 756 7326 1472 5645 209 64.2 MiB 0.06 0.00 2.64264 -79.6038 -2.64264 2.64264 0.95 0.000387966 0.000354831 0.017679 0.0161415 28 1814 23 6.65987e+06 342306 500653. 1732.36 2.78 0.132388 0.114478 21970 115934 -1 1589 22 1074 1825 120107 29407 2.53031 2.53031 -95.3791 -2.53031 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0200295 0.0177006 103 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.20 vpr 64.33 MiB -1 -1 0.14 20268 1 0.03 -1 -1 33568 -1 -1 25 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 29 32 291 250 1 153 86 17 17 289 -1 unnamed_device 25.7 MiB 0.22 845 8591 2206 5604 781 64.3 MiB 0.07 0.00 2.43438 -80.6856 -2.43438 2.43438 0.90 0.000423763 0.000387165 0.021457 0.0196102 30 1813 20 6.65987e+06 316950 526063. 1820.29 0.90 0.0758604 0.0666436 22546 126617 -1 1591 16 818 1278 69345 16927 2.03945 2.03945 -87.1229 -2.03945 0 0 666494. 2306.21 0.28 0.04 0.11 -1 -1 0.28 0.0170184 0.0151889 105 48 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.45 vpr 65.00 MiB -1 -1 0.17 20676 1 0.03 -1 -1 33568 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 367 282 1 201 101 17 17 289 -1 unnamed_device 26.4 MiB 0.27 1195 11851 2786 7710 1355 65.0 MiB 0.10 0.00 3.40636 -102.404 -3.40636 3.40636 0.94 0.000544002 0.000493696 0.0298443 0.0271585 32 2753 19 6.65987e+06 469086 554710. 1919.41 0.96 0.0974798 0.0858617 22834 132086 -1 2355 20 1401 2338 158424 36552 3.72063 3.72063 -125.194 -3.72063 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0255826 0.022828 150 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.63 vpr 64.91 MiB -1 -1 0.17 20532 1 0.03 -1 -1 33896 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 391 311 1 192 100 17 17 289 -1 unnamed_device 26.5 MiB 0.28 994 9380 1964 6772 644 64.9 MiB 0.10 0.00 3.23435 -108.791 -3.23435 3.23435 0.97 0.000562035 0.00051058 0.025568 0.0233225 28 2319 25 6.65987e+06 456408 500653. 1732.36 1.13 0.104543 0.0918597 21970 115934 -1 2109 18 1691 2670 165526 40765 2.84977 2.84977 -117.024 -2.84977 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0241024 0.0215028 146 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 5.93 vpr 64.31 MiB -1 -1 0.15 20368 1 0.03 -1 -1 33952 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 31 32 279 237 1 161 80 17 17 289 -1 unnamed_device 26.0 MiB 0.26 839 10400 2738 6807 855 64.3 MiB 0.08 0.00 3.11855 -95.6325 -3.11855 3.11855 0.91 0.000404077 0.000360375 0.026496 0.0240913 28 2006 19 6.65987e+06 215526 500653. 1732.36 2.66 0.134844 0.117145 21970 115934 -1 1760 20 1123 1585 103200 25876 3.20371 3.20371 -114.451 -3.20371 0 0 612192. 2118.31 0.27 0.05 0.10 -1 -1 0.27 0.0188823 0.0167334 109 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.47 vpr 64.55 MiB -1 -1 0.16 20748 1 0.03 -1 -1 33908 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 31 32 370 297 1 186 87 17 17 289 -1 unnamed_device 26.0 MiB 0.27 944 13335 3182 8688 1465 64.5 MiB 0.12 0.00 3.29135 -102.08 -3.29135 3.29135 0.97 0.000627452 0.000578395 0.0398324 0.0362878 28 2341 23 6.65987e+06 304272 500653. 1732.36 0.95 0.110626 0.0977307 21970 115934 -1 1929 17 1165 1998 120576 30886 2.99297 2.99297 -115.792 -2.99297 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0225702 0.0202157 137 57 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.78 vpr 64.37 MiB -1 -1 0.18 20612 1 0.03 -1 -1 33820 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65912 31 32 377 302 1 233 90 17 17 289 -1 unnamed_device 26.4 MiB 0.36 1278 15366 4289 8800 2277 64.4 MiB 0.16 0.00 4.69513 -143.575 -4.69513 4.69513 0.94 0.000537027 0.000483401 0.0435568 0.0397172 32 3144 34 6.65987e+06 342306 554710. 1919.41 1.13 0.127325 0.112423 22834 132086 -1 2547 20 2121 3150 221640 52251 5.14489 5.14489 -173.452 -5.14489 0 0 701300. 2426.64 0.29 0.08 0.13 -1 -1 0.29 0.0258137 0.023048 170 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 5.74 vpr 64.82 MiB -1 -1 0.18 20684 1 0.03 -1 -1 33764 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 31 32 383 305 1 210 88 17 17 289 -1 unnamed_device 26.2 MiB 1.37 979 10228 2485 6782 961 64.8 MiB 0.10 0.00 3.8685 -115.087 -3.8685 3.8685 0.96 0.000555267 0.000505776 0.0316147 0.0289005 30 2265 26 6.65987e+06 316950 526063. 1820.29 1.13 0.113756 0.100856 22546 126617 -1 1868 20 1176 1849 97531 23388 3.82617 3.82617 -131.865 -3.82617 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0257173 0.0229971 162 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.46 vpr 64.61 MiB -1 -1 0.16 20520 1 0.03 -1 -1 34064 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 31 32 352 285 1 184 92 17 17 289 -1 unnamed_device 26.1 MiB 0.23 840 9821 2395 6460 966 64.6 MiB 0.09 0.00 3.49215 -102.722 -3.49215 3.49215 0.93 0.000511701 0.000465409 0.0268559 0.0245114 32 2431 31 6.65987e+06 367662 554710. 1919.41 1.03 0.102305 0.0898649 22834 132086 -1 1911 21 1241 2075 166440 42461 2.97631 2.97631 -111.568 -2.97631 0 0 701300. 2426.64 0.31 0.07 0.12 -1 -1 0.31 0.0252642 0.0225073 133 51 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.57 vpr 64.42 MiB -1 -1 0.15 20440 1 0.03 -1 -1 34068 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 32 32 291 242 1 179 86 17 17 289 -1 unnamed_device 26.0 MiB 0.17 1063 12749 3886 7815 1048 64.4 MiB 0.10 0.00 3.22104 -94.7966 -3.22104 3.22104 0.95 0.000442884 0.000404384 0.0322319 0.0294264 26 2675 43 6.65987e+06 278916 477104. 1650.88 1.26 0.12042 0.106959 21682 110474 -1 2336 23 1519 2320 206531 47583 3.71865 3.71865 -120.121 -3.71865 0 0 585099. 2024.56 0.26 0.08 0.10 -1 -1 0.26 0.0239794 0.0212741 118 24 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.49 vpr 64.95 MiB -1 -1 0.18 20872 1 0.03 -1 -1 33964 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 457 356 1 223 102 17 17 289 -1 unnamed_device 26.8 MiB 0.30 1158 10098 2280 7234 584 64.9 MiB 0.11 0.00 4.06967 -130.913 -4.06967 4.06967 0.92 0.000603353 0.000547585 0.029257 0.0266566 30 2693 19 6.65987e+06 481764 526063. 1820.29 0.97 0.106927 0.0941019 22546 126617 -1 2301 18 1233 2036 112610 26634 4.12657 4.12657 -147.574 -4.12657 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0287344 0.0258285 172 84 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.00 vpr 64.13 MiB -1 -1 0.14 20288 1 0.03 -1 -1 33704 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65672 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 25.6 MiB 0.12 657 7404 1565 5181 658 64.1 MiB 0.06 0.00 2.74078 -77.1956 -2.74078 2.74078 0.90 0.000405556 0.000368464 0.0198922 0.0180369 32 1758 22 6.65987e+06 266238 554710. 1919.41 0.89 0.0719665 0.0629502 22834 132086 -1 1601 22 1157 1905 135870 35014 2.79785 2.79785 -100.617 -2.79785 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0195724 0.017311 101 24 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 7.85 vpr 64.85 MiB -1 -1 0.16 20668 1 0.03 -1 -1 33784 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 31 32 337 267 1 205 86 17 17 289 -1 unnamed_device 26.3 MiB 0.24 1194 8213 1995 5407 811 64.9 MiB 0.08 0.00 4.03882 -126.169 -4.03882 4.03882 0.93 0.000509145 0.000464745 0.0242447 0.0221493 28 2885 20 6.65987e+06 291594 500653. 1732.36 4.46 0.19914 0.173497 21970 115934 -1 2513 22 1529 2215 161554 36715 4.00448 4.00448 -139.936 -4.00448 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0252442 0.022473 142 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 6.68 vpr 64.39 MiB -1 -1 0.16 20472 1 0.03 -1 -1 33780 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65936 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 25.8 MiB 0.15 1105 10975 2907 7171 897 64.4 MiB 0.10 0.00 3.1757 -99.4614 -3.1757 3.1757 0.96 0.000500207 0.000454407 0.0275933 0.0251202 26 2882 30 6.65987e+06 418374 477104. 1650.88 3.34 0.175696 0.153478 21682 110474 -1 2332 19 1166 2063 151132 34234 3.21931 3.21931 -119.38 -3.21931 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.0233538 0.0208302 131 50 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.35 vpr 64.40 MiB -1 -1 0.14 20196 1 0.03 -1 -1 33552 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65944 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 25.9 MiB 0.10 935 12568 4209 6533 1826 64.4 MiB 0.11 0.00 3.27104 -101.948 -3.27104 3.27104 0.96 0.000483362 0.000441413 0.0320859 0.0293007 28 2380 22 6.65987e+06 304272 500653. 1732.36 1.05 0.0961024 0.0851895 21970 115934 -1 2035 22 1499 2824 192795 44421 3.62125 3.62125 -122.109 -3.62125 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0228376 0.0202907 123 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 5.08 vpr 64.71 MiB -1 -1 0.17 20632 1 0.03 -1 -1 33948 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 32 32 353 287 1 198 86 17 17 289 -1 unnamed_device 26.4 MiB 0.37 1116 7268 1692 5167 409 64.7 MiB 0.08 0.00 3.4346 -106.79 -3.4346 3.4346 0.94 0.000517368 0.000473779 0.0225166 0.0205776 26 2889 33 6.65987e+06 278916 477104. 1650.88 1.57 0.106515 0.093671 21682 110474 -1 2359 24 1293 1862 207774 61931 3.27491 3.27491 -122.259 -3.27491 0 0 585099. 2024.56 0.26 0.09 0.10 -1 -1 0.26 0.0289008 0.0257373 136 52 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.40 vpr 64.62 MiB -1 -1 0.15 20444 1 0.03 -1 -1 33848 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 361 291 1 185 95 17 17 289 -1 unnamed_device 26.1 MiB 0.37 979 18023 5753 9211 3059 64.6 MiB 0.14 0.00 2.9071 -96.889 -2.9071 2.9071 0.92 0.000499324 0.000454125 0.0425529 0.0385303 30 2200 15 6.65987e+06 393018 526063. 1820.29 0.91 0.101834 0.0897752 22546 126617 -1 1896 16 953 1630 96428 22151 2.75951 2.75951 -109.697 -2.75951 0 0 666494. 2306.21 0.28 0.05 0.11 -1 -1 0.28 0.0202317 0.0180418 132 52 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.59 vpr 64.77 MiB -1 -1 0.17 20508 1 0.03 -1 -1 33716 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 382 305 1 192 100 17 17 289 -1 unnamed_device 26.4 MiB 0.39 1074 17500 4856 10083 2561 64.8 MiB 0.15 0.00 3.47495 -108.457 -3.47495 3.47495 0.94 0.000561224 0.000512648 0.0442097 0.0402914 28 2706 21 6.65987e+06 456408 500653. 1732.36 0.98 0.115763 0.102498 21970 115934 -1 2340 20 1358 2079 161170 35545 3.30071 3.30071 -125.12 -3.30071 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0259193 0.0230627 144 59 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.59 vpr 64.51 MiB -1 -1 0.15 20192 1 0.03 -1 -1 33812 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 26.1 MiB 0.10 837 13113 3767 8202 1144 64.5 MiB 0.11 0.00 3.17284 -95.5583 -3.17284 3.17284 0.94 0.000457997 0.000417195 0.0312005 0.0284387 26 2199 26 6.65987e+06 367662 477104. 1650.88 1.34 0.099493 0.0877397 21682 110474 -1 1881 18 1201 1979 126397 31562 3.31905 3.31905 -113.899 -3.31905 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0202436 0.0180516 122 21 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.20 vpr 64.77 MiB -1 -1 0.16 20464 1 0.03 -1 -1 33716 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 319 257 1 198 87 17 17 289 -1 unnamed_device 26.1 MiB 0.12 1082 7575 1544 5531 500 64.8 MiB 0.08 0.00 3.83975 -114.873 -3.83975 3.83975 0.93 0.000507082 0.00046293 0.0216547 0.0198004 30 2403 22 6.65987e+06 291594 526063. 1820.29 0.96 0.0874331 0.0769656 22546 126617 -1 2036 18 1163 1700 95574 22681 3.45505 3.45505 -122.956 -3.45505 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0206769 0.0184053 133 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 5.31 vpr 65.01 MiB -1 -1 0.17 20544 1 0.03 -1 -1 34096 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 31 32 373 299 1 202 86 17 17 289 -1 unnamed_device 26.5 MiB 0.29 1011 10859 3024 7192 643 65.0 MiB 0.11 0.00 3.91127 -116.488 -3.91127 3.91127 0.97 0.000555188 0.000497047 0.0345358 0.031299 30 2667 30 6.65987e+06 291594 526063. 1820.29 1.79 0.121672 0.107601 22546 126617 -1 2076 25 1435 2395 130264 32555 3.98043 3.98043 -130.373 -3.98043 0 0 666494. 2306.21 0.27 0.07 0.12 -1 -1 0.27 0.0298231 0.0264025 146 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 4.47 vpr 64.54 MiB -1 -1 0.16 20616 1 0.03 -1 -1 33992 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66084 32 32 387 315 1 189 85 17 17 289 -1 unnamed_device 25.9 MiB 0.18 997 14407 4900 6840 2667 64.5 MiB 0.13 0.00 3.25298 -104.391 -3.25298 3.25298 0.96 0.000478399 0.000434607 0.044965 0.0410048 32 2790 23 6.65987e+06 266238 554710. 1919.41 1.02 0.11996 0.106141 22834 132086 -1 2291 23 1669 2893 218043 49735 3.45919 3.45919 -124.054 -3.45919 0 0 701300. 2426.64 0.31 0.08 0.12 -1 -1 0.31 0.028945 0.0256146 135 74 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.06 vpr 64.25 MiB -1 -1 0.13 20292 1 0.03 -1 -1 33772 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65792 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 25.7 MiB 0.09 768 15688 4431 9441 1816 64.2 MiB 0.10 0.00 2.59064 -80.8644 -2.59064 2.59064 0.91 0.000377755 0.000343968 0.0331297 0.0302952 26 1818 33 6.65987e+06 304272 477104. 1650.88 1.01 0.0980777 0.0868009 21682 110474 -1 1643 20 784 1234 96298 24028 2.65551 2.65551 -94.985 -2.65551 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0182893 0.0162279 97 20 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.38 vpr 64.45 MiB -1 -1 0.15 20440 1 0.03 -1 -1 33740 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66000 32 32 341 285 1 187 84 17 17 289 -1 unnamed_device 26.0 MiB 0.16 893 10881 3119 6879 883 64.5 MiB 0.10 0.00 3.1319 -108.409 -3.1319 3.1319 0.94 0.00050555 0.00045867 0.0316925 0.0287722 32 2445 25 6.65987e+06 253560 554710. 1919.41 1.00 0.0992626 0.0871717 22834 132086 -1 2109 22 1631 2322 181640 42344 3.05837 3.05837 -123.946 -3.05837 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0250638 0.0222055 125 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.59 vpr 64.60 MiB -1 -1 0.16 20480 1 0.03 -1 -1 34080 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 387 293 1 234 92 17 17 289 -1 unnamed_device 26.6 MiB 0.18 1365 17894 5620 9896 2378 64.6 MiB 0.17 0.00 4.23387 -130.947 -4.23387 4.23387 0.98 0.000566669 0.000515109 0.0521362 0.0475379 30 2828 21 6.65987e+06 354984 526063. 1820.29 1.02 0.127943 0.11361 22546 126617 -1 2266 22 1407 2297 119997 29417 4.49337 4.49337 -149.347 -4.49337 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0277752 0.0246098 168 28 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.48 vpr 64.46 MiB -1 -1 0.16 20160 1 0.03 -1 -1 33636 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 32 32 340 270 1 181 95 17 17 289 -1 unnamed_device 25.9 MiB 0.26 950 9815 2017 7316 482 64.5 MiB 0.09 0.00 3.56446 -108.073 -3.56446 3.56446 0.98 0.000510944 0.000467707 0.0256401 0.0234545 32 2179 19 6.65987e+06 393018 554710. 1919.41 0.95 0.0893721 0.0787258 22834 132086 -1 1901 18 1130 1822 127002 30936 3.12451 3.12451 -117.777 -3.12451 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.022332 0.0199777 133 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.17 vpr 64.25 MiB -1 -1 0.14 20592 1 0.03 -1 -1 33548 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 25.7 MiB 0.05 797 16468 5182 9134 2152 64.3 MiB 0.11 0.00 2.54444 -82.4839 -2.54444 2.54444 0.93 0.000388082 0.000350982 0.0368352 0.0334097 28 1946 23 6.65987e+06 329628 500653. 1732.36 1.05 0.0965491 0.0853818 21970 115934 -1 1729 22 1207 1995 148673 33989 2.77871 2.77871 -100.946 -2.77871 0 0 612192. 2118.31 0.27 0.06 0.10 -1 -1 0.27 0.0200556 0.0177011 104 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.81 vpr 64.93 MiB -1 -1 0.17 20732 1 0.03 -1 -1 33676 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 431 332 1 235 89 17 17 289 -1 unnamed_device 26.8 MiB 0.36 1389 13355 3617 7994 1744 64.9 MiB 0.14 0.00 5.14349 -155.118 -5.14349 5.14349 0.94 0.0006577 0.000600962 0.0434142 0.0395196 28 3339 22 6.65987e+06 316950 500653. 1732.36 1.18 0.130097 0.115349 21970 115934 -1 2809 22 1913 2774 199125 44985 5.39214 5.39214 -172.722 -5.39214 0 0 612192. 2118.31 0.26 0.08 0.11 -1 -1 0.26 0.029735 0.0264447 168 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.45 vpr 64.61 MiB -1 -1 0.16 20276 1 0.03 -1 -1 33540 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 336 268 1 174 96 17 17 289 -1 unnamed_device 26.1 MiB 0.29 868 12579 3577 7733 1269 64.6 MiB 0.10 0.00 3.54535 -106.254 -3.54535 3.54535 0.93 0.000498398 0.000454871 0.0310812 0.0283726 32 2162 21 6.65987e+06 405696 554710. 1919.41 0.94 0.0946215 0.0834925 22834 132086 -1 1875 21 1346 1958 126475 30762 3.45705 3.45705 -119.955 -3.45705 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.023738 0.0211169 130 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 4.23 vpr 64.11 MiB -1 -1 0.15 20220 1 0.03 -1 -1 33720 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65644 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 25.7 MiB 0.05 758 11991 4460 6230 1301 64.1 MiB 0.08 0.00 2.48032 -74.2415 -2.48032 2.48032 0.94 0.000364643 0.00032886 0.0254374 0.0231704 28 1937 21 6.65987e+06 291594 500653. 1732.36 1.10 0.0794012 0.070111 21970 115934 -1 1711 19 952 1603 127811 29712 2.93479 2.93479 -97.5474 -2.93479 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0168571 0.014932 100 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 4.43 vpr 64.70 MiB -1 -1 0.16 20948 1 0.03 -1 -1 33792 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 26.4 MiB 0.13 991 12698 3408 8389 901 64.7 MiB 0.11 0.00 4.55326 -107.965 -4.55326 4.55326 0.94 0.000527982 0.00047501 0.0321477 0.0293049 32 2689 23 6.65987e+06 431052 554710. 1919.41 1.06 0.104281 0.0921313 22834 132086 -1 2047 22 1423 2650 176317 42540 4.40602 4.40602 -127.214 -4.40602 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0263105 0.0233556 139 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.11 vpr 64.18 MiB -1 -1 0.15 20148 1 0.03 -1 -1 33764 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65720 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 25.7 MiB 0.10 849 13992 4392 7270 2330 64.2 MiB 0.10 0.00 2.57564 -85.1096 -2.57564 2.57564 0.93 0.00043147 0.000394263 0.0322141 0.0292852 30 1826 19 6.65987e+06 253560 526063. 1820.29 0.91 0.0830771 0.0732732 22546 126617 -1 1582 17 867 1458 86231 20276 2.51031 2.51031 -98.3607 -2.51031 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0170887 0.0152837 104 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.20 vpr 64.07 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33580 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65612 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 25.5 MiB 0.16 870 16727 4543 10066 2118 64.1 MiB 0.12 0.00 2.98169 -84.6118 -2.98169 2.98169 0.96 0.000419041 0.000380615 0.0355285 0.0324078 28 1841 21 6.65987e+06 418374 500653. 1732.36 0.89 0.0921536 0.0814518 21970 115934 -1 1661 18 809 1451 96866 22479 2.62605 2.62605 -97.3403 -2.62605 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0182042 0.0162123 105 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 9.25 vpr 65.00 MiB -1 -1 0.17 20764 1 0.03 -1 -1 33808 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 29 32 355 287 1 198 85 17 17 289 -1 unnamed_device 26.4 MiB 0.27 905 15151 4340 8002 2809 65.0 MiB 0.13 0.00 3.37101 -98.2294 -3.37101 3.37101 0.93 0.000524598 0.000477756 0.0437121 0.0398233 28 3180 49 6.65987e+06 304272 500653. 1732.36 5.79 0.214291 0.18732 21970 115934 -1 2311 25 1612 2502 197594 48740 4.24677 4.24677 -121.834 -4.24677 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0279351 0.0247132 138 56 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.13 vpr 64.52 MiB -1 -1 0.16 20576 1 0.03 -1 -1 33652 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 26.0 MiB 0.17 1007 6133 1179 4542 412 64.5 MiB 0.06 0.00 3.5135 -109.739 -3.5135 3.5135 0.90 0.000517037 0.000471767 0.0183711 0.0168542 32 2205 22 6.65987e+06 304272 554710. 1919.41 0.93 0.0846087 0.0743861 22834 132086 -1 1931 20 1337 2042 135957 32370 3.43997 3.43997 -125.809 -3.43997 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.024152 0.0215301 130 51 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.53 vpr 64.68 MiB -1 -1 0.16 20528 1 0.03 -1 -1 34016 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 32 32 353 285 1 181 91 17 17 289 -1 unnamed_device 26.2 MiB 0.22 1113 13351 3564 7777 2010 64.7 MiB 0.12 0.00 3.63564 -112.148 -3.63564 3.63564 0.96 0.000514743 0.000468241 0.0362417 0.033087 28 2580 21 6.65987e+06 342306 500653. 1732.36 1.08 0.11201 0.0994623 21970 115934 -1 2291 22 1406 2587 181000 40335 3.64631 3.64631 -128.989 -3.64631 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0267317 0.0237203 132 48 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.34 vpr 64.41 MiB -1 -1 0.15 20572 1 0.03 -1 -1 33748 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65956 32 32 276 237 1 159 80 17 17 289 -1 unnamed_device 25.8 MiB 0.27 822 12464 4139 6011 2314 64.4 MiB 0.10 0.00 3.5308 -102.471 -3.5308 3.5308 0.93 0.000427764 0.000391411 0.0330274 0.0301597 32 2163 22 6.65987e+06 202848 554710. 1919.41 0.94 0.08957 0.0790745 22834 132086 -1 1711 20 1025 1379 107074 24826 3.26497 3.26497 -110.536 -3.26497 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0201013 0.0178654 103 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.26 vpr 64.38 MiB -1 -1 0.14 20808 1 0.03 -1 -1 33996 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 25.9 MiB 0.20 910 12008 3937 6027 2044 64.4 MiB 0.09 0.00 2.85458 -96.8905 -2.85458 2.85458 0.93 0.000420352 0.000379308 0.0316346 0.0287192 32 2232 22 6.65987e+06 240882 554710. 1919.41 0.92 0.0887482 0.0779483 22834 132086 -1 1873 21 1370 2083 159130 36977 2.80825 2.80825 -111.275 -2.80825 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0228415 0.0202591 111 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.32 vpr 64.59 MiB -1 -1 0.16 20260 1 0.03 -1 -1 34072 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 26.1 MiB 0.22 917 13919 3702 7731 2486 64.6 MiB 0.11 0.00 2.72184 -81.6865 -2.72184 2.72184 0.93 0.000454834 0.000411525 0.0332609 0.0301357 32 2134 21 6.65987e+06 418374 554710. 1919.41 0.92 0.0948879 0.0834723 22834 132086 -1 1846 20 1018 1828 119292 28128 2.54119 2.54119 -91.7936 -2.54119 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.0228146 0.0202042 123 52 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.43 vpr 64.20 MiB -1 -1 0.15 20392 1 0.03 -1 -1 33712 -1 -1 35 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65740 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 25.9 MiB 0.12 923 14999 3716 9776 1507 64.2 MiB 0.10 0.00 3.3533 -84.4628 -3.3533 3.3533 0.95 0.000428004 0.000391016 0.0317225 0.0288968 26 2238 28 6.65987e+06 443730 477104. 1650.88 1.16 0.0973195 0.0858826 21682 110474 -1 1916 20 1103 2216 166686 37487 3.74877 3.74877 -105.521 -3.74877 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0199829 0.0176679 115 20 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.36 vpr 64.23 MiB -1 -1 0.16 20252 1 0.03 -1 -1 33676 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 30 32 317 269 1 152 79 17 17 289 -1 unnamed_device 25.7 MiB 0.24 876 8360 2693 4247 1420 64.2 MiB 0.07 0.00 3.29355 -97.3708 -3.29355 3.29355 0.94 0.000464408 0.000423727 0.0253261 0.0231802 32 2024 23 6.65987e+06 215526 554710. 1919.41 0.96 0.0863492 0.0758428 22834 132086 -1 1848 22 1310 2294 201059 44191 2.92671 2.92671 -111.048 -2.92671 0 0 701300. 2426.64 0.28 0.07 0.13 -1 -1 0.28 0.0230467 0.0203838 108 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.36 vpr 64.45 MiB -1 -1 0.16 20668 1 0.03 -1 -1 33524 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65996 32 32 335 282 1 184 84 17 17 289 -1 unnamed_device 26.0 MiB 0.20 1076 12528 3691 6971 1866 64.4 MiB 0.11 0.00 2.94464 -104.132 -2.94464 2.94464 0.92 0.000478569 0.000439282 0.0350928 0.0319531 28 2489 18 6.65987e+06 253560 500653. 1732.36 1.02 0.0981437 0.0868082 21970 115934 -1 2237 17 1175 1706 133543 29540 3.32391 3.32391 -129.613 -3.32391 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.019909 0.0176984 120 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.64 vpr 64.48 MiB -1 -1 0.16 20332 1 0.03 -1 -1 33828 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 26.0 MiB 0.09 1043 11543 3169 7474 900 64.5 MiB 0.10 0.00 3.57527 -104.665 -3.57527 3.57527 0.94 0.000452336 0.000411988 0.0267623 0.0244335 26 2660 43 6.65987e+06 405696 477104. 1650.88 1.43 0.10909 0.0961479 21682 110474 -1 2293 20 1391 2426 182538 42415 3.93902 3.93902 -124.708 -3.93902 0 0 585099. 2024.56 0.25 0.07 0.11 -1 -1 0.25 0.0219598 0.0195562 127 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.86 vpr 64.61 MiB -1 -1 0.15 20696 1 0.03 -1 -1 33796 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 32 32 350 275 1 209 86 17 17 289 -1 unnamed_device 26.1 MiB 0.28 1218 10103 2562 6213 1328 64.6 MiB 0.11 0.00 4.10541 -132.01 -4.10541 4.10541 0.94 0.00055425 0.000506848 0.0310843 0.028456 28 3197 21 6.65987e+06 278916 500653. 1732.36 1.38 0.104985 0.0931682 21970 115934 -1 2627 23 1615 2346 183297 40463 4.31371 4.31371 -155.451 -4.31371 0 0 612192. 2118.31 0.26 0.08 0.11 -1 -1 0.26 0.0276669 0.0246201 144 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.92 vpr 64.54 MiB -1 -1 0.16 20724 1 0.03 -1 -1 33784 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 385 308 1 185 96 17 17 289 -1 unnamed_device 26.3 MiB 0.33 881 11922 3030 7936 956 64.5 MiB 0.11 0.00 3.92821 -107.518 -3.92821 3.92821 0.95 0.000576944 0.000527432 0.0334269 0.0305158 28 2640 46 6.65987e+06 405696 500653. 1732.36 1.38 0.133788 0.118105 21970 115934 -1 2027 20 1110 2064 120131 30552 3.78603 3.78603 -130.049 -3.78603 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0257311 0.0229033 142 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 4.47 vpr 64.89 MiB -1 -1 0.16 20532 1 0.03 -1 -1 33996 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 26.5 MiB 0.27 1143 14201 3555 9036 1610 64.9 MiB 0.13 0.00 3.25355 -109.31 -3.25355 3.25355 0.93 0.000576436 0.000525559 0.0365979 0.0332712 30 2397 23 6.65987e+06 469086 526063. 1820.29 0.97 0.110874 0.0977915 22546 126617 -1 2160 19 1097 1990 106900 25606 3.28671 3.28671 -123.766 -3.28671 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.024468 0.0218793 140 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.35 vpr 64.35 MiB -1 -1 0.15 20724 1 0.03 -1 -1 33920 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65896 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 25.8 MiB 0.22 835 13556 4620 6640 2296 64.4 MiB 0.10 0.00 2.90104 -91.6576 -2.90104 2.90104 0.94 0.000427033 0.000388698 0.0344923 0.0314263 32 2018 24 6.65987e+06 240882 554710. 1919.41 0.98 0.0923349 0.0815122 22834 132086 -1 1710 20 1063 1799 133917 30462 2.51705 2.51705 -97.8856 -2.51705 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.019697 0.0175123 105 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.26 vpr 64.63 MiB -1 -1 0.15 20688 1 0.03 -1 -1 33940 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 30 32 375 299 1 187 83 17 17 289 -1 unnamed_device 26.1 MiB 0.25 882 12503 2705 8987 811 64.6 MiB 0.11 0.00 3.77847 -108.414 -3.77847 3.77847 0.90 0.000514338 0.000468547 0.0386742 0.0353015 30 2270 24 6.65987e+06 266238 526063. 1820.29 0.95 0.110827 0.0981024 22546 126617 -1 1711 22 1354 2126 106713 27724 3.44203 3.44203 -122.731 -3.44203 0 0 666494. 2306.21 0.28 0.06 0.11 -1 -1 0.28 0.0259892 0.0230241 137 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 5.13 vpr 64.39 MiB -1 -1 0.15 20496 1 0.03 -1 -1 33940 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 32 32 340 270 1 200 88 17 17 289 -1 unnamed_device 26.0 MiB 0.29 1286 10033 2525 6558 950 64.4 MiB 0.09 0.00 3.8156 -118.226 -3.8156 3.8156 0.95 0.000501463 0.00045606 0.0284562 0.0259918 26 3105 42 6.65987e+06 304272 477104. 1650.88 1.65 0.120393 0.106074 21682 110474 -1 2487 23 1805 2926 267821 55333 3.76251 3.76251 -133.109 -3.76251 0 0 585099. 2024.56 0.27 0.09 0.10 -1 -1 0.27 0.027711 0.0246566 138 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.42 vpr 64.77 MiB -1 -1 0.16 20364 1 0.03 -1 -1 34004 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 31 32 340 275 1 195 91 17 17 289 -1 unnamed_device 26.1 MiB 0.36 1100 9067 2054 6281 732 64.8 MiB 0.08 0.00 4.10296 -123.19 -4.10296 4.10296 0.93 0.000514703 0.000458799 0.0238417 0.0216921 28 2657 19 6.65987e+06 354984 500653. 1732.36 1.00 0.0894301 0.0788046 21970 115934 -1 2285 20 1324 1983 140863 32587 4.29697 4.29697 -144.686 -4.29697 0 0 612192. 2118.31 0.27 0.06 0.10 -1 -1 0.27 0.023217 0.0206506 146 43 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 5.51 vpr 64.84 MiB -1 -1 0.17 20588 1 0.03 -1 -1 33876 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 30 32 377 310 1 177 93 17 17 289 -1 unnamed_device 26.3 MiB 1.12 924 15843 4862 7882 3099 64.8 MiB 0.13 0.00 3.34201 -101.96 -3.34201 3.34201 0.93 0.000525423 0.000480329 0.0435678 0.0397328 28 2375 24 6.65987e+06 393018 500653. 1732.36 1.19 0.124116 0.110164 21970 115934 -1 2005 19 1410 2381 168628 38843 3.21031 3.21031 -116.537 -3.21031 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.0238914 0.0212421 133 78 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.57 vpr 64.55 MiB -1 -1 0.16 20528 1 0.03 -1 -1 33896 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 32 32 365 294 1 185 84 17 17 289 -1 unnamed_device 26.0 MiB 0.21 983 16371 5129 8896 2346 64.6 MiB 0.15 0.00 3.76955 -109.292 -3.76955 3.76955 0.97 0.000522664 0.000475661 0.0502952 0.0458479 32 2804 21 6.65987e+06 253560 554710. 1919.41 1.03 0.118724 0.10523 22834 132086 -1 2326 18 1533 2659 192369 45436 3.80851 3.80851 -131.034 -3.80851 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0245368 0.0218613 133 54 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.77 vpr 64.64 MiB -1 -1 0.18 20464 1 0.03 -1 -1 33856 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 29 32 378 310 1 177 90 17 17 289 -1 unnamed_device 26.1 MiB 0.39 871 10341 2605 7142 594 64.6 MiB 0.10 0.00 3.57869 -99.9377 -3.57869 3.57869 0.98 0.000544973 0.000494875 0.0298604 0.0272567 26 2335 22 6.65987e+06 367662 477104. 1650.88 1.16 0.103705 0.0913987 21682 110474 -1 1997 22 1366 2111 149210 36145 3.14557 3.14557 -116.718 -3.14557 0 0 585099. 2024.56 0.25 0.07 0.10 -1 -1 0.25 0.0255823 0.0225838 131 79 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.18 vpr 64.04 MiB -1 -1 0.14 20204 1 0.03 -1 -1 33876 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65580 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 25.7 MiB 0.10 756 12923 4307 6520 2096 64.0 MiB 0.09 0.00 2.87075 -89.3775 -2.87075 2.87075 0.95 0.000404846 0.000369112 0.0329213 0.0300362 28 1643 20 6.65987e+06 190170 500653. 1732.36 0.98 0.0853036 0.0757198 21970 115934 -1 1524 18 819 1223 87947 20885 2.56325 2.56325 -100.08 -2.56325 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.017121 0.0152499 96 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.43 vpr 64.59 MiB -1 -1 0.16 20700 1 0.03 -1 -1 33908 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 32 32 373 302 1 176 94 17 17 289 -1 unnamed_device 26.1 MiB 0.28 1050 9253 2058 6158 1037 64.6 MiB 0.09 0.00 3.45695 -110.861 -3.45695 3.45695 0.93 0.000530534 0.000483307 0.0254768 0.0233002 28 2405 20 6.65987e+06 380340 500653. 1732.36 1.00 0.0964612 0.0851085 21970 115934 -1 2126 20 1265 2082 148463 33625 3.74671 3.74671 -130.335 -3.74671 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0242052 0.0214918 130 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.61 vpr 64.84 MiB -1 -1 0.16 20544 1 0.04 -1 -1 33688 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 397 314 1 196 84 17 17 289 -1 unnamed_device 26.5 MiB 0.28 1074 11979 3319 7350 1310 64.8 MiB 0.11 0.00 3.74961 -118.449 -3.74961 3.74961 0.97 0.0005589 0.000508428 0.0401145 0.0365061 32 2502 24 6.65987e+06 253560 554710. 1919.41 1.02 0.116911 0.103222 22834 132086 -1 2197 24 1980 3198 273333 81858 3.78583 3.78583 -140.199 -3.78583 0 0 701300. 2426.64 0.31 0.10 0.13 -1 -1 0.31 0.0303811 0.027011 147 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.69 vpr 64.16 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33780 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65696 32 32 269 231 1 170 83 17 17 289 -1 unnamed_device 25.8 MiB 0.22 1013 12323 3571 6806 1946 64.2 MiB 0.10 0.00 3.19629 -98.5902 -3.19629 3.19629 0.94 0.000415889 0.000378743 0.0312264 0.0285571 26 2297 33 6.65987e+06 240882 477104. 1650.88 1.40 0.101294 0.0897224 21682 110474 -1 2043 18 1145 1516 124245 28150 3.24225 3.24225 -118.26 -3.24225 0 0 585099. 2024.56 0.27 0.05 0.10 -1 -1 0.27 0.0185079 0.0165064 111 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 5.92 vpr 63.90 MiB -1 -1 0.13 20356 1 0.03 -1 -1 33856 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65436 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 25.4 MiB 0.10 828 9051 2110 6405 536 63.9 MiB 0.07 0.00 3.02101 -91.2154 -3.02101 3.02101 0.94 0.000401075 0.000367204 0.0216782 0.019774 28 1877 25 6.65987e+06 266238 500653. 1732.36 2.76 0.133225 0.115302 21970 115934 -1 1706 20 1018 1688 120417 27952 2.81771 2.81771 -101.704 -2.81771 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0184208 0.0163636 106 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.27 vpr 65.01 MiB -1 -1 0.15 20772 1 0.03 -1 -1 33720 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 348 274 1 211 89 17 17 289 -1 unnamed_device 26.4 MiB 0.14 1226 13157 3645 7075 2437 65.0 MiB 0.12 0.00 3.94427 -126.759 -3.94427 3.94427 0.90 0.000501343 0.000457031 0.0358432 0.0327602 28 2879 19 6.65987e+06 316950 500653. 1732.36 1.06 0.110574 0.0983986 21970 115934 -1 2428 21 1359 1840 138328 30838 3.77103 3.77103 -142.296 -3.77103 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.0248891 0.0220508 144 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 5.20 vpr 64.86 MiB -1 -1 0.16 20924 1 0.03 -1 -1 34028 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 356 289 1 202 92 17 17 289 -1 unnamed_device 26.5 MiB 0.47 1179 6509 1367 4878 264 64.9 MiB 0.07 0.00 3.93949 -123.064 -3.93949 3.93949 0.95 0.000526668 0.000480286 0.0190098 0.0174082 26 3137 32 6.65987e+06 354984 477104. 1650.88 1.57 0.0993709 0.0872153 21682 110474 -1 2564 23 1838 2827 234096 52582 4.56757 4.56757 -149.073 -4.56757 0 0 585099. 2024.56 0.26 0.09 0.10 -1 -1 0.26 0.0283294 0.0251312 151 53 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.43 vpr 64.75 MiB -1 -1 0.16 20416 1 0.03 -1 -1 33756 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.1 MiB 0.06 1199 18660 5307 10782 2571 64.7 MiB 0.16 0.00 4.29287 -118.291 -4.29287 4.29287 0.94 0.000536 0.000476835 0.044945 0.0406909 32 2880 24 6.65987e+06 456408 554710. 1919.41 1.07 0.12008 0.106202 22834 132086 -1 2510 22 1754 3131 261952 57720 4.71462 4.71462 -140.982 -4.71462 0 0 701300. 2426.64 0.31 0.09 0.13 -1 -1 0.31 0.0281673 0.0251025 153 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.37 vpr 64.39 MiB -1 -1 0.15 20248 1 0.03 -1 -1 33644 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65940 30 32 316 264 1 162 93 17 17 289 -1 unnamed_device 26.0 MiB 0.22 737 8283 1703 5690 890 64.4 MiB 0.07 0.00 2.60458 -77.596 -2.60458 2.60458 0.92 0.000422846 0.000381977 0.0199861 0.0181969 26 2289 24 6.65987e+06 393018 477104. 1650.88 1.14 0.0872226 0.0766822 21682 110474 -1 1872 18 1251 2084 145515 37174 2.84165 2.84165 -100.606 -2.84165 0 0 585099. 2024.56 0.25 0.06 0.09 -1 -1 0.25 0.020218 0.0178976 120 47 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.10 vpr 64.21 MiB -1 -1 0.15 20328 1 0.03 -1 -1 34272 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65756 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 25.8 MiB 0.05 641 11948 4988 6313 647 64.2 MiB 0.08 0.00 2.7331 -77.2835 -2.7331 2.7331 0.95 0.000386651 0.000352579 0.0287538 0.0262054 28 1656 19 6.65987e+06 266238 500653. 1732.36 0.98 0.0810217 0.0714689 21970 115934 -1 1368 18 1015 1477 106865 25414 2.73577 2.73577 -90.7482 -2.73577 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0173513 0.0154071 97 26 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 4.76 vpr 64.85 MiB -1 -1 0.17 20924 1 0.03 -1 -1 33872 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 26.7 MiB 0.18 1442 16773 4789 10056 1928 64.9 MiB 0.16 0.00 3.4163 -115.859 -3.4163 3.4163 0.94 0.000572233 0.000520475 0.0512764 0.0466484 32 3752 28 6.65987e+06 329628 554710. 1919.41 1.22 0.139885 0.123879 22834 132086 -1 3046 22 2208 3592 292704 64558 3.93103 3.93103 -139.098 -3.93103 0 0 701300. 2426.64 0.31 0.10 0.13 -1 -1 0.31 0.0309617 0.0275322 170 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 5.30 vpr 64.88 MiB -1 -1 0.17 20448 1 0.03 -1 -1 33928 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 31 32 365 296 1 194 84 17 17 289 -1 unnamed_device 26.1 MiB 1.05 995 12345 3297 7914 1134 64.9 MiB 0.11 0.00 4.27986 -124.137 -4.27986 4.27986 0.92 0.000592252 0.000542435 0.0381356 0.0347905 32 2446 23 6.65987e+06 266238 554710. 1919.41 1.02 0.107264 0.0944841 22834 132086 -1 2140 21 1622 2516 194664 44494 4.51549 4.51549 -150.421 -4.51549 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0265821 0.0236482 150 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 5.16 vpr 64.33 MiB -1 -1 0.17 20920 1 0.03 -1 -1 33932 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65872 32 32 331 280 1 175 82 17 17 289 -1 unnamed_device 25.9 MiB 1.00 888 12542 4328 5992 2222 64.3 MiB 0.11 0.00 3.4165 -105.247 -3.4165 3.4165 0.94 0.000504925 0.00045316 0.0368681 0.0334331 32 2289 20 6.65987e+06 228204 554710. 1919.41 0.98 0.0984887 0.0868132 22834 132086 -1 1883 19 1349 1944 135407 31846 3.37017 3.37017 -124.699 -3.37017 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0226848 0.0201613 126 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.29 vpr 64.39 MiB -1 -1 0.16 20220 1 0.03 -1 -1 34044 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 25.9 MiB 0.11 1020 17773 5302 9942 2529 64.4 MiB 0.15 0.00 3.7622 -101.594 -3.7622 3.7622 0.94 0.00049749 0.0004542 0.0436759 0.0398209 32 2387 26 6.65987e+06 380340 554710. 1919.41 0.97 0.109888 0.0970845 22834 132086 -1 2004 17 1073 1697 127625 29327 3.46705 3.46705 -115.414 -3.46705 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0204295 0.018286 126 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.32 vpr 64.84 MiB -1 -1 0.18 20532 1 0.03 -1 -1 33848 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 31 32 373 294 1 196 96 17 17 289 -1 unnamed_device 26.3 MiB 0.24 1052 10170 2582 6954 634 64.8 MiB 0.09 0.00 3.77855 -110.561 -3.77855 3.77855 0.93 0.000585335 0.000535318 0.0281759 0.0256222 26 2463 21 6.65987e+06 418374 477104. 1650.88 0.93 0.100451 0.0884641 21682 110474 -1 2233 23 1670 2702 179679 43075 3.73657 3.73657 -127.566 -3.73657 0 0 585099. 2024.56 0.27 0.08 0.10 -1 -1 0.27 0.0282773 0.0250986 144 46 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 4.29 vpr 64.45 MiB -1 -1 0.16 20308 1 0.03 -1 -1 33880 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65996 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 26.0 MiB 0.15 1006 12273 2952 7730 1591 64.4 MiB 0.10 0.00 2.8321 -88.9367 -2.8321 2.8321 0.94 0.00047943 0.000437648 0.0301416 0.027567 30 2169 20 6.65987e+06 393018 526063. 1820.29 0.97 0.0920938 0.0813801 22546 126617 -1 1923 18 1003 1724 119554 25395 2.57525 2.57525 -99.9419 -2.57525 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0208723 0.0186602 124 46 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.26 vpr 64.90 MiB -1 -1 0.14 20756 1 0.03 -1 -1 33896 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 350 275 1 214 88 17 17 289 -1 unnamed_device 26.3 MiB 0.16 1406 14128 3971 8464 1693 64.9 MiB 0.13 0.00 3.8505 -125.328 -3.8505 3.8505 0.90 0.000498106 0.000454446 0.0394869 0.0361454 30 2967 19 6.65987e+06 304272 526063. 1820.29 1.02 0.109821 0.097692 22546 126617 -1 2507 20 1575 2398 159070 34311 3.89311 3.89311 -141.894 -3.89311 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0244394 0.0217523 147 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 5.08 vpr 64.54 MiB -1 -1 0.16 20632 1 0.03 -1 -1 33944 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 386 307 1 195 98 17 17 289 -1 unnamed_device 26.1 MiB 0.40 1103 14723 4237 8862 1624 64.5 MiB 0.13 0.00 3.71641 -117.038 -3.71641 3.71641 0.91 0.000557028 0.000508951 0.0386581 0.0351868 26 2815 30 6.65987e+06 431052 477104. 1650.88 1.50 0.125868 0.111379 21682 110474 -1 2309 23 1508 2332 222576 54088 3.61237 3.61237 -134.789 -3.61237 0 0 585099. 2024.56 0.27 0.09 0.10 -1 -1 0.27 0.0295486 0.0262318 143 59 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 4.04 vpr 64.23 MiB -1 -1 0.14 20256 1 0.03 -1 -1 33928 -1 -1 17 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65768 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 25.7 MiB 0.13 631 12528 3950 6757 1821 64.2 MiB 0.08 0.00 2.88681 -85.1602 -2.88681 2.88681 0.91 0.000414632 0.000375006 0.0315063 0.0286314 32 1394 24 6.65987e+06 215526 554710. 1919.41 0.88 0.0828607 0.0727767 22834 132086 -1 1283 22 941 1336 102217 24508 2.69797 2.69797 -92.737 -2.69797 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.019617 0.0172959 92 28 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.33 vpr 64.41 MiB -1 -1 0.15 20320 1 0.03 -1 -1 33980 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65960 32 32 310 266 1 175 84 17 17 289 -1 unnamed_device 26.0 MiB 0.29 876 9417 2598 6408 411 64.4 MiB 0.08 0.00 3.1971 -103.02 -3.1971 3.1971 0.94 0.000466365 0.000425035 0.0257878 0.0235006 28 2256 21 6.65987e+06 253560 500653. 1732.36 0.94 0.0849631 0.0745141 21970 115934 -1 1932 21 1434 1922 143741 33763 3.12377 3.12377 -118.232 -3.12377 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0226747 0.0200695 116 55 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 4.17 vpr 64.61 MiB -1 -1 0.15 20400 1 0.03 -1 -1 33824 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 26.0 MiB 0.10 900 7060 1371 5010 679 64.6 MiB 0.07 0.00 3.68941 -99.0075 -3.68941 3.68941 0.92 0.000484658 0.000441307 0.0173321 0.0158101 30 2286 23 6.65987e+06 469086 526063. 1820.29 0.96 0.0818374 0.071487 22546 126617 -1 1902 19 1108 1916 107889 25022 3.50016 3.50016 -113.917 -3.50016 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0222921 0.0198254 129 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.24 vpr 64.25 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33952 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 29 32 262 224 1 168 82 17 17 289 -1 unnamed_device 25.7 MiB 0.22 859 7024 1599 4884 541 64.3 MiB 0.06 0.00 3.18595 -87.8969 -3.18595 3.18595 0.94 0.000428096 0.000376801 0.0183615 0.0167456 32 2011 23 6.65987e+06 266238 554710. 1919.41 0.90 0.0717776 0.0629264 22834 132086 -1 1767 21 1190 1532 108399 26601 3.05071 3.05071 -100.627 -3.05071 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0200689 0.0178234 110 25 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.24 vpr 64.23 MiB -1 -1 0.15 20624 1 0.03 -1 -1 33888 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65768 32 32 278 238 1 149 80 17 17 289 -1 unnamed_device 25.7 MiB 0.21 904 8164 2338 5284 542 64.2 MiB 0.07 0.00 2.78249 -92.7899 -2.78249 2.78249 0.94 0.000435164 0.000396491 0.0228713 0.0209237 30 1803 22 6.65987e+06 202848 526063. 1820.29 0.92 0.0783446 0.0689152 22546 126617 -1 1607 21 991 1677 90645 21837 2.56625 2.56625 -100.614 -2.56625 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.019973 0.0176601 109 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.36 vpr 64.46 MiB -1 -1 0.17 20784 1 0.03 -1 -1 33688 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 31 32 373 300 1 181 98 17 17 289 -1 unnamed_device 25.8 MiB 0.26 913 10448 2494 7272 682 64.5 MiB 0.09 0.00 3.33161 -98.3149 -3.33161 3.33161 0.92 0.000540984 0.000495436 0.0274057 0.0249539 32 2055 21 6.65987e+06 443730 554710. 1919.41 0.96 0.0960676 0.0844903 22834 132086 -1 1786 17 1234 1812 113512 28145 2.98817 2.98817 -113.261 -2.98817 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0218632 0.019488 135 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.18 vpr 64.17 MiB -1 -1 0.14 20352 1 0.03 -1 -1 33952 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65708 31 32 265 230 1 162 82 17 17 289 -1 unnamed_device 25.6 MiB 0.17 909 9694 2517 6382 795 64.2 MiB 0.08 0.00 3.0359 -98.1422 -3.0359 3.0359 0.92 0.000417116 0.000381307 0.0243682 0.0223109 32 2131 22 6.65987e+06 240882 554710. 1919.41 0.93 0.0793017 0.0700539 22834 132086 -1 1794 21 1239 1810 138601 32182 3.07377 3.07377 -113.823 -3.07377 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0201234 0.01788 108 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 4.43 vpr 64.72 MiB -1 -1 0.15 20460 1 0.03 -1 -1 33688 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 349 286 1 171 95 17 17 289 -1 unnamed_device 26.1 MiB 0.27 864 16727 5149 8695 2883 64.7 MiB 0.13 0.00 2.92995 -93.2427 -2.92995 2.92995 0.92 0.000507193 0.000460413 0.0419054 0.0381268 30 2177 21 6.65987e+06 393018 526063. 1820.29 1.00 0.107954 0.0953172 22546 126617 -1 1758 20 1042 1724 106709 24700 2.72871 2.72871 -101.145 -2.72871 0 0 666494. 2306.21 0.30 0.06 0.12 -1 -1 0.30 0.0238642 0.0212901 126 54 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 5.11 vpr 64.38 MiB -1 -1 0.17 20768 1 0.03 -1 -1 33932 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 25.9 MiB 0.95 890 13271 3516 8185 1570 64.4 MiB 0.11 0.00 3.3843 -107.194 -3.3843 3.3843 0.91 0.000570436 0.000519932 0.0356452 0.0323929 32 2140 21 6.65987e+06 405696 554710. 1919.41 0.96 0.105665 0.0930178 22834 132086 -1 1907 19 1443 2024 135440 32895 3.45123 3.45123 -129.258 -3.45123 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0244128 0.0217046 138 87 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.16 vpr 64.09 MiB -1 -1 0.13 20400 1 0.03 -1 -1 33888 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65628 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 25.5 MiB 0.24 861 10581 2934 6437 1210 64.1 MiB 0.08 0.00 2.54264 -84.4966 -2.54264 2.54264 0.91 0.000462434 0.000419245 0.0278661 0.0253831 32 1979 23 6.65987e+06 215526 554710. 1919.41 0.90 0.0853296 0.0750674 22834 132086 -1 1816 20 969 1506 118613 27362 2.62851 2.62851 -101.973 -2.62851 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0206104 0.0182625 104 54 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.20 vpr 64.29 MiB -1 -1 0.15 20224 1 0.03 -1 -1 33500 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65828 32 32 290 244 1 175 83 17 17 289 -1 unnamed_device 25.9 MiB 0.16 890 9083 2349 5816 918 64.3 MiB 0.08 0.00 3.26875 -101.502 -3.26875 3.26875 0.91 0.00045013 0.000408793 0.0246858 0.0225595 32 2242 26 6.65987e+06 240882 554710. 1919.41 0.93 0.0838115 0.0736116 22834 132086 -1 1793 19 1182 1818 122915 30789 2.82891 2.82891 -110.431 -2.82891 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0200161 0.0178665 115 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.15 vpr 64.87 MiB -1 -1 0.16 20320 1 0.03 -1 -1 33908 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66428 32 32 318 257 1 194 86 17 17 289 -1 unnamed_device 26.2 MiB 0.13 1061 9347 2357 6088 902 64.9 MiB 0.08 0.00 3.8213 -118.261 -3.8213 3.8213 0.90 0.000427899 0.000386636 0.0246489 0.0223975 32 2494 22 6.65987e+06 278916 554710. 1919.41 0.92 0.0849528 0.0744116 22834 132086 -1 2166 22 1371 1958 134718 32412 3.49351 3.49351 -125.702 -3.49351 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0242346 0.0215165 130 27 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.66 vpr 64.41 MiB -1 -1 0.16 20456 1 0.03 -1 -1 33776 -1 -1 28 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65960 29 32 324 268 1 168 89 17 17 289 -1 unnamed_device 26.0 MiB 0.40 981 13157 3778 7962 1417 64.4 MiB 0.11 0.00 3.80467 -100.309 -3.80467 3.80467 0.92 0.000465149 0.000423406 0.0335712 0.0305996 26 2275 29 6.65987e+06 354984 477104. 1650.88 1.18 0.102822 0.0905806 21682 110474 -1 1987 20 993 1604 109037 25950 3.20862 3.20862 -110.032 -3.20862 0 0 585099. 2024.56 0.27 0.05 0.10 -1 -1 0.27 0.0217646 0.0193075 121 49 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.78 vpr 64.67 MiB -1 -1 0.17 20884 1 0.03 -1 -1 33452 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 32 32 393 312 1 213 87 17 17 289 -1 unnamed_device 26.4 MiB 0.27 1044 10071 2617 6793 661 64.7 MiB 0.10 0.00 3.94486 -124.626 -3.94486 3.94486 0.93 0.000565232 0.000516345 0.0319424 0.0291594 28 2651 30 6.65987e+06 291594 500653. 1732.36 1.33 0.116447 0.102707 21970 115934 -1 2280 22 1695 2442 165525 39833 4.08051 4.08051 -147.566 -4.08051 0 0 612192. 2118.31 0.28 0.07 0.11 -1 -1 0.28 0.0282779 0.0251127 153 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.02 vpr 64.20 MiB -1 -1 0.15 20128 1 0.03 -1 -1 33572 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65744 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 25.8 MiB 0.09 786 10581 2950 5553 2078 64.2 MiB 0.07 0.00 2.91224 -81.8808 -2.91224 2.91224 0.91 0.000364862 0.000332669 0.0233497 0.0212279 32 1719 21 6.65987e+06 228204 554710. 1919.41 0.87 0.0715318 0.0629541 22834 132086 -1 1573 19 715 1155 88650 21277 2.77391 2.77391 -99.3858 -2.77391 0 0 701300. 2426.64 0.29 0.04 0.13 -1 -1 0.29 0.0172679 0.0153988 96 -1 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 5.60 vpr 64.89 MiB -1 -1 0.17 20576 1 0.03 -1 -1 33960 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 412 334 1 190 97 17 17 289 -1 unnamed_device 26.5 MiB 0.48 1037 16969 5496 8942 2531 64.9 MiB 0.15 0.00 3.3113 -113.537 -3.3113 3.3113 0.94 0.000593703 0.000542141 0.047049 0.0428773 26 2923 41 6.65987e+06 418374 477104. 1650.88 1.90 0.149468 0.132246 21682 110474 -1 2357 23 1766 2573 242369 59621 4.07037 4.07037 -144.031 -4.07037 0 0 585099. 2024.56 0.25 0.09 0.10 -1 -1 0.25 0.0291136 0.0256455 144 87 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.16 vpr 64.38 MiB -1 -1 0.16 20764 1 0.03 -1 -1 33796 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 26.0 MiB 0.22 785 10916 2751 7007 1158 64.4 MiB 0.09 0.00 2.8021 -99.434 -2.8021 2.8021 0.89 0.000548348 0.000496465 0.0343772 0.0313541 30 1816 19 6.65987e+06 202848 526063. 1820.29 0.89 0.0978891 0.0862311 22546 126617 -1 1552 22 1171 1689 106884 24767 2.71757 2.71757 -112.357 -2.71757 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.025023 0.0220644 115 93 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 4.44 vpr 64.31 MiB -1 -1 0.15 20948 1 0.03 -1 -1 33888 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 32 32 360 293 1 179 95 17 17 289 -1 unnamed_device 25.8 MiB 0.36 1063 16295 4623 9233 2439 64.3 MiB 0.14 0.00 3.33475 -107.709 -3.33475 3.33475 0.91 0.000516217 0.000470309 0.0412749 0.0376348 32 2289 22 6.65987e+06 393018 554710. 1919.41 0.92 0.107838 0.0953427 22834 132086 -1 1967 18 987 1395 96634 22848 3.21151 3.21151 -118.206 -3.21151 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.022182 0.0197476 130 57 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 5.27 vpr 64.79 MiB -1 -1 0.16 20528 1 0.03 -1 -1 33996 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 396 299 1 236 89 17 17 289 -1 unnamed_device 26.7 MiB 0.35 1370 10781 2624 6881 1276 64.8 MiB 0.11 0.00 5.00309 -153.912 -5.00309 5.00309 0.95 0.000574305 0.000522792 0.0343626 0.0313533 28 3829 34 6.65987e+06 316950 500653. 1732.36 1.68 0.13011 0.114948 21970 115934 -1 2935 21 2033 2838 240775 52868 5.30794 5.30794 -178.122 -5.30794 0 0 612192. 2118.31 0.26 0.09 0.10 -1 -1 0.26 0.0291311 0.0259866 168 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.32 vpr 64.07 MiB -1 -1 0.15 20268 1 0.03 -1 -1 33780 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65612 30 32 224 207 1 137 79 17 17 289 -1 unnamed_device 25.7 MiB 0.18 641 8022 1825 5800 397 64.1 MiB 0.06 0.00 2.57364 -80.2125 -2.57364 2.57364 0.94 0.000362528 0.000331839 0.018764 0.0171392 26 1710 21 6.65987e+06 215526 477104. 1650.88 1.04 0.0685077 0.0601986 21682 110474 -1 1533 39 1208 1576 350005 178859 2.29591 2.29591 -91.75 -2.29591 0 0 585099. 2024.56 0.25 0.14 0.10 -1 -1 0.25 0.0279239 0.0243715 86 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.10 vpr 64.27 MiB -1 -1 0.14 20168 1 0.03 -1 -1 33824 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65808 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 25.8 MiB 0.11 633 12694 3970 6865 1859 64.3 MiB 0.09 0.00 3.13515 -90.5807 -3.13515 3.13515 0.89 0.000395827 0.000348686 0.0329434 0.029738 26 1842 28 6.65987e+06 202848 477104. 1650.88 1.07 0.0962375 0.0845804 21682 110474 -1 1517 16 886 1338 96633 23430 2.93217 2.93217 -106.78 -2.93217 0 0 585099. 2024.56 0.25 0.04 0.09 -1 -1 0.25 0.0167821 0.0149806 92 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 6.36 vpr 64.33 MiB -1 -1 0.15 20364 1 0.03 -1 -1 33540 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 26.1 MiB 0.05 702 8269 1694 5881 694 64.3 MiB 0.06 0.00 2.77684 -87.1649 -2.77684 2.77684 0.91 0.000432755 0.0003941 0.0217462 0.0198546 34 2111 23 6.65987e+06 266238 585099. 2024.56 3.24 0.148036 0.128158 23122 138558 -1 1638 23 1249 2260 156096 37830 2.78877 2.78877 -102.547 -2.78877 0 0 742403. 2568.87 0.27 0.06 0.13 -1 -1 0.27 0.0227077 0.0199805 115 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 6.05 vpr 64.04 MiB -1 -1 0.14 20224 1 0.03 -1 -1 33560 -1 -1 27 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 25.6 MiB 0.09 498 12894 4814 5185 2895 64.0 MiB 0.07 0.00 2.55958 -61.0518 -2.55958 2.55958 0.94 0.000348724 0.000310021 0.026147 0.0236766 32 1352 22 6.65987e+06 342306 554710. 1919.41 2.88 0.12081 0.104349 22834 132086 -1 1088 25 872 1438 103533 25638 2.89005 2.89005 -72.0533 -2.89005 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0184137 0.0161411 89 19 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 4.43 vpr 64.61 MiB -1 -1 0.17 20764 1 0.03 -1 -1 34000 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 32 32 376 307 1 185 84 17 17 289 -1 unnamed_device 26.1 MiB 0.20 1064 10515 3150 6516 849 64.6 MiB 0.10 0.00 3.13278 -106.341 -3.13278 3.13278 0.93 0.000549656 0.000502001 0.0338392 0.0308 32 2757 23 6.65987e+06 253560 554710. 1919.41 1.00 0.106162 0.0937629 22834 132086 -1 2412 23 1616 2936 219603 50180 3.44705 3.44705 -126.916 -3.44705 0 0 701300. 2426.64 0.29 0.08 0.13 -1 -1 0.29 0.0280797 0.0249531 135 69 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.57 vpr 64.57 MiB -1 -1 0.18 20628 1 0.03 -1 -1 33980 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66124 31 32 409 331 1 191 96 17 17 289 -1 unnamed_device 26.1 MiB 0.41 855 9075 1977 6598 500 64.6 MiB 0.09 0.00 3.36335 -108.866 -3.36335 3.36335 0.95 0.000564117 0.000514713 0.0263298 0.0240056 30 2208 22 6.65987e+06 418374 526063. 1820.29 0.97 0.100516 0.0882406 22546 126617 -1 1804 18 1212 1888 96038 24391 3.11991 3.11991 -118.31 -3.11991 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0234791 0.0209101 142 86 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 21.57 vpr 65.30 MiB -1 -1 0.16 20368 1 0.03 -1 -1 33496 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 354 285 1 193 77 17 17 289 -1 unnamed_device 26.9 MiB 2.67 794 13769 5964 7282 523 65.3 MiB 0.10 0.00 4.4212 -127.216 -4.4212 4.4212 0.97 0.000505962 0.000460382 0.0457972 0.0417489 48 2659 38 6.95648e+06 188184 865456. 2994.66 15.32 0.327372 0.285127 28354 207349 -1 2126 35 2088 3037 426806 164156 4.40976 4.40976 -150.306 -4.40976 0 0 1.05005e+06 3633.38 0.41 0.16 0.20 -1 -1 0.41 0.0390199 0.0344593 81 47 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 9.34 vpr 65.45 MiB -1 -1 0.17 20796 1 0.03 -1 -1 33984 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 30 32 363 293 1 187 77 17 17 289 -1 unnamed_device 27.0 MiB 2.23 838 13769 5931 7339 499 65.4 MiB 0.10 0.00 3.66177 -114.959 -3.66177 3.66177 1.00 0.000513212 0.000465643 0.0455253 0.041467 38 2737 40 6.95648e+06 217135 678818. 2348.85 3.70 0.183425 0.160853 26626 170182 -1 2177 23 2004 2832 232255 49412 4.68491 4.68491 -151.852 -4.68491 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0276753 0.0245995 80 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 7.71 vpr 65.18 MiB -1 -1 0.15 20304 1 0.03 -1 -1 33900 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 32 32 299 247 1 182 79 17 17 289 -1 unnamed_device 26.6 MiB 1.26 993 14613 6027 7246 1340 65.2 MiB 0.10 0.00 3.10314 -103.161 -3.10314 3.10314 0.95 0.000431034 0.000392587 0.0407669 0.0371914 38 2505 49 6.95648e+06 217135 678818. 2348.85 3.22 0.178947 0.15801 26626 170182 -1 2081 20 1354 1783 135640 28913 3.57762 3.57762 -128.363 -3.57762 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0212944 0.0190143 76 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 6.89 vpr 64.99 MiB -1 -1 0.16 20268 1 0.03 -1 -1 33608 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 29 32 308 248 1 162 80 17 17 289 -1 unnamed_device 26.4 MiB 0.39 698 10056 4228 5390 438 65.0 MiB 0.07 0.00 3.50318 -98.6968 -3.50318 3.50318 0.95 0.00045375 0.000413504 0.029333 0.026815 40 2221 26 6.95648e+06 275038 706193. 2443.58 3.09 0.143715 0.126447 26914 176310 -1 1960 21 1621 2614 321440 80259 4.12382 4.12382 -128.245 -4.12382 0 0 926341. 3205.33 0.37 0.10 0.17 -1 -1 0.37 0.0237835 0.0212107 71 25 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 8.05 vpr 65.27 MiB -1 -1 0.15 20584 1 0.03 -1 -1 33364 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 32 32 336 268 1 172 80 17 17 289 -1 unnamed_device 26.9 MiB 0.90 764 12120 5015 6641 464 65.3 MiB 0.09 0.00 3.67069 -107.059 -3.67069 3.67069 0.98 0.000496589 0.000451725 0.0371217 0.0338394 46 2589 25 6.95648e+06 231611 828058. 2865.25 3.73 0.161191 0.141944 28066 200906 -1 1690 20 1255 2117 148020 33150 4.25256 4.25256 -129.606 -4.25256 0 0 1.01997e+06 3529.29 0.40 0.06 0.19 -1 -1 0.40 0.0231067 0.0205344 73 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 6.46 vpr 65.41 MiB -1 -1 0.14 20368 1 0.03 -1 -1 33944 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66984 32 32 366 295 1 182 85 17 17 289 -1 unnamed_device 26.9 MiB 1.02 826 14965 6409 8202 354 65.4 MiB 0.10 0.00 2.5924 -96.4327 -2.5924 2.5924 0.93 0.000468339 0.000426823 0.0417081 0.0377571 44 2311 22 6.95648e+06 303989 787024. 2723.27 2.15 0.163344 0.143326 27778 195446 -1 1904 23 1527 2342 180717 38268 3.00882 3.00882 -117.035 -3.00882 0 0 997811. 3452.63 0.38 0.07 0.17 -1 -1 0.38 0.0258678 0.0228496 79 55 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 10.25 vpr 64.75 MiB -1 -1 0.16 20528 1 0.03 -1 -1 34296 -1 -1 13 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66308 27 32 259 221 1 125 72 17 17 289 -1 unnamed_device 26.2 MiB 5.17 521 8863 3662 4622 579 64.8 MiB 0.06 0.00 2.92458 -77.4796 -2.92458 2.92458 0.96 0.000402542 0.000366696 0.0259174 0.0236817 38 1630 27 6.95648e+06 188184 678818. 2348.85 1.84 0.118701 0.103624 26626 170182 -1 1244 21 838 1289 104138 23273 3.09302 3.09302 -95.6084 -3.09302 0 0 902133. 3121.57 0.34 0.05 0.16 -1 -1 0.34 0.0197248 0.0175884 52 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 8.49 vpr 65.09 MiB -1 -1 0.16 20440 1 0.03 -1 -1 33832 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 26.5 MiB 0.42 679 12373 3541 6497 2335 65.1 MiB 0.08 0.00 2.5154 -76.9069 -2.5154 2.5154 0.98 0.000427882 0.00038277 0.0296259 0.0269154 46 1807 26 6.95648e+06 361892 828058. 2865.25 4.65 0.161194 0.140094 28066 200906 -1 1429 21 899 1522 96442 22477 2.74702 2.74702 -95.5367 -2.74702 0 0 1.01997e+06 3529.29 0.41 0.05 0.19 -1 -1 0.41 0.0205304 0.0182523 69 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 8.12 vpr 65.12 MiB -1 -1 0.16 20728 1 0.03 -1 -1 34048 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 31 32 317 271 1 163 74 17 17 289 -1 unnamed_device 26.6 MiB 2.12 653 8909 3566 4813 530 65.1 MiB 0.07 0.00 2.76819 -94.7229 -2.76819 2.76819 0.94 0.000442995 0.000401025 0.0286417 0.0260756 38 2241 30 6.95648e+06 159232 678818. 2348.85 2.74 0.145722 0.127292 26626 170182 -1 1679 22 1348 1898 163990 36787 3.73576 3.73576 -124.304 -3.73576 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0230158 0.0204645 66 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 7.65 vpr 65.13 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33576 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 32 32 298 248 1 150 74 17 17 289 -1 unnamed_device 26.6 MiB 1.10 628 8444 3417 4796 231 65.1 MiB 0.06 0.00 2.66488 -91.5447 -2.66488 2.66488 0.95 0.000444004 0.000397625 0.0268897 0.0245902 38 1885 46 6.95648e+06 144757 678818. 2348.85 3.30 0.150527 0.131428 26626 170182 -1 1504 23 1265 1808 141410 31755 3.24832 3.24832 -122.525 -3.24832 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0233534 0.0206854 59 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 6.88 vpr 64.98 MiB -1 -1 0.14 20376 1 0.03 -1 -1 33616 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 30 32 303 262 1 137 74 17 17 289 -1 unnamed_device 26.5 MiB 1.82 505 10614 4449 5703 462 65.0 MiB 0.07 0.00 2.79013 -84.2419 -2.79013 2.79013 0.91 0.000419709 0.000380997 0.031188 0.0284304 40 1663 27 6.95648e+06 173708 706193. 2443.58 1.90 0.136237 0.119304 26914 176310 -1 1360 22 1118 1569 126995 31404 3.53182 3.53182 -110.439 -3.53182 0 0 926341. 3205.33 0.35 0.06 0.15 -1 -1 0.35 0.0216667 0.0191708 55 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 7.77 vpr 65.03 MiB -1 -1 0.15 20556 1 0.03 -1 -1 33756 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 32 32 276 237 1 160 74 17 17 289 -1 unnamed_device 26.5 MiB 1.59 619 11079 4601 6102 376 65.0 MiB 0.08 0.00 2.85923 -96.1121 -2.85923 2.85923 0.96 0.000444284 0.000396865 0.0327582 0.0298953 46 1958 36 6.95648e+06 144757 828058. 2865.25 2.83 0.143616 0.1261 28066 200906 -1 1547 24 1268 1598 146873 36111 3.16047 3.16047 -119.444 -3.16047 0 0 1.01997e+06 3529.29 0.38 0.07 0.19 -1 -1 0.38 0.0228453 0.020153 62 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 7.88 vpr 65.09 MiB -1 -1 0.16 20572 1 0.03 -1 -1 33908 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 344 272 1 194 79 17 17 289 -1 unnamed_device 26.7 MiB 1.95 1075 12585 4301 6481 1803 65.1 MiB 0.10 0.00 3.17248 -111.603 -3.17248 3.17248 0.96 0.000505595 0.000460894 0.0403878 0.0369342 40 2757 22 6.95648e+06 217135 706193. 2443.58 2.52 0.160274 0.141055 26914 176310 -1 2496 23 2076 3092 367918 83234 3.62917 3.62917 -138.006 -3.62917 0 0 926341. 3205.33 0.35 0.11 0.17 -1 -1 0.35 0.0286759 0.0256468 83 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 9.25 vpr 65.09 MiB -1 -1 0.16 20644 1 0.03 -1 -1 33792 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 363 295 1 174 86 17 17 289 -1 unnamed_device 26.7 MiB 0.90 788 9347 3776 5294 277 65.1 MiB 0.07 0.00 3.72883 -113.103 -3.72883 3.72883 0.94 0.000513805 0.000466874 0.0282767 0.0257975 38 2665 22 6.95648e+06 318465 678818. 2348.85 5.04 0.231185 0.201132 26626 170182 -1 1974 24 2010 2958 269938 57179 4.14596 4.14596 -136.121 -4.14596 0 0 902133. 3121.57 0.33 0.09 0.16 -1 -1 0.33 0.0279904 0.0246661 75 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 9.12 vpr 64.76 MiB -1 -1 0.16 20296 1 0.03 -1 -1 33720 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 29 32 248 215 1 136 74 17 17 289 -1 unnamed_device 26.2 MiB 1.43 641 8444 3506 4526 412 64.8 MiB 0.06 0.00 2.60155 -73.105 -2.60155 2.60155 0.95 0.000386372 0.000352902 0.0227672 0.0208349 38 1978 22 6.95648e+06 188184 678818. 2348.85 4.49 0.152776 0.132745 26626 170182 -1 1495 18 929 1452 108040 24108 3.12117 3.12117 -99.1389 -3.12117 0 0 902133. 3121.57 0.33 0.05 0.16 -1 -1 0.33 0.017209 0.0152973 55 21 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 8.20 vpr 65.18 MiB -1 -1 0.17 20568 1 0.03 -1 -1 33960 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 370 297 1 180 81 17 17 289 -1 unnamed_device 26.8 MiB 1.17 766 10931 4537 5938 456 65.2 MiB 0.08 0.00 2.6254 -93.1189 -2.6254 2.6254 0.97 0.000509819 0.000462554 0.0353875 0.0323076 46 2547 44 6.95648e+06 246087 828058. 2865.25 3.59 0.166868 0.146011 28066 200906 -1 1812 21 1581 2495 240587 60086 3.36557 3.36557 -124.579 -3.36557 0 0 1.01997e+06 3529.29 0.38 0.08 0.19 -1 -1 0.38 0.0258636 0.0229304 76 55 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 9.58 vpr 65.17 MiB -1 -1 0.15 20528 1 0.03 -1 -1 33984 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 338 269 1 190 78 17 17 289 -1 unnamed_device 26.8 MiB 1.95 824 12528 4284 6507 1737 65.2 MiB 0.09 0.00 3.53151 -112.935 -3.53151 3.53151 0.92 0.000434116 0.000391781 0.0372548 0.0338472 40 2720 34 6.95648e+06 202660 706193. 2443.58 4.33 0.209309 0.181403 26914 176310 -1 2190 33 1987 2708 322477 109276 3.62621 3.62621 -137.708 -3.62621 0 0 926341. 3205.33 0.35 0.12 0.15 -1 -1 0.35 0.0342812 0.0302114 79 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 6.56 vpr 64.80 MiB -1 -1 0.15 20296 1 0.03 -1 -1 33656 -1 -1 9 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 323 276 1 148 73 17 17 289 -1 unnamed_device 26.3 MiB 0.81 926 9193 2920 5081 1192 64.8 MiB 0.07 0.00 1.91376 -83.6912 -1.91376 1.91376 0.95 0.000471337 0.000428681 0.0310177 0.0283172 36 2292 30 6.95648e+06 130281 648988. 2245.63 2.51 0.129187 0.113459 26050 158493 -1 2029 19 1340 1943 206232 39799 2.26783 2.26783 -108.102 -2.26783 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0220899 0.0197639 57 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 5.75 vpr 64.46 MiB -1 -1 0.14 20012 1 0.03 -1 -1 33788 -1 -1 9 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 30 32 222 206 1 116 71 17 17 289 -1 unnamed_device 26.1 MiB 0.39 463 7955 3253 4430 272 64.5 MiB 0.05 0.00 1.85256 -62.2361 -1.85256 1.85256 0.97 0.000354805 0.000323074 0.0212358 0.0194271 38 1701 33 6.95648e+06 130281 678818. 2348.85 2.14 0.0923927 0.0807957 26626 170182 -1 1140 19 766 979 100418 22397 2.09953 2.09953 -83.2001 -2.09953 0 0 902133. 3121.57 0.36 0.05 0.16 -1 -1 0.36 0.0160914 0.0142347 43 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 14.63 vpr 64.96 MiB -1 -1 0.15 20444 1 0.03 -1 -1 33756 -1 -1 12 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 31 32 291 243 1 169 75 17 17 289 -1 unnamed_device 26.4 MiB 2.48 903 9397 3000 5648 749 65.0 MiB 0.07 0.00 3.36378 -112.731 -3.36378 3.36378 0.96 0.000438335 0.000399863 0.028059 0.0256496 38 2376 23 6.95648e+06 173708 678818. 2348.85 8.85 0.220312 0.191223 26626 170182 -1 1994 19 1468 1966 189497 37738 3.90817 3.90817 -142.643 -3.90817 0 0 902133. 3121.57 0.36 0.07 0.16 -1 -1 0.36 0.0217081 0.0193629 69 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 8.59 vpr 65.25 MiB -1 -1 0.15 20280 1 0.03 -1 -1 33892 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 342 271 1 173 84 17 17 289 -1 unnamed_device 26.9 MiB 0.76 702 13992 5276 6845 1871 65.3 MiB 0.10 0.00 3.07689 -100.888 -3.07689 3.07689 0.96 0.000501776 0.000456109 0.0408979 0.0372585 40 2048 26 6.95648e+06 289514 706193. 2443.58 4.48 0.215766 0.187491 26914 176310 -1 1893 21 1595 2301 221103 47120 3.84716 3.84716 -135.648 -3.84716 0 0 926341. 3205.33 0.35 0.08 0.16 -1 -1 0.35 0.0253464 0.0225696 75 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 20.85 vpr 65.20 MiB -1 -1 0.16 20552 1 0.03 -1 -1 33876 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 32 32 372 300 1 197 78 17 17 289 -1 unnamed_device 26.7 MiB 1.50 847 14022 4952 6952 2118 65.2 MiB 0.11 0.00 3.8447 -111.917 -3.8447 3.8447 0.96 0.000540041 0.000491226 0.0481057 0.0438412 50 2485 44 6.95648e+06 202660 902133. 3121.57 15.85 0.312752 0.272268 28642 213929 -1 1964 23 1537 2440 251975 61289 4.49962 4.49962 -136.003 -4.49962 0 0 1.08113e+06 3740.92 0.42 0.09 0.20 -1 -1 0.42 0.0275855 0.0244356 82 59 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 5.83 vpr 64.45 MiB -1 -1 0.14 19920 1 0.03 -1 -1 34236 -1 -1 13 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 26 32 190 182 1 104 71 17 17 289 -1 unnamed_device 26.1 MiB 0.99 313 9999 4244 5041 714 64.4 MiB 0.05 0.00 1.86056 -53.5017 -1.86056 1.86056 0.95 0.000302915 0.000275742 0.0222567 0.0202513 38 1009 24 6.95648e+06 188184 678818. 2348.85 1.66 0.0906448 0.0789421 26626 170182 -1 747 20 549 650 46366 12156 1.97423 1.97423 -65.1302 -1.97423 0 0 902133. 3121.57 0.34 0.03 0.16 -1 -1 0.34 0.0140197 0.0124194 44 21 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 6.64 vpr 65.04 MiB -1 -1 0.15 20240 1 0.03 -1 -1 33884 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 32 32 285 227 1 161 79 17 17 289 -1 unnamed_device 26.5 MiB 0.84 660 8698 2943 3730 2025 65.0 MiB 0.06 0.00 3.81446 -97.2097 -3.81446 3.81446 0.95 0.000438188 0.000398935 0.0250769 0.0228889 44 2376 31 6.95648e+06 217135 787024. 2723.27 2.48 0.118854 0.104368 27778 195446 -1 1349 20 1146 1826 118557 31629 3.74672 3.74672 -118.81 -3.74672 0 0 997811. 3452.63 0.40 0.06 0.18 -1 -1 0.40 0.0211561 0.018878 66 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 5.19 vpr 64.54 MiB -1 -1 0.14 19984 1 0.03 -1 -1 33424 -1 -1 8 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 26.1 MiB 0.32 371 9012 3687 5059 266 64.5 MiB 0.05 0.00 1.77736 -57.0815 -1.77736 1.77736 0.94 0.000299327 0.000271227 0.0206464 0.0187248 36 1269 28 6.95648e+06 115805 648988. 2245.63 1.72 0.0931085 0.0811956 26050 158493 -1 969 19 657 773 67117 17095 2.01818 2.01818 -75.1981 -2.01818 0 0 828058. 2865.25 0.34 0.04 0.15 -1 -1 0.34 0.0133745 0.011852 42 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 9.82 vpr 65.20 MiB -1 -1 0.16 20340 1 0.03 -1 -1 33924 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 300 245 1 165 79 17 17 289 -1 unnamed_device 26.7 MiB 1.11 957 14444 5407 7273 1764 65.2 MiB 0.10 0.00 3.51735 -103.645 -3.51735 3.51735 0.97 0.000463088 0.000416709 0.0416005 0.0379553 36 2691 41 6.95648e+06 217135 648988. 2245.63 5.40 0.164759 0.144639 26050 158493 -1 2109 19 1305 2072 208487 41234 4.07236 4.07236 -128.811 -4.07236 0 0 828058. 2865.25 0.31 0.07 0.15 -1 -1 0.31 0.0217223 0.0194517 68 21 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 8.68 vpr 65.21 MiB -1 -1 0.16 20392 1 0.03 -1 -1 34024 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 26.6 MiB 0.60 712 11803 4315 5662 1826 65.2 MiB 0.08 0.00 2.4561 -81.7184 -2.4561 2.4561 0.98 0.000466846 0.000422713 0.0314689 0.0286528 44 2149 27 6.95648e+06 303989 787024. 2723.27 4.67 0.2048 0.177766 27778 195446 -1 1687 22 1403 2157 147331 34733 3.18412 3.18412 -110.815 -3.18412 0 0 997811. 3452.63 0.39 0.06 0.19 -1 -1 0.39 0.0224871 0.0198566 74 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 9.12 vpr 65.29 MiB -1 -1 0.16 20596 1 0.03 -1 -1 33968 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66860 32 32 338 277 1 172 83 17 17 289 -1 unnamed_device 26.9 MiB 0.83 829 15203 6556 8070 577 65.3 MiB 0.11 0.00 3.60953 -107.489 -3.60953 3.60953 0.98 0.000498549 0.000452389 0.0435546 0.0396105 46 2454 23 6.95648e+06 275038 828058. 2865.25 4.84 0.207344 0.179877 28066 200906 -1 1786 21 1190 1929 126676 29656 3.92242 3.92242 -127.221 -3.92242 0 0 1.01997e+06 3529.29 0.39 0.06 0.19 -1 -1 0.39 0.0247181 0.0219779 72 47 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 9.27 vpr 65.07 MiB -1 -1 0.14 20176 1 0.03 -1 -1 33688 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 32 32 284 241 1 141 74 17 17 289 -1 unnamed_device 26.7 MiB 1.05 564 11234 4780 6078 376 65.1 MiB 0.08 0.00 2.58755 -78.0095 -2.58755 2.58755 0.96 0.000416139 0.000378408 0.0333527 0.0304223 44 1848 28 6.95648e+06 144757 787024. 2723.27 4.91 0.195013 0.169173 27778 195446 -1 1288 22 930 1406 97879 24267 3.21722 3.21722 -109.812 -3.21722 0 0 997811. 3452.63 0.39 0.05 0.18 -1 -1 0.39 0.0213294 0.0188598 55 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 8.21 vpr 64.65 MiB -1 -1 0.15 20172 1 0.03 -1 -1 33824 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 30 32 262 227 1 134 80 17 17 289 -1 unnamed_device 26.3 MiB 0.23 509 10400 3286 5020 2094 64.6 MiB 0.06 0.00 2.86043 -79.3085 -2.86043 2.86043 0.94 0.000387828 0.000353081 0.0258655 0.0235433 48 1164 21 6.95648e+06 260562 865456. 2994.66 4.65 0.13762 0.119312 28354 207349 -1 988 22 797 1174 95158 25461 3.25942 3.25942 -99.7984 -3.25942 0 0 1.05005e+06 3633.38 0.41 0.05 0.19 -1 -1 0.41 0.0202022 0.0179355 57 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 8.78 vpr 64.82 MiB -1 -1 0.15 20144 1 0.03 -1 -1 33836 -1 -1 16 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 28 32 260 223 1 135 76 17 17 289 -1 unnamed_device 26.2 MiB 0.55 454 11276 4681 5830 765 64.8 MiB 0.07 0.00 2.5594 -74.4713 -2.5594 2.5594 0.94 0.000404273 0.000368589 0.0298032 0.0271871 44 1804 49 6.95648e+06 231611 787024. 2723.27 4.95 0.213706 0.185371 27778 195446 -1 1287 18 950 1456 106263 27477 3.14492 3.14492 -103.577 -3.14492 0 0 997811. 3452.63 0.38 0.05 0.18 -1 -1 0.38 0.0168537 0.0149428 57 27 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 6.34 vpr 64.95 MiB -1 -1 0.14 20152 1 0.03 -1 -1 33620 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 26.6 MiB 0.51 547 8444 3421 4696 327 64.9 MiB 0.06 0.00 2.79923 -88.9447 -2.79923 2.79923 0.95 0.000411427 0.000375055 0.0238744 0.0218274 44 2021 42 6.95648e+06 144757 787024. 2723.27 2.51 0.121224 0.106139 27778 195446 -1 1310 21 1150 1618 158205 50727 2.84242 2.84242 -104.722 -2.84242 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0200139 0.0177376 58 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 6.82 vpr 64.82 MiB -1 -1 0.16 20280 1 0.03 -1 -1 33580 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 31 32 271 231 1 143 82 17 17 289 -1 unnamed_device 26.4 MiB 0.44 621 10050 4109 5619 322 64.8 MiB 0.07 0.00 2.57678 -82.6789 -2.57678 2.57678 0.96 0.000425386 0.000387894 0.0256925 0.0234267 36 2437 37 6.95648e+06 275038 648988. 2245.63 3.12 0.114431 0.0998143 26050 158493 -1 1793 23 1251 1905 163388 36080 3.24657 3.24657 -114.44 -3.24657 0 0 828058. 2865.25 0.34 0.06 0.15 -1 -1 0.34 0.0211911 0.0187061 61 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 13.08 vpr 65.02 MiB -1 -1 0.16 20336 1 0.03 -1 -1 33744 -1 -1 12 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 29 32 291 250 1 148 73 17 17 289 -1 unnamed_device 26.5 MiB 1.26 651 12993 5578 6861 554 65.0 MiB 0.09 0.00 2.4721 -85.1338 -2.4721 2.4721 0.98 0.000428884 0.000390662 0.0389915 0.035555 40 1849 19 6.95648e+06 173708 706193. 2443.58 8.49 0.234912 0.204045 26914 176310 -1 1684 19 1089 1475 125494 28475 2.48767 2.48767 -102 -2.48767 0 0 926341. 3205.33 0.35 0.05 0.16 -1 -1 0.35 0.0201664 0.0179211 61 48 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 7.67 vpr 65.24 MiB -1 -1 0.16 20716 1 0.03 -1 -1 33784 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 367 282 1 193 85 17 17 289 -1 unnamed_device 26.7 MiB 0.90 809 13849 5162 6254 2433 65.2 MiB 0.10 0.00 3.52658 -102.24 -3.52658 3.52658 0.96 0.000519755 0.000472629 0.0423173 0.0386017 44 3191 48 6.95648e+06 303989 787024. 2723.27 3.32 0.177774 0.156856 27778 195446 -1 2008 37 1909 3126 227142 50553 4.38232 4.38232 -128.198 -4.38232 0 0 997811. 3452.63 0.38 0.10 0.18 -1 -1 0.38 0.0401831 0.0352808 84 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 16.15 vpr 65.54 MiB -1 -1 0.16 20868 1 0.03 -1 -1 33588 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 32 32 391 311 1 184 88 17 17 289 -1 unnamed_device 27.1 MiB 0.99 750 13933 5829 7615 489 65.5 MiB 0.10 0.00 2.75098 -96.7793 -2.75098 2.75098 0.93 0.000503707 0.000453055 0.0401677 0.0361842 40 2451 33 6.95648e+06 347416 706193. 2443.58 11.89 0.314873 0.273254 26914 176310 -1 1985 21 1827 2610 242668 54304 3.51427 3.51427 -128.223 -3.51427 0 0 926341. 3205.33 0.35 0.08 0.15 -1 -1 0.35 0.0267151 0.0237583 82 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 9.09 vpr 65.02 MiB -1 -1 0.14 20188 1 0.03 -1 -1 34048 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 31 32 279 237 1 153 74 17 17 289 -1 unnamed_device 26.5 MiB 2.04 804 9374 2347 6688 339 65.0 MiB 0.07 0.00 3.28867 -109.886 -3.28867 3.28867 0.97 0.000420216 0.000380751 0.0278044 0.0253548 36 2297 24 6.95648e+06 159232 648988. 2245.63 3.83 0.132448 0.11627 26050 158493 -1 1958 22 1342 1858 182168 36158 3.80182 3.80182 -138.446 -3.80182 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0219621 0.0194709 63 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 20.64 vpr 65.36 MiB -1 -1 0.16 20640 1 0.03 -1 -1 33976 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66924 31 32 370 297 1 179 79 17 17 289 -1 unnamed_device 26.9 MiB 0.86 782 13430 5739 6985 706 65.4 MiB 0.10 0.00 3.10309 -100.182 -3.10309 3.10309 0.98 0.000526954 0.0004801 0.044445 0.0405962 50 2420 45 6.95648e+06 231611 902133. 3121.57 16.32 0.334433 0.293456 28642 213929 -1 1846 25 1647 2477 238160 59072 3.40057 3.40057 -126.251 -3.40057 0 0 1.08113e+06 3740.92 0.41 0.09 0.19 -1 -1 0.41 0.0291025 0.0257599 76 57 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 9.91 vpr 65.23 MiB -1 -1 0.16 20776 1 0.03 -1 -1 33984 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66792 31 32 377 302 1 225 79 17 17 289 -1 unnamed_device 27.0 MiB 2.33 1038 12585 5323 6818 444 65.2 MiB 0.10 0.00 4.49406 -141.673 -4.49406 4.49406 0.98 0.000517039 0.000469322 0.0425609 0.0387558 46 2957 39 6.95648e+06 231611 828058. 2865.25 4.09 0.185731 0.163026 28066 200906 -1 2411 23 2036 2961 300995 60245 5.1637 5.1637 -176.797 -5.1637 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0285877 0.0253285 97 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 8.80 vpr 65.35 MiB -1 -1 0.15 20560 1 0.03 -1 -1 33836 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66920 31 32 383 305 1 204 79 17 17 289 -1 unnamed_device 26.8 MiB 2.66 864 15120 6584 7985 551 65.4 MiB 0.12 0.00 3.71649 -118.457 -3.71649 3.71649 0.97 0.000558549 0.000508632 0.0518477 0.0472871 44 2851 34 6.95648e+06 231611 787024. 2723.27 2.61 0.1662 0.147367 27778 195446 -1 2173 22 1847 2657 272045 57093 4.59311 4.59311 -151.598 -4.59311 0 0 997811. 3452.63 0.40 0.09 0.18 -1 -1 0.40 0.0280055 0.024987 88 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 9.32 vpr 65.21 MiB -1 -1 0.15 20492 1 0.03 -1 -1 33836 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 31 32 352 285 1 177 85 17 17 289 -1 unnamed_device 26.8 MiB 1.16 785 14965 5244 7173 2548 65.2 MiB 0.11 0.00 3.35282 -107.681 -3.35282 3.35282 0.92 0.000490427 0.000447927 0.0431932 0.0394566 46 2190 32 6.95648e+06 318465 828058. 2865.25 4.84 0.212263 0.185253 28066 200906 -1 1680 22 1304 1952 134480 30636 3.59616 3.59616 -123.771 -3.59616 0 0 1.01997e+06 3529.29 0.39 0.06 0.19 -1 -1 0.39 0.026091 0.0232575 78 51 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 9.56 vpr 65.02 MiB -1 -1 0.15 20432 1 0.03 -1 -1 34000 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66580 32 32 291 242 1 173 78 17 17 289 -1 unnamed_device 26.4 MiB 1.40 790 12362 4384 6114 1864 65.0 MiB 0.09 0.00 3.40898 -95.5313 -3.40898 3.40898 0.95 0.000436809 0.000397089 0.0350416 0.0319713 46 2250 23 6.95648e+06 202660 828058. 2865.25 4.77 0.180705 0.157355 28066 200906 -1 1815 25 1262 1782 143969 30449 3.72192 3.72192 -117.376 -3.72192 0 0 1.01997e+06 3529.29 0.40 0.06 0.19 -1 -1 0.40 0.0246028 0.0218097 71 24 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 10.33 vpr 65.79 MiB -1 -1 0.17 21156 1 0.03 -1 -1 34084 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67368 32 32 457 356 1 214 86 17 17 289 -1 unnamed_device 27.2 MiB 1.62 906 16718 5469 8712 2537 65.8 MiB 0.14 0.00 3.98456 -128.516 -3.98456 3.98456 0.94 0.000618166 0.000562473 0.0577085 0.0525525 46 2932 29 6.95648e+06 318465 828058. 2865.25 5.22 0.276483 0.241363 28066 200906 -1 2129 25 1964 2826 199367 45720 4.59501 4.59501 -161.985 -4.59501 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0343355 0.0305302 93 84 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 6.02 vpr 64.84 MiB -1 -1 0.15 20272 1 0.03 -1 -1 33512 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 31 32 261 225 1 137 78 17 17 289 -1 unnamed_device 26.5 MiB 0.86 472 10868 3817 4451 2600 64.8 MiB 0.06 0.00 2.86325 -81.3593 -2.86325 2.86325 0.96 0.000418083 0.000381468 0.0281626 0.0256297 40 1541 44 6.95648e+06 217135 706193. 2443.58 1.90 0.120461 0.104925 26914 176310 -1 1257 21 1130 1536 109204 28643 3.33287 3.33287 -104.661 -3.33287 0 0 926341. 3205.33 0.34 0.05 0.16 -1 -1 0.34 0.0191247 0.0169059 56 24 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 7.30 vpr 65.39 MiB -1 -1 0.16 20532 1 0.03 -1 -1 33756 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 31 32 337 267 1 199 78 17 17 289 -1 unnamed_device 26.9 MiB 1.38 931 13856 5963 7458 435 65.4 MiB 0.11 0.00 3.94062 -123.085 -3.94062 3.94062 0.97 0.000494474 0.000451185 0.0444139 0.0405434 46 2695 28 6.95648e+06 217135 828058. 2865.25 2.50 0.139712 0.122774 28066 200906 -1 2094 22 1680 2429 211420 43063 4.32046 4.32046 -147.365 -4.32046 0 0 1.01997e+06 3529.29 0.39 0.08 0.19 -1 -1 0.39 0.0252589 0.022416 84 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 18.24 vpr 65.15 MiB -1 -1 0.16 20820 1 0.03 -1 -1 34052 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 349 284 1 175 81 17 17 289 -1 unnamed_device 26.7 MiB 1.16 873 15656 6805 8467 384 65.2 MiB 0.12 0.00 2.70675 -94.7044 -2.70675 2.70675 0.94 0.000509809 0.000462193 0.0471021 0.042834 38 2892 30 6.95648e+06 246087 678818. 2348.85 13.72 0.277569 0.241697 26626 170182 -1 2062 20 1584 2547 210837 45013 3.32152 3.32152 -123.054 -3.32152 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0236813 0.0210346 73 50 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 7.03 vpr 65.13 MiB -1 -1 0.13 20232 1 0.03 -1 -1 33948 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66692 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 26.6 MiB 1.14 702 9368 3293 4591 1484 65.1 MiB 0.07 0.00 3.85208 -99.8778 -3.85208 3.85208 0.94 0.00043951 0.000400224 0.0265353 0.0242087 44 2380 26 6.95648e+06 231611 787024. 2723.27 2.59 0.115795 0.101718 27778 195446 -1 1650 21 1109 1963 164260 36274 3.82682 3.82682 -122.275 -3.82682 0 0 997811. 3452.63 0.39 0.06 0.18 -1 -1 0.39 0.0220772 0.0196434 68 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 8.79 vpr 65.43 MiB -1 -1 0.15 20800 1 0.03 -1 -1 33888 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 353 287 1 185 78 17 17 289 -1 unnamed_device 27.0 MiB 2.89 810 11698 4533 5470 1695 65.4 MiB 0.09 0.00 3.53145 -110.258 -3.53145 3.53145 0.97 0.000526153 0.000479929 0.0392531 0.0358492 44 2518 45 6.95648e+06 202660 787024. 2723.27 2.50 0.15361 0.134842 27778 195446 -1 1858 20 1322 1825 120235 28433 3.76266 3.76266 -127.404 -3.76266 0 0 997811. 3452.63 0.39 0.06 0.18 -1 -1 0.39 0.0241925 0.021534 78 52 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 11.18 vpr 65.34 MiB -1 -1 0.16 20636 1 0.03 -1 -1 33892 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66908 32 32 361 291 1 179 81 17 17 289 -1 unnamed_device 27.0 MiB 1.96 761 10756 3778 5366 1612 65.3 MiB 0.08 0.00 2.6818 -92.9296 -2.6818 2.6818 0.92 0.000474061 0.000430125 0.0322351 0.0293373 38 2910 50 6.95648e+06 246087 678818. 2348.85 5.96 0.182697 0.159505 26626 170182 -1 2010 21 1525 2291 187064 41475 3.78062 3.78062 -125.124 -3.78062 0 0 902133. 3121.57 0.35 0.07 0.16 -1 -1 0.35 0.0253787 0.0226031 75 52 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 6.93 vpr 65.27 MiB -1 -1 0.17 20588 1 0.03 -1 -1 33604 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66840 32 32 382 305 1 184 90 17 17 289 -1 unnamed_device 26.8 MiB 0.95 912 16572 6299 9066 1207 65.3 MiB 0.12 0.00 3.54708 -116.83 -3.54708 3.54708 0.98 0.000545141 0.000494245 0.0461035 0.0419516 44 2436 41 6.95648e+06 376368 787024. 2723.27 2.52 0.166961 0.146897 27778 195446 -1 1888 23 1447 2082 156388 33138 3.60616 3.60616 -129.986 -3.60616 0 0 997811. 3452.63 0.39 0.07 0.18 -1 -1 0.39 0.0275246 0.0244808 83 59 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 7.34 vpr 65.02 MiB -1 -1 0.13 20312 1 0.03 -1 -1 33780 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 306 248 1 164 86 17 17 289 -1 unnamed_device 26.5 MiB 1.19 688 12371 4121 5342 2908 65.0 MiB 0.08 0.00 3.63883 -96.8071 -3.63883 3.63883 0.96 0.000474078 0.000433852 0.0334108 0.030538 44 2564 48 6.95648e+06 318465 787024. 2723.27 2.76 0.155256 0.136817 27778 195446 -1 1718 23 1275 2026 153607 36817 3.87346 3.87346 -124.314 -3.87346 0 0 997811. 3452.63 0.40 0.07 0.18 -1 -1 0.40 0.0237761 0.0210929 69 21 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 9.51 vpr 65.12 MiB -1 -1 0.16 20316 1 0.03 -1 -1 33768 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 319 257 1 191 77 17 17 289 -1 unnamed_device 26.7 MiB 2.89 788 9694 3739 5087 868 65.1 MiB 0.07 0.00 3.53127 -106.029 -3.53127 3.53127 0.96 0.000490384 0.000444432 0.0306874 0.0279559 40 2478 32 6.95648e+06 188184 706193. 2443.58 3.30 0.148412 0.12956 26914 176310 -1 2070 20 1722 2270 187892 44804 4.34022 4.34022 -141.176 -4.34022 0 0 926341. 3205.33 0.33 0.07 0.16 -1 -1 0.33 0.0224912 0.0200348 79 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 9.88 vpr 65.45 MiB -1 -1 0.17 20456 1 0.03 -1 -1 33748 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 31 32 373 299 1 194 78 17 17 289 -1 unnamed_device 26.9 MiB 1.62 861 10702 4450 5852 400 65.5 MiB 0.09 0.00 3.78527 -117.676 -3.78527 3.78527 0.96 0.000524889 0.000476992 0.0365624 0.033354 40 3187 34 6.95648e+06 217135 706193. 2443.58 4.87 0.19346 0.170567 26914 176310 -1 2666 22 2006 3219 350443 75949 4.83522 4.83522 -153.949 -4.83522 0 0 926341. 3205.33 0.36 0.11 0.16 -1 -1 0.36 0.0295029 0.0262622 85 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 9.77 vpr 65.28 MiB -1 -1 0.16 20396 1 0.03 -1 -1 33936 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 387 315 1 182 77 17 17 289 -1 unnamed_device 26.9 MiB 2.68 833 11813 4936 6458 419 65.3 MiB 0.09 0.00 3.495 -109.002 -3.495 3.495 0.97 0.000518983 0.000472432 0.0420168 0.0382932 46 3112 29 6.95648e+06 188184 828058. 2865.25 3.68 0.178886 0.157483 28066 200906 -1 2119 22 1557 2596 192962 44663 3.94132 3.94132 -135.141 -3.94132 0 0 1.01997e+06 3529.29 0.39 0.07 0.18 -1 -1 0.39 0.0271592 0.0240503 76 74 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 5.67 vpr 64.75 MiB -1 -1 0.12 20468 1 0.03 -1 -1 33432 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 251 219 1 136 82 17 17 289 -1 unnamed_device 26.2 MiB 0.27 615 12898 5353 7173 372 64.8 MiB 0.08 0.00 2.50468 -77.473 -2.50468 2.50468 0.94 0.000421125 0.00038343 0.0305718 0.0278594 38 1795 27 6.95648e+06 260562 678818. 2348.85 2.18 0.11268 0.0990723 26626 170182 -1 1523 23 1261 1912 159710 34806 3.34077 3.34077 -104.266 -3.34077 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0202014 0.0177818 57 20 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 7.93 vpr 65.31 MiB -1 -1 0.14 20528 1 0.03 -1 -1 33456 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 341 285 1 181 76 17 17 289 -1 unnamed_device 26.9 MiB 1.54 697 9516 3957 5288 271 65.3 MiB 0.07 0.00 3.24955 -114.323 -3.24955 3.24955 0.94 0.000467726 0.00042318 0.0314877 0.028647 40 2649 44 6.95648e+06 173708 706193. 2443.58 3.05 0.161479 0.140748 26914 176310 -1 2098 36 2440 3489 410053 116670 3.82682 3.82682 -145.22 -3.82682 0 0 926341. 3205.33 0.35 0.14 0.17 -1 -1 0.35 0.0353768 0.0311337 76 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 10.54 vpr 65.56 MiB -1 -1 0.16 20512 1 0.03 -1 -1 33772 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 32 32 387 293 1 225 80 17 17 289 -1 unnamed_device 26.9 MiB 2.20 1301 12120 4478 6062 1580 65.6 MiB 0.11 0.00 4.02972 -131.543 -4.02972 4.02972 0.98 0.000516987 0.000467788 0.0413356 0.0376409 44 3466 35 6.95648e+06 231611 787024. 2723.27 4.81 0.191805 0.168855 27778 195446 -1 2774 22 2215 3389 331580 64828 4.60236 4.60236 -161.758 -4.60236 0 0 997811. 3452.63 0.41 0.11 0.18 -1 -1 0.41 0.0316621 0.0284013 97 28 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 9.43 vpr 65.00 MiB -1 -1 0.15 20380 1 0.03 -1 -1 33796 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 340 270 1 175 81 17 17 289 -1 unnamed_device 26.7 MiB 0.91 697 15306 6645 8045 616 65.0 MiB 0.11 0.00 3.78211 -117.569 -3.78211 3.78211 0.96 0.000444484 0.000401048 0.0445329 0.0404977 44 2358 37 6.95648e+06 246087 787024. 2723.27 5.12 0.246436 0.213987 27778 195446 -1 1602 21 1423 1864 156768 34372 3.61816 3.61816 -132.124 -3.61816 0 0 997811. 3452.63 0.38 0.07 0.18 -1 -1 0.38 0.0250324 0.022372 74 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 6.36 vpr 65.06 MiB -1 -1 0.15 20524 1 0.03 -1 -1 33540 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 30 32 278 235 1 143 82 17 17 289 -1 unnamed_device 26.5 MiB 0.59 641 12364 5137 6738 489 65.1 MiB 0.08 0.00 2.44995 -80.8493 -2.44995 2.44995 0.99 0.000408784 0.000371904 0.0317695 0.0289641 44 1955 28 6.95648e+06 289514 787024. 2723.27 2.38 0.135954 0.119584 27778 195446 -1 1401 21 1061 1550 109241 25294 3.04982 3.04982 -104.978 -3.04982 0 0 997811. 3452.63 0.38 0.05 0.19 -1 -1 0.38 0.0205342 0.018208 62 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 8.47 vpr 65.75 MiB -1 -1 0.17 20876 1 0.03 -1 -1 33648 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67332 32 32 431 332 1 224 79 17 17 289 -1 unnamed_device 27.4 MiB 1.83 1093 14444 4851 7545 2048 65.8 MiB 0.13 0.00 4.99359 -148.63 -4.99359 4.99359 0.97 0.000596507 0.000538956 0.0547813 0.0499169 46 2979 25 6.95648e+06 217135 828058. 2865.25 3.11 0.205092 0.181526 28066 200906 -1 2376 22 1980 2932 229262 47119 5.05225 5.05225 -167.912 -5.05225 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0315611 0.0280706 95 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 6.88 vpr 65.17 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33472 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 336 268 1 169 87 17 17 289 -1 unnamed_device 26.8 MiB 1.27 728 9879 2703 5263 1913 65.2 MiB 0.07 0.00 3.8241 -107.1 -3.8241 3.8241 0.97 0.000492923 0.000447647 0.028177 0.0257281 40 2157 44 6.95648e+06 332941 706193. 2443.58 2.25 0.160703 0.140011 26914 176310 -1 1739 22 1394 2073 177511 38703 3.78602 3.78602 -128.672 -3.78602 0 0 926341. 3205.33 0.35 0.07 0.17 -1 -1 0.35 0.0245549 0.0217667 74 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 6.50 vpr 64.89 MiB -1 -1 0.14 20460 1 0.03 -1 -1 33636 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 26.3 MiB 0.28 491 9857 3051 5184 1622 64.9 MiB 0.06 0.00 2.5344 -75.6616 -2.5344 2.5344 0.95 0.00039363 0.000359625 0.0247977 0.0225202 48 1281 38 6.95648e+06 188184 865456. 2994.66 2.86 0.125438 0.109782 28354 207349 -1 1052 21 923 1407 153829 57451 3.16047 3.16047 -93.465 -3.16047 0 0 1.05005e+06 3633.38 0.42 0.06 0.20 -1 -1 0.42 0.018073 0.0159722 51 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 9.23 vpr 65.34 MiB -1 -1 0.15 20580 1 0.03 -1 -1 33760 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 32 32 349 273 1 184 88 17 17 289 -1 unnamed_device 26.9 MiB 0.56 1009 11398 4579 5536 1283 65.3 MiB 0.09 0.00 4.09207 -110.099 -4.09207 4.09207 0.95 0.000482308 0.000437833 0.0326551 0.0297521 44 2760 26 6.95648e+06 347416 787024. 2723.27 5.31 0.222055 0.193518 27778 195446 -1 2157 22 1528 2825 249874 48680 4.58521 4.58521 -134.803 -4.58521 0 0 997811. 3452.63 0.38 0.08 0.18 -1 -1 0.38 0.0250373 0.0221635 80 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 9.22 vpr 64.94 MiB -1 -1 0.14 20328 1 0.03 -1 -1 33852 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 26.6 MiB 1.15 494 10702 3609 4745 2348 64.9 MiB 0.07 0.00 2.6034 -82.9158 -2.6034 2.6034 0.97 0.000381624 0.000345721 0.0277776 0.0253585 44 1602 25 6.95648e+06 202660 787024. 2723.27 4.75 0.168057 0.145732 27778 195446 -1 1095 24 1189 1651 99668 25241 2.95567 2.95567 -100.179 -2.95567 0 0 997811. 3452.63 0.40 0.05 0.18 -1 -1 0.40 0.0207614 0.0182668 57 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 8.58 vpr 64.66 MiB -1 -1 0.15 20384 1 0.03 -1 -1 33756 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66216 30 32 278 235 1 144 79 17 17 289 -1 unnamed_device 26.2 MiB 0.97 563 9205 3754 5062 389 64.7 MiB 0.07 0.00 2.93563 -88.1206 -2.93563 2.93563 0.99 0.000449991 0.000411532 0.0256908 0.0235091 40 1685 21 6.95648e+06 246087 706193. 2443.58 4.27 0.158212 0.13684 26914 176310 -1 1550 21 1136 1684 151494 33344 3.14947 3.14947 -107.191 -3.14947 0 0 926341. 3205.33 0.37 0.06 0.16 -1 -1 0.37 0.0212944 0.0189171 60 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 8.77 vpr 65.26 MiB -1 -1 0.17 20492 1 0.03 -1 -1 34068 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 29 32 355 287 1 186 77 17 17 289 -1 unnamed_device 26.8 MiB 1.64 837 13769 6011 7203 555 65.3 MiB 0.11 0.00 3.16908 -99.2771 -3.16908 3.16908 0.97 0.000510873 0.000467349 0.0451123 0.0412563 40 2823 25 6.95648e+06 231611 706193. 2443.58 3.70 0.168387 0.148125 26914 176310 -1 2422 21 1982 2927 278937 58854 4.19166 4.19166 -138.015 -4.19166 0 0 926341. 3205.33 0.35 0.09 0.16 -1 -1 0.35 0.0253984 0.0225842 80 56 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 7.72 vpr 65.07 MiB -1 -1 0.15 20580 1 0.03 -1 -1 33988 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 32 32 358 289 1 173 80 17 17 289 -1 unnamed_device 26.7 MiB 1.40 606 13324 4333 6357 2634 65.1 MiB 0.09 0.00 3.91028 -109.378 -3.91028 3.91028 0.97 0.000520899 0.000475011 0.0424432 0.0387756 46 2105 36 6.95648e+06 231611 828058. 2865.25 2.83 0.177741 0.156291 28066 200906 -1 1652 37 2019 2893 206083 52759 4.18822 4.18822 -139.379 -4.18822 0 0 1.01997e+06 3529.29 0.41 0.10 0.19 -1 -1 0.41 0.0382213 0.0335725 72 51 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 8.53 vpr 65.34 MiB -1 -1 0.15 20664 1 0.03 -1 -1 33548 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 32 32 353 285 1 178 78 17 17 289 -1 unnamed_device 26.9 MiB 2.11 726 13192 5553 7168 471 65.3 MiB 0.10 0.00 3.66779 -114.583 -3.66779 3.66779 0.95 0.000504891 0.000456826 0.0428815 0.0390032 46 2453 41 6.95648e+06 202660 828058. 2865.25 3.02 0.182017 0.160173 28066 200906 -1 1813 23 1325 1984 141018 32701 4.45516 4.45516 -139.816 -4.45516 0 0 1.01997e+06 3529.29 0.40 0.07 0.19 -1 -1 0.40 0.0264707 0.0235304 73 48 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 9.12 vpr 65.03 MiB -1 -1 0.15 20480 1 0.03 -1 -1 33576 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 32 32 276 237 1 155 74 17 17 289 -1 unnamed_device 26.6 MiB 3.26 691 10459 4366 5768 325 65.0 MiB 0.07 0.00 3.30448 -104.445 -3.30448 3.30448 0.98 0.000419185 0.000382425 0.0303892 0.0277029 44 1994 46 6.95648e+06 144757 787024. 2723.27 2.50 0.137514 0.121136 27778 195446 -1 1563 20 1128 1474 105558 24349 3.74352 3.74352 -129.058 -3.74352 0 0 997811. 3452.63 0.40 0.05 0.18 -1 -1 0.40 0.020227 0.0180215 61 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 7.49 vpr 65.08 MiB -1 -1 0.16 20648 1 0.03 -1 -1 33988 -1 -1 12 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 31 32 319 272 1 165 75 17 17 289 -1 unnamed_device 26.6 MiB 2.17 628 11925 5013 6568 344 65.1 MiB 0.09 0.00 3.15532 -100.374 -3.15532 3.15532 0.95 0.000451819 0.000410473 0.037012 0.0337624 42 2314 25 6.95648e+06 173708 744469. 2576.02 1.97 0.144073 0.126329 27202 183097 -1 1645 22 1347 1965 164420 36812 3.32487 3.32487 -123.573 -3.32487 0 0 949917. 3286.91 0.38 0.07 0.17 -1 -1 0.38 0.0236707 0.0210165 68 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 6.43 vpr 65.31 MiB -1 -1 0.13 20536 1 0.03 -1 -1 33796 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 30 32 329 273 1 160 84 17 17 289 -1 unnamed_device 26.6 MiB 0.84 618 11430 3607 5327 2496 65.3 MiB 0.07 0.00 2.4971 -76.2077 -2.4971 2.4971 0.94 0.000439215 0.000402304 0.0307882 0.0279052 46 1930 32 6.95648e+06 318465 828058. 2865.25 2.30 0.146736 0.12818 28066 200906 -1 1387 20 1068 1653 108719 26519 2.85337 2.85337 -94.9484 -2.85337 0 0 1.01997e+06 3529.29 0.39 0.05 0.18 -1 -1 0.39 0.0213167 0.0189037 71 52 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 8.20 vpr 65.07 MiB -1 -1 0.16 20256 1 0.03 -1 -1 33728 -1 -1 28 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 28 32 277 229 1 155 88 17 17 289 -1 unnamed_device 26.5 MiB 0.61 783 10813 4174 5490 1149 65.1 MiB 0.07 0.00 3.09514 -86.8271 -3.09514 3.09514 0.95 0.000409994 0.000371254 0.0252664 0.0230059 44 1843 42 6.95648e+06 405319 787024. 2723.27 4.27 0.18145 0.157121 27778 195446 -1 1539 20 974 1605 115439 23566 3.27956 3.27956 -102.872 -3.27956 0 0 997811. 3452.63 0.39 0.05 0.18 -1 -1 0.39 0.0195166 0.0172999 72 20 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 6.16 vpr 64.90 MiB -1 -1 0.16 20204 1 0.03 -1 -1 33412 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 30 32 317 269 1 149 74 17 17 289 -1 unnamed_device 26.4 MiB 0.85 579 11234 4744 6037 453 64.9 MiB 0.08 0.00 2.79633 -89.7531 -2.79633 2.79633 0.97 0.000463286 0.000420936 0.0358388 0.032711 38 1989 30 6.95648e+06 173708 678818. 2348.85 2.01 0.148663 0.130103 26626 170182 -1 1444 23 1391 1959 134947 31908 3.12912 3.12912 -111.187 -3.12912 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0237824 0.0209779 60 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 8.44 vpr 65.26 MiB -1 -1 0.15 20620 1 0.03 -1 -1 33756 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 32 32 335 282 1 178 75 17 17 289 -1 unnamed_device 26.7 MiB 1.70 654 12873 4787 5987 2099 65.3 MiB 0.09 0.00 2.80395 -97.9853 -2.80395 2.80395 0.98 0.000458796 0.00041758 0.0416631 0.0379204 50 1972 35 6.95648e+06 159232 902133. 3121.57 3.29 0.162405 0.14231 28642 213929 -1 1591 21 1391 2030 142295 35044 3.51016 3.51016 -129.728 -3.51016 0 0 1.08113e+06 3740.92 0.41 0.06 0.20 -1 -1 0.41 0.0241686 0.0215449 72 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 7.01 vpr 65.07 MiB -1 -1 0.16 20056 1 0.03 -1 -1 34056 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 26.5 MiB 0.47 714 12951 4459 6132 2360 65.1 MiB 0.08 0.00 3.89128 -102.001 -3.89128 3.89128 0.93 0.0004252 0.000386632 0.0319909 0.0290941 46 2348 36 6.95648e+06 347416 828058. 2865.25 3.15 0.156133 0.137132 28066 200906 -1 1574 32 1330 2242 267076 112694 3.90317 3.90317 -118.696 -3.90317 0 0 1.01997e+06 3529.29 0.41 0.11 0.18 -1 -1 0.41 0.031266 0.0275286 74 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 8.13 vpr 65.31 MiB -1 -1 0.15 20632 1 0.03 -1 -1 33776 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 350 275 1 196 77 17 17 289 -1 unnamed_device 26.9 MiB 1.75 858 12628 5370 6844 414 65.3 MiB 0.10 0.00 3.94537 -126.254 -3.94537 3.94537 0.99 0.000479883 0.000436132 0.0438306 0.0399653 50 2876 25 6.95648e+06 188184 902133. 3121.57 2.91 0.171027 0.151007 28642 213929 -1 2343 21 1673 2455 235804 51349 4.40486 4.40486 -155.605 -4.40486 0 0 1.08113e+06 3740.92 0.42 0.08 0.20 -1 -1 0.42 0.0257669 0.0230413 82 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 9.03 vpr 65.41 MiB -1 -1 0.15 20460 1 0.03 -1 -1 33940 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66980 32 32 385 308 1 179 88 17 17 289 -1 unnamed_device 27.0 MiB 1.40 969 16273 5452 8511 2310 65.4 MiB 0.12 0.00 3.62123 -113.1 -3.62123 3.62123 0.91 0.000557195 0.000504968 0.0482996 0.0438269 40 2673 33 6.95648e+06 347416 706193. 2443.58 4.29 0.208518 0.184806 26914 176310 -1 2301 23 1478 2450 316187 67926 4.18746 4.18746 -144.97 -4.18746 0 0 926341. 3205.33 0.36 0.10 0.16 -1 -1 0.36 0.0308272 0.0274325 80 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 10.07 vpr 65.50 MiB -1 -1 0.16 20800 1 0.03 -1 -1 33644 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 387 309 1 182 87 17 17 289 -1 unnamed_device 27.1 MiB 1.03 926 12183 4866 6701 616 65.5 MiB 0.10 0.00 3.42782 -114.006 -3.42782 3.42782 0.95 0.000620455 0.000569605 0.0359793 0.0326288 46 3043 48 6.95648e+06 332941 828058. 2865.25 5.65 0.239978 0.208689 28066 200906 -1 2266 20 1605 2712 224832 45569 4.05246 4.05246 -144.058 -4.05246 0 0 1.01997e+06 3529.29 0.39 0.08 0.19 -1 -1 0.39 0.0258879 0.0230235 80 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 6.73 vpr 64.88 MiB -1 -1 0.16 20264 1 0.03 -1 -1 34088 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 30 32 272 232 1 142 74 17 17 289 -1 unnamed_device 26.4 MiB 0.90 546 10304 3573 4673 2058 64.9 MiB 0.07 0.00 3.22376 -89.0525 -3.22376 3.22376 0.95 0.000423576 0.000372789 0.0296398 0.0270984 38 1898 25 6.95648e+06 173708 678818. 2348.85 2.56 0.131622 0.115494 26626 170182 -1 1418 23 1195 1873 148814 32243 3.13482 3.13482 -107.911 -3.13482 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0216359 0.0192017 57 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 9.69 vpr 65.47 MiB -1 -1 0.17 20488 1 0.03 -1 -1 33940 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 30 32 375 299 1 179 76 17 17 289 -1 unnamed_device 27.0 MiB 1.01 630 10956 4606 5679 671 65.5 MiB 0.08 0.00 3.71763 -111.147 -3.71763 3.71763 0.98 0.000515555 0.000467285 0.0390631 0.0356122 50 1913 28 6.95648e+06 202660 902133. 3121.57 5.20 0.222167 0.193475 28642 213929 -1 1583 22 1748 2463 173069 42874 4.11166 4.11166 -132.96 -4.11166 0 0 1.08113e+06 3740.92 0.42 0.07 0.20 -1 -1 0.42 0.026689 0.0237239 76 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 21.10 vpr 65.30 MiB -1 -1 0.15 20436 1 0.03 -1 -1 33780 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 340 270 1 193 78 17 17 289 -1 unnamed_device 26.9 MiB 1.56 825 11698 4924 6293 481 65.3 MiB 0.09 0.00 4.0079 -119.402 -4.0079 4.0079 0.93 0.000490119 0.000445068 0.0367643 0.0335915 46 2814 43 6.95648e+06 202660 828058. 2865.25 16.21 0.293256 0.255618 28066 200906 -1 2001 21 1612 2584 222065 49068 4.54461 4.54461 -144.48 -4.54461 0 0 1.01997e+06 3529.29 0.38 0.08 0.19 -1 -1 0.38 0.0242805 0.0215798 80 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 18.90 vpr 65.19 MiB -1 -1 0.16 20680 1 0.03 -1 -1 33888 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 31 32 340 275 1 187 77 17 17 289 -1 unnamed_device 26.8 MiB 2.32 876 10183 4265 5558 360 65.2 MiB 0.08 0.00 4.77836 -130.82 -4.77836 4.77836 0.97 0.000501817 0.000456682 0.0341669 0.031246 40 2497 23 6.95648e+06 202660 706193. 2443.58 13.20 0.281218 0.245097 26914 176310 -1 2270 20 1459 2160 237380 51718 4.66051 4.66051 -153.754 -4.66051 0 0 926341. 3205.33 0.36 0.08 0.17 -1 -1 0.36 0.0252021 0.022515 79 43 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 9.46 vpr 65.31 MiB -1 -1 0.18 20652 1 0.03 -1 -1 34016 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 30 32 377 310 1 170 83 17 17 289 -1 unnamed_device 26.9 MiB 1.76 833 15023 6429 8046 548 65.3 MiB 0.11 0.00 3.74802 -117.262 -3.74802 3.74802 0.94 0.000520997 0.000474711 0.0458971 0.0418569 38 2617 29 6.95648e+06 303989 678818. 2348.85 4.35 0.178921 0.157754 26626 170182 -1 2104 21 1299 1926 171214 36181 4.31236 4.31236 -150.477 -4.31236 0 0 902133. 3121.57 0.35 0.07 0.16 -1 -1 0.35 0.0255461 0.0226574 74 78 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 8.67 vpr 65.11 MiB -1 -1 0.16 20880 1 0.03 -1 -1 33808 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 365 294 1 177 77 17 17 289 -1 unnamed_device 26.7 MiB 1.21 725 9368 3271 4251 1846 65.1 MiB 0.07 0.00 3.77393 -114.341 -3.77393 3.77393 0.97 0.000513855 0.000467736 0.0323405 0.0295041 48 2651 32 6.95648e+06 188184 865456. 2994.66 4.03 0.165783 0.145525 28354 207349 -1 1915 18 1480 2425 235058 53004 4.11146 4.11146 -146.2 -4.11146 0 0 1.05005e+06 3633.38 0.41 0.08 0.19 -1 -1 0.41 0.0239784 0.021488 72 54 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 13.18 vpr 65.37 MiB -1 -1 0.17 20800 1 0.03 -1 -1 34008 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 29 32 378 310 1 170 77 17 17 289 -1 unnamed_device 26.9 MiB 1.24 685 9531 3728 4876 927 65.4 MiB 0.08 0.00 3.26967 -101.645 -3.26967 3.26967 0.95 0.000518236 0.000471267 0.033404 0.030501 38 2686 27 6.95648e+06 231611 678818. 2348.85 8.65 0.253495 0.220352 26626 170182 -1 1895 22 1421 2087 191242 42299 3.80202 3.80202 -132.153 -3.80202 0 0 902133. 3121.57 0.35 0.07 0.15 -1 -1 0.35 0.0247672 0.0217891 73 79 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 8.23 vpr 64.83 MiB -1 -1 0.15 20452 1 0.03 -1 -1 33768 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 243 205 1 139 74 17 17 289 -1 unnamed_device 26.3 MiB 0.98 655 9529 3529 5033 967 64.8 MiB 0.06 0.00 2.91658 -88.9369 -2.91658 2.91658 0.94 0.000387954 0.000353204 0.0261108 0.0238455 40 1765 22 6.95648e+06 144757 706193. 2443.58 4.05 0.143668 0.124375 26914 176310 -1 1612 19 1082 1614 160464 33313 3.27042 3.27042 -113.669 -3.27042 0 0 926341. 3205.33 0.34 0.06 0.16 -1 -1 0.34 0.0175577 0.0156463 53 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 20.72 vpr 65.21 MiB -1 -1 0.15 20484 1 0.03 -1 -1 33888 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 32 32 373 302 1 174 87 17 17 289 -1 unnamed_device 26.8 MiB 3.28 821 15063 5515 6831 2717 65.2 MiB 0.11 0.00 3.91556 -109.001 -3.91556 3.91556 0.94 0.000524658 0.000478076 0.0448732 0.0409033 40 2670 45 6.95648e+06 332941 706193. 2443.58 14.00 0.31547 0.274013 26914 176310 -1 2141 30 1715 2819 406909 128640 4.2433 4.2433 -141.702 -4.2433 0 0 926341. 3205.33 0.37 0.15 0.16 -1 -1 0.37 0.0366104 0.032339 76 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 8.62 vpr 65.60 MiB -1 -1 0.14 20400 1 0.03 -1 -1 33948 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 397 314 1 188 77 17 17 289 -1 unnamed_device 27.1 MiB 0.66 756 8390 2985 3891 1514 65.6 MiB 0.07 0.00 3.49708 -113.705 -3.49708 3.49708 0.92 0.000501105 0.000452266 0.0302969 0.0275665 54 2258 37 6.95648e+06 188184 949917. 3286.91 4.60 0.217163 0.187562 29506 232905 -1 1653 20 1619 2381 167214 42610 4.13672 4.13672 -142.863 -4.13672 0 0 1.17392e+06 4061.99 0.46 0.07 0.21 -1 -1 0.46 0.0257923 0.0229669 78 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 16.41 vpr 64.94 MiB -1 -1 0.15 20336 1 0.03 -1 -1 33920 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 269 231 1 166 75 17 17 289 -1 unnamed_device 26.4 MiB 1.71 764 12399 5479 6607 313 64.9 MiB 0.08 0.00 3.28067 -100.55 -3.28067 3.28067 0.95 0.000419529 0.000382919 0.034353 0.0313479 40 2149 31 6.95648e+06 159232 706193. 2443.58 11.43 0.237478 0.206917 26914 176310 -1 1851 21 1291 1610 162419 37705 3.56242 3.56242 -124.245 -3.56242 0 0 926341. 3205.33 0.35 0.06 0.17 -1 -1 0.35 0.0201878 0.0179053 68 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 8.04 vpr 64.66 MiB -1 -1 0.14 20292 1 0.03 -1 -1 33888 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 26.3 MiB 1.28 516 12236 4558 5320 2358 64.7 MiB 0.07 0.00 2.78823 -84.0827 -2.78823 2.78823 0.97 0.000389476 0.000354511 0.031779 0.0289949 40 1745 42 6.95648e+06 188184 706193. 2443.58 3.51 0.145953 0.128486 26914 176310 -1 1410 22 1296 1782 164249 39759 3.23432 3.23432 -114.789 -3.23432 0 0 926341. 3205.33 0.34 0.06 0.15 -1 -1 0.34 0.0192924 0.0170828 57 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 7.82 vpr 65.38 MiB -1 -1 0.16 20468 1 0.03 -1 -1 33516 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66952 32 32 348 274 1 202 79 17 17 289 -1 unnamed_device 26.9 MiB 1.71 789 12416 5201 6749 466 65.4 MiB 0.10 0.00 3.75407 -122.757 -3.75407 3.75407 0.94 0.000515943 0.000469442 0.0401075 0.0365766 44 3303 43 6.95648e+06 217135 787024. 2723.27 2.69 0.158436 0.138784 27778 195446 -1 2001 20 1701 2309 175121 39473 4.30641 4.30641 -147.362 -4.30641 0 0 997811. 3452.63 0.40 0.07 0.18 -1 -1 0.40 0.0239191 0.0213191 85 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 6.99 vpr 64.98 MiB -1 -1 0.17 20644 1 0.03 -1 -1 33960 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 356 289 1 196 78 17 17 289 -1 unnamed_device 26.6 MiB 1.18 781 11698 4906 6346 446 65.0 MiB 0.09 0.00 4.05782 -121.625 -4.05782 4.05782 0.97 0.000510136 0.00046362 0.0389118 0.0355466 46 2670 38 6.95648e+06 202660 828058. 2865.25 2.37 0.153546 0.134911 28066 200906 -1 1903 30 1859 2643 273548 80339 4.83876 4.83876 -155.206 -4.83876 0 0 1.01997e+06 3529.29 0.39 0.10 0.19 -1 -1 0.39 0.0319188 0.0281032 82 53 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 6.21 vpr 65.37 MiB -1 -1 0.16 20772 1 0.03 -1 -1 33836 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66936 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 26.9 MiB 0.51 862 15656 6438 7724 1494 65.4 MiB 0.12 0.00 4.06272 -117.727 -4.06272 4.06272 0.95 0.000518299 0.00047184 0.0501348 0.0457198 48 2780 29 6.95648e+06 246087 865456. 2994.66 2.25 0.152064 0.134145 28354 207349 -1 2032 21 1821 2937 231206 53492 4.72236 4.72236 -149.788 -4.72236 0 0 1.05005e+06 3633.38 0.41 0.08 0.19 -1 -1 0.41 0.026663 0.023814 83 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 8.55 vpr 65.11 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33792 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 30 32 316 264 1 159 83 17 17 289 -1 unnamed_device 26.5 MiB 0.95 632 11963 3545 6042 2376 65.1 MiB 0.08 0.00 2.83043 -79.56 -2.83043 2.83043 0.97 0.000471541 0.000430225 0.0331392 0.0302174 40 1875 25 6.95648e+06 303989 706193. 2443.58 4.26 0.183196 0.158532 26914 176310 -1 1551 25 1438 2241 167582 38702 3.14017 3.14017 -105.094 -3.14017 0 0 926341. 3205.33 0.35 0.07 0.17 -1 -1 0.35 0.0251477 0.022181 69 47 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 5.87 vpr 64.85 MiB -1 -1 0.16 20496 1 0.03 -1 -1 34288 -1 -1 14 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 27 32 255 219 1 130 73 17 17 289 -1 unnamed_device 26.3 MiB 0.55 469 8889 3709 4674 506 64.9 MiB 0.06 0.00 2.4231 -73.3364 -2.4231 2.4231 0.95 0.000395281 0.000361451 0.0249043 0.022719 36 1601 31 6.95648e+06 202660 648988. 2245.63 2.14 0.121919 0.106152 26050 158493 -1 1245 18 923 1130 89155 20671 3.02397 3.02397 -97.6379 -3.02397 0 0 828058. 2865.25 0.32 0.04 0.15 -1 -1 0.32 0.017493 0.0154293 54 26 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 8.70 vpr 65.79 MiB -1 -1 0.17 20508 1 0.03 -1 -1 33956 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67368 32 32 421 327 1 224 80 17 17 289 -1 unnamed_device 27.3 MiB 1.39 958 15732 6790 8189 753 65.8 MiB 0.14 0.00 3.20225 -108.346 -3.20225 3.20225 0.98 0.000579778 0.000528123 0.0569393 0.0519736 54 2925 44 6.95648e+06 231611 949917. 3286.91 3.69 0.221495 0.195632 29506 232905 -1 2080 21 1927 3030 215982 51504 3.97732 3.97732 -134.461 -3.97732 0 0 1.17392e+06 4061.99 0.44 0.08 0.22 -1 -1 0.44 0.0290559 0.0258887 95 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 14.62 vpr 65.35 MiB -1 -1 0.17 20708 1 0.03 -1 -1 33644 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66920 31 32 365 296 1 190 78 17 17 289 -1 unnamed_device 27.0 MiB 5.11 1028 12030 4049 6241 1740 65.4 MiB 0.10 0.00 4.5044 -130.841 -4.5044 4.5044 0.94 0.000508432 0.00046413 0.0400382 0.0366029 38 2769 40 6.95648e+06 217135 678818. 2348.85 6.14 0.18243 0.160754 26626 170182 -1 2351 23 1871 2816 309390 58807 4.66226 4.66226 -158.746 -4.66226 0 0 902133. 3121.57 0.35 0.10 0.16 -1 -1 0.35 0.0278066 0.0246954 82 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 8.93 vpr 64.91 MiB -1 -1 0.14 20652 1 0.03 -1 -1 33768 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 331 280 1 171 75 17 17 289 -1 unnamed_device 26.4 MiB 3.17 823 12083 5247 6681 155 64.9 MiB 0.08 0.00 3.30244 -111.934 -3.30244 3.30244 0.93 0.000479407 0.000431912 0.0358148 0.0323954 38 2373 38 6.95648e+06 159232 678818. 2348.85 2.58 0.158513 0.138457 26626 170182 -1 2031 18 1284 1865 160082 32589 3.68266 3.68266 -139.508 -3.68266 0 0 902133. 3121.57 0.33 0.06 0.14 -1 -1 0.33 0.0194495 0.0172273 70 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 8.89 vpr 64.98 MiB -1 -1 0.15 20200 1 0.03 -1 -1 33940 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 326 263 1 169 86 17 17 289 -1 unnamed_device 26.6 MiB 0.40 813 15584 6687 8396 501 65.0 MiB 0.11 0.00 3.46513 -100.524 -3.46513 3.46513 0.97 0.000477555 0.00043104 0.0419145 0.0381577 48 2166 24 6.95648e+06 318465 865456. 2994.66 5.03 0.197571 0.17232 28354 207349 -1 1801 23 1194 1866 151142 33141 3.75646 3.75646 -119.578 -3.75646 0 0 1.05005e+06 3633.38 0.42 0.07 0.19 -1 -1 0.42 0.0249821 0.0221043 74 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 17.32 vpr 65.54 MiB -1 -1 0.18 20520 1 0.03 -1 -1 33772 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 31 32 373 294 1 188 88 17 17 289 -1 unnamed_device 27.0 MiB 0.90 763 15103 4963 7050 3090 65.5 MiB 0.10 0.00 3.58273 -103.837 -3.58273 3.58273 0.94 0.000507727 0.000459777 0.0428806 0.0389939 44 2483 47 6.95648e+06 361892 787024. 2723.27 13.01 0.314115 0.2734 27778 195446 -1 1683 21 1392 2037 141933 34964 4.17392 4.17392 -128.557 -4.17392 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0250438 0.0221637 83 46 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 18.54 vpr 65.20 MiB -1 -1 0.16 20780 1 0.03 -1 -1 34124 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 30 32 325 268 1 166 78 17 17 289 -1 unnamed_device 26.6 MiB 1.13 754 11698 4895 6184 619 65.2 MiB 0.08 0.00 2.87605 -84.5862 -2.87605 2.87605 0.94 0.000466789 0.000418235 0.0353413 0.0321199 48 2015 28 6.95648e+06 231611 865456. 2994.66 14.02 0.263086 0.228756 28354 207349 -1 1762 20 1272 2023 167001 39196 4.03747 4.03747 -109.257 -4.03747 0 0 1.05005e+06 3633.38 0.41 0.07 0.20 -1 -1 0.41 0.0229518 0.0204836 68 46 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 11.77 vpr 65.45 MiB -1 -1 0.17 20484 1 0.03 -1 -1 34016 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 32 32 350 275 1 208 78 17 17 289 -1 unnamed_device 27.0 MiB 1.68 897 11864 4930 6458 476 65.4 MiB 0.10 0.00 3.74967 -121.549 -3.74967 3.74967 0.95 0.00051308 0.000465682 0.0396053 0.0361239 52 3296 50 6.95648e+06 202660 926341. 3205.33 6.53 0.279429 0.243228 29218 227130 -1 2096 23 1956 2823 254239 56775 4.12906 4.12906 -144.621 -4.12906 0 0 1.14541e+06 3963.36 0.46 0.09 0.22 -1 -1 0.46 0.0280519 0.0250249 88 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 21.55 vpr 65.37 MiB -1 -1 0.16 20404 1 0.03 -1 -1 33612 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 32 32 386 307 1 187 82 17 17 289 -1 unnamed_device 26.9 MiB 0.97 781 12186 5044 6563 579 65.4 MiB 0.09 0.00 3.70063 -117.46 -3.70063 3.70063 0.97 0.000578102 0.000528016 0.0404268 0.0368954 48 2477 44 6.95648e+06 260562 865456. 2994.66 17.15 0.349902 0.306037 28354 207349 -1 1870 22 1540 2100 195235 45697 3.82202 3.82202 -139.334 -3.82202 0 0 1.05005e+06 3633.38 0.38 0.08 0.18 -1 -1 0.38 0.0275394 0.0243241 80 59 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 10.15 vpr 64.90 MiB -1 -1 0.15 20472 1 0.03 -1 -1 33680 -1 -1 12 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 29 32 269 229 1 132 73 17 17 289 -1 unnamed_device 26.5 MiB 5.14 489 8737 3610 4703 424 64.9 MiB 0.06 0.00 3.26592 -84.8562 -3.26592 3.26592 0.96 0.000414497 0.000373293 0.0256625 0.0234402 36 1479 29 6.95648e+06 173708 648988. 2245.63 1.83 0.123574 0.107715 26050 158493 -1 1217 22 839 1115 87146 19971 3.03502 3.03502 -103.018 -3.03502 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.020664 0.0182692 53 28 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 12.56 vpr 64.88 MiB -1 -1 0.16 20288 1 0.03 -1 -1 33636 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 310 266 1 163 75 17 17 289 -1 unnamed_device 26.4 MiB 1.29 700 8607 3070 3768 1769 64.9 MiB 0.06 0.00 3.06285 -104.202 -3.06285 3.06285 0.98 0.000461903 0.000419629 0.0270803 0.0247129 40 1887 28 6.95648e+06 159232 706193. 2443.58 7.93 0.240668 0.209017 26914 176310 -1 1607 20 1281 1632 156936 34804 3.88511 3.88511 -130.835 -3.88511 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0205793 0.0182653 64 55 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 6.71 vpr 65.25 MiB -1 -1 0.16 20284 1 0.03 -1 -1 33648 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 31 32 326 261 1 172 86 17 17 289 -1 unnamed_device 26.9 MiB 1.07 749 12938 4452 6522 1964 65.2 MiB 0.09 0.00 3.43718 -100.911 -3.43718 3.43718 0.96 0.00048833 0.000437363 0.0354608 0.0323057 44 2570 35 6.95648e+06 332941 787024. 2723.27 2.23 0.152837 0.134689 27778 195446 -1 1766 22 1442 2306 198681 43500 4.12876 4.12876 -133.262 -4.12876 0 0 997811. 3452.63 0.40 0.07 0.19 -1 -1 0.40 0.0246869 0.021964 77 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 8.70 vpr 65.08 MiB -1 -1 0.15 20240 1 0.03 -1 -1 33816 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 29 32 262 224 1 161 74 17 17 289 -1 unnamed_device 26.6 MiB 1.75 616 10149 4479 5234 436 65.1 MiB 0.07 0.00 3.40298 -96.0998 -3.40298 3.40298 0.96 0.000407118 0.00037155 0.0286397 0.0262302 38 2239 31 6.95648e+06 188184 678818. 2348.85 3.67 0.131707 0.115172 26626 170182 -1 1593 21 1276 1604 133073 30569 3.41492 3.41492 -114.825 -3.41492 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0202302 0.0179409 67 25 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 8.54 vpr 64.94 MiB -1 -1 0.14 20236 1 0.03 -1 -1 34000 -1 -1 9 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 278 238 1 144 73 17 17 289 -1 unnamed_device 26.6 MiB 1.38 694 11625 5131 6255 239 64.9 MiB 0.08 0.00 3.31656 -97.2168 -3.31656 3.31656 0.94 0.000425163 0.000387729 0.0346162 0.0315055 44 1789 21 6.95648e+06 130281 787024. 2723.27 3.85 0.168742 0.146241 27778 195446 -1 1442 21 1104 1671 118049 25710 3.28217 3.28217 -114.531 -3.28217 0 0 997811. 3452.63 0.38 0.05 0.18 -1 -1 0.38 0.0199512 0.0176602 56 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 9.49 vpr 65.33 MiB -1 -1 0.17 20504 1 0.03 -1 -1 33844 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66900 31 32 373 300 1 174 87 17 17 289 -1 unnamed_device 26.9 MiB 1.09 659 13335 4385 6152 2798 65.3 MiB 0.09 0.00 2.96863 -94.5196 -2.96863 2.96863 0.95 0.000536339 0.000489369 0.0407063 0.0372368 46 1882 29 6.95648e+06 347416 828058. 2865.25 5.01 0.245847 0.214057 28066 200906 -1 1410 20 1541 2077 126132 31726 3.14967 3.14967 -114.325 -3.14967 0 0 1.01997e+06 3529.29 0.39 0.06 0.19 -1 -1 0.39 0.0240821 0.021397 79 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 8.29 vpr 65.03 MiB -1 -1 0.16 20324 1 0.03 -1 -1 33728 -1 -1 12 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 31 32 265 230 1 159 75 17 17 289 -1 unnamed_device 26.6 MiB 2.68 786 7975 2578 3727 1670 65.0 MiB 0.06 0.00 3.35097 -102.439 -3.35097 3.35097 0.98 0.000401941 0.00036583 0.0229415 0.0209756 36 2230 45 6.95648e+06 173708 648988. 2245.63 2.28 0.125731 0.11082 26050 158493 -1 1949 28 1422 2045 284830 86212 3.49622 3.49622 -127.839 -3.49622 0 0 828058. 2865.25 0.34 0.10 0.14 -1 -1 0.34 0.0258579 0.0229173 64 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 12.06 vpr 65.28 MiB -1 -1 0.16 20584 1 0.03 -1 -1 33964 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 349 286 1 165 86 17 17 289 -1 unnamed_device 26.8 MiB 1.38 853 13316 4623 6305 2388 65.3 MiB 0.10 0.00 2.5815 -91.5934 -2.5815 2.5815 0.96 0.000499398 0.000454525 0.0387352 0.0353595 36 2563 47 6.95648e+06 318465 648988. 2245.63 7.36 0.247443 0.2157 26050 158493 -1 1957 23 1417 2070 170573 35952 3.32967 3.32967 -124.083 -3.32967 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0252132 0.0222817 71 54 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 10.16 vpr 65.53 MiB -1 -1 0.17 20464 1 0.03 -1 -1 33824 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67100 31 32 396 325 1 176 78 17 17 289 -1 unnamed_device 27.1 MiB 1.85 675 13026 5521 6955 550 65.5 MiB 0.10 0.00 3.3685 -110.466 -3.3685 3.3685 0.97 0.000533344 0.000484848 0.0463765 0.0421344 44 2231 21 6.95648e+06 217135 787024. 2723.27 4.79 0.248022 0.216299 27778 195446 -1 1598 20 1319 1796 143907 32780 3.74072 3.74072 -134.009 -3.74072 0 0 997811. 3452.63 0.40 0.07 0.18 -1 -1 0.40 0.0259669 0.0231709 73 87 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 7.31 vpr 64.96 MiB -1 -1 0.15 20492 1 0.03 -1 -1 33920 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 303 262 1 145 74 17 17 289 -1 unnamed_device 26.5 MiB 1.45 545 10149 3367 5084 1698 65.0 MiB 0.07 0.00 2.4011 -79.9159 -2.4011 2.4011 0.94 0.000446501 0.000400741 0.0307607 0.0280058 46 1451 25 6.95648e+06 144757 828058. 2865.25 2.49 0.134874 0.117715 28066 200906 -1 1109 21 940 1464 89892 22097 2.66912 2.66912 -95.8011 -2.66912 0 0 1.01997e+06 3529.29 0.40 0.05 0.19 -1 -1 0.40 0.0214335 0.0190052 57 54 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 7.50 vpr 65.13 MiB -1 -1 0.15 20268 1 0.03 -1 -1 33724 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66692 32 32 290 244 1 170 75 17 17 289 -1 unnamed_device 26.6 MiB 1.59 702 11293 3981 5488 1824 65.1 MiB 0.08 0.00 3.29168 -104.399 -3.29168 3.29168 0.95 0.000435203 0.000396695 0.0332749 0.0304243 48 2043 47 6.95648e+06 159232 865456. 2994.66 2.53 0.154354 0.135644 28354 207349 -1 1535 23 1394 2015 146250 37873 3.58222 3.58222 -124.185 -3.58222 0 0 1.05005e+06 3633.38 0.40 0.07 0.19 -1 -1 0.40 0.0238417 0.0212015 70 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 9.46 vpr 65.15 MiB -1 -1 0.16 20196 1 0.03 -1 -1 33560 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 32 32 318 257 1 188 78 17 17 289 -1 unnamed_device 26.8 MiB 2.52 714 11366 3981 5046 2339 65.1 MiB 0.08 0.00 3.45418 -103.596 -3.45418 3.45418 0.94 0.000440091 0.000400207 0.0335438 0.0305957 46 2580 38 6.95648e+06 202660 828058. 2865.25 3.59 0.163776 0.143862 28066 200906 -1 1813 23 1584 2222 163546 42548 4.11471 4.11471 -131.937 -4.11471 0 0 1.01997e+06 3529.29 0.41 0.07 0.18 -1 -1 0.41 0.0259538 0.0231127 79 27 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 9.54 vpr 65.27 MiB -1 -1 0.17 20240 1 0.03 -1 -1 33596 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 29 32 324 268 1 162 82 17 17 289 -1 unnamed_device 26.7 MiB 1.19 683 11118 4647 5857 614 65.3 MiB 0.08 0.00 3.53128 -97.3988 -3.53128 3.53128 0.95 0.000467236 0.000426702 0.031633 0.0289063 44 2142 33 6.95648e+06 303989 787024. 2723.27 4.99 0.234249 0.203585 27778 195446 -1 1603 17 1076 1613 117718 27631 3.45706 3.45706 -111.992 -3.45706 0 0 997811. 3452.63 0.39 0.05 0.18 -1 -1 0.39 0.020444 0.0183169 71 49 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 9.06 vpr 65.53 MiB -1 -1 0.17 20756 1 0.03 -1 -1 33736 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67104 32 32 393 312 1 206 78 17 17 289 -1 unnamed_device 27.0 MiB 1.62 818 12860 5105 6367 1388 65.5 MiB 0.10 0.00 4.0452 -128.08 -4.0452 4.0452 0.96 0.000580143 0.000528002 0.044855 0.0407795 46 2808 30 6.95648e+06 202660 828058. 2865.25 3.95 0.181936 0.159644 28066 200906 -1 2094 26 2270 3227 297533 66273 4.9578 4.9578 -161.9 -4.9578 0 0 1.01997e+06 3529.29 0.39 0.10 0.19 -1 -1 0.39 0.0320894 0.0285342 89 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 7.63 vpr 64.78 MiB -1 -1 0.14 20588 1 0.03 -1 -1 33644 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 26.2 MiB 1.63 843 12556 3958 7345 1253 64.8 MiB 0.08 0.00 3.10444 -86.1242 -3.10444 3.10444 0.96 0.000374066 0.000343176 0.0315207 0.0288031 36 1997 24 6.95648e+06 188184 648988. 2245.63 2.71 0.1216 0.106925 26050 158493 -1 1770 17 811 1280 119417 24250 2.89862 2.89862 -105.465 -2.89862 0 0 828058. 2865.25 0.34 0.05 0.15 -1 -1 0.34 0.0163314 0.0145777 54 -1 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 8.60 vpr 65.57 MiB -1 -1 0.16 20988 1 0.03 -1 -1 33912 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67140 32 32 412 334 1 182 89 17 17 289 -1 unnamed_device 27.1 MiB 1.08 1057 12563 3798 7083 1682 65.6 MiB 0.09 0.00 3.08489 -115.661 -3.08489 3.08489 0.91 0.000558952 0.000505812 0.0392594 0.035455 38 2585 23 6.95648e+06 361892 678818. 2348.85 4.30 0.215332 0.186454 26626 170182 -1 2159 20 1655 2175 182803 36217 3.68256 3.68256 -145.706 -3.68256 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0265843 0.0236078 81 87 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 17.90 vpr 65.30 MiB -1 -1 0.17 20584 1 0.03 -1 -1 33760 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66868 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 26.7 MiB 3.29 613 12009 5237 6469 303 65.3 MiB 0.09 0.00 2.45985 -91.5837 -2.45985 2.45985 0.95 0.000505834 0.000460025 0.0421182 0.0383693 46 1829 25 6.95648e+06 144757 828058. 2865.25 11.21 0.287703 0.250862 28066 200906 -1 1425 20 1413 1972 145978 33230 3.18412 3.18412 -125.025 -3.18412 0 0 1.01997e+06 3529.29 0.40 0.06 0.19 -1 -1 0.40 0.0234905 0.0208758 61 93 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 9.50 vpr 65.11 MiB -1 -1 0.17 20424 1 0.03 -1 -1 33976 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 32 32 360 293 1 172 86 17 17 289 -1 unnamed_device 26.7 MiB 1.14 713 11615 3844 5849 1922 65.1 MiB 0.09 0.00 3.41878 -102.821 -3.41878 3.41878 0.94 0.00050698 0.00046212 0.0339751 0.0310075 44 2464 49 6.95648e+06 318465 787024. 2723.27 5.01 0.255314 0.221292 27778 195446 -1 1679 29 1309 2007 180352 53937 3.85782 3.85782 -118.747 -3.85782 0 0 997811. 3452.63 0.39 0.07 0.18 -1 -1 0.39 0.0253763 0.0222602 75 57 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 21.19 vpr 65.66 MiB -1 -1 0.15 20568 1 0.04 -1 -1 34048 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 32 32 396 299 1 224 79 17 17 289 -1 unnamed_device 27.3 MiB 1.80 932 13768 4560 6694 2514 65.7 MiB 0.11 0.00 4.78047 -141.161 -4.78047 4.78047 0.97 0.000586654 0.000535429 0.0498172 0.045456 50 2706 45 6.95648e+06 217135 902133. 3121.57 15.84 0.333124 0.290451 28642 213929 -1 2008 21 1869 2696 222730 49991 4.52605 4.52605 -154.306 -4.52605 0 0 1.08113e+06 3740.92 0.40 0.08 0.21 -1 -1 0.40 0.0280202 0.0249853 95 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 13.33 vpr 64.70 MiB -1 -1 0.14 20508 1 0.03 -1 -1 33764 -1 -1 11 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 30 32 224 207 1 132 73 17 17 289 -1 unnamed_device 26.2 MiB 2.70 546 11169 4818 5896 455 64.7 MiB 0.06 0.00 2.26495 -77.3411 -2.26495 2.26495 0.93 0.000280742 0.000252198 0.0254594 0.0231518 44 1368 19 6.95648e+06 159232 787024. 2723.27 7.37 0.184055 0.158631 27778 195446 -1 1151 19 840 1074 93973 21159 2.24132 2.24132 -88.7244 -2.24132 0 0 997811. 3452.63 0.41 0.04 0.19 -1 -1 0.41 0.0159698 0.0141216 52 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 7.40 vpr 65.05 MiB -1 -1 0.15 20248 1 0.03 -1 -1 33872 -1 -1 11 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66612 30 32 286 239 1 135 73 17 17 289 -1 unnamed_device 26.5 MiB 1.62 452 9041 2987 4074 1980 65.1 MiB 0.06 0.00 3.12499 -90.986 -3.12499 3.12499 0.94 0.00043569 0.000394009 0.0275304 0.0250484 50 1175 40 6.95648e+06 159232 902133. 3121.57 2.42 0.139291 0.121532 28642 213929 -1 912 18 883 1284 94455 25212 3.30143 3.30143 -107.559 -3.30143 0 0 1.08113e+06 3740.92 0.43 0.05 0.20 -1 -1 0.43 0.0187971 0.0167563 54 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 7.86 vpr 64.85 MiB -1 -1 0.15 20396 1 0.03 -1 -1 33944 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 296 247 1 152 74 17 17 289 -1 unnamed_device 26.4 MiB 0.52 548 8754 2604 4261 1889 64.9 MiB 0.06 0.00 2.6818 -88.2907 -2.6818 2.6818 0.98 0.000437485 0.000396394 0.0278191 0.0254072 56 1624 44 6.95648e+06 144757 973134. 3367.25 3.90 0.168707 0.146873 29794 239141 -1 1140 22 1109 1758 141451 35356 2.98687 2.98687 -102.37 -2.98687 0 0 1.19926e+06 4149.71 0.43 0.06 0.22 -1 -1 0.43 0.0216469 0.0192143 59 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 6.07 vpr 64.85 MiB -1 -1 0.15 20560 1 0.03 -1 -1 33628 -1 -1 18 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 25 32 216 194 1 121 75 17 17 289 -1 unnamed_device 26.3 MiB 0.51 493 9239 3830 4708 701 64.8 MiB 0.05 0.00 2.59693 -61.9274 -2.59693 2.59693 0.94 0.000341732 0.000311123 0.0220149 0.0201416 36 1644 35 6.95648e+06 260562 648988. 2245.63 2.40 0.0977435 0.0851221 26050 158493 -1 1132 22 976 1480 121215 33267 2.77222 2.77222 -84.3498 -2.77222 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.0176763 0.0155799 53 19 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 26.25 vpr 65.38 MiB -1 -1 0.17 20912 1 0.03 -1 -1 33920 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 376 307 1 178 76 17 17 289 -1 unnamed_device 27.0 MiB 1.93 724 9516 3927 5101 488 65.4 MiB 0.08 0.00 3.43255 -106.707 -3.43255 3.43255 0.97 0.000554731 0.000506637 0.0352963 0.0322683 48 2568 37 6.95648e+06 173708 865456. 2994.66 20.83 0.310698 0.27083 28354 207349 -1 2154 23 1633 2717 264190 64417 5.05302 5.05302 -151.99 -5.05302 0 0 1.05005e+06 3633.38 0.41 0.09 0.20 -1 -1 0.41 0.0283809 0.0252123 73 69 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 8.35 vpr 65.55 MiB -1 -1 0.17 20508 1 0.03 -1 -1 33848 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 31 32 409 331 1 183 80 17 17 289 -1 unnamed_device 27.0 MiB 1.10 871 11604 4828 6355 421 65.5 MiB 0.09 0.00 3.32468 -114.951 -3.32468 3.32468 0.91 0.000539709 0.000492064 0.0395376 0.0360537 44 2417 23 6.95648e+06 246087 787024. 2723.27 3.96 0.230687 0.201446 27778 195446 -1 1899 24 1594 2103 173326 37103 4.01031 4.01031 -140.943 -4.01031 0 0 997811. 3452.63 0.38 0.07 0.17 -1 -1 0.38 0.0279818 0.0248583 80 86 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 8.78 vpr 65.11 MiB -1 -1 0.16 20676 1 0.03 -1 -1 33916 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 354 285 1 206 79 17 17 289 -1 unnamed_device 26.7 MiB 1.85 927 13768 5859 7582 327 65.1 MiB 0.11 0.00 4.0552 -122.848 -4.0552 4.0552 0.98 0.000518695 0.000471131 0.0453262 0.0413155 38 3445 50 6.99608e+06 220735 678818. 2348.85 3.57 0.17572 0.154397 26626 170182 -1 2292 21 1855 2627 216395 45678 4.60485 4.60485 -156.518 -4.60485 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0253485 0.022532 88 47 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 8.40 vpr 65.09 MiB -1 -1 0.16 20564 1 0.03 -1 -1 33936 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 30 32 363 293 1 224 79 17 17 289 -1 unnamed_device 26.7 MiB 1.51 1020 13261 4330 6888 2043 65.1 MiB 0.11 0.00 3.9687 -120.128 -3.9687 3.9687 0.97 0.00051526 0.000470788 0.0430438 0.0393906 46 2917 38 6.99608e+06 250167 828058. 2865.25 3.41 0.183681 0.161845 28066 200906 -1 2049 22 1958 2953 209500 50394 4.7413 4.7413 -159.34 -4.7413 0 0 1.01997e+06 3529.29 0.39 0.08 0.19 -1 -1 0.39 0.0269321 0.0239901 99 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 6.59 vpr 64.95 MiB -1 -1 0.15 20212 1 0.03 -1 -1 33584 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 32 32 299 247 1 183 78 17 17 289 -1 unnamed_device 26.5 MiB 0.86 801 12528 4387 6432 1709 64.9 MiB 0.10 0.00 2.87639 -92.2213 -2.87639 2.87639 0.96 0.000441238 0.000403026 0.0362311 0.0331088 38 2589 46 6.99608e+06 206020 678818. 2348.85 2.43 0.145111 0.128329 26626 170182 -1 1910 21 1433 1921 151314 32924 3.75371 3.75371 -120.9 -3.75371 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0223511 0.0198884 76 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 9.65 vpr 65.06 MiB -1 -1 0.16 20264 1 0.03 -1 -1 33728 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 29 32 308 248 1 179 77 17 17 289 -1 unnamed_device 26.5 MiB 1.48 778 12139 5133 6279 727 65.1 MiB 0.09 0.00 3.42478 -96.0081 -3.42478 3.42478 0.96 0.000459511 0.000417584 0.0361517 0.032953 48 1842 24 6.99608e+06 235451 865456. 2994.66 4.80 0.183773 0.159463 28354 207349 -1 1586 20 1249 1961 125600 33624 3.79276 3.79276 -118.174 -3.79276 0 0 1.05005e+06 3633.38 0.39 0.06 0.20 -1 -1 0.39 0.0208902 0.0185405 78 25 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 9.19 vpr 64.94 MiB -1 -1 0.15 20208 1 0.03 -1 -1 33680 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 336 268 1 194 78 17 17 289 -1 unnamed_device 26.6 MiB 2.89 836 13192 5559 7251 382 64.9 MiB 0.10 0.00 3.89209 -118.75 -3.89209 3.89209 0.97 0.000464834 0.000407376 0.0415626 0.0378914 44 3090 43 6.99608e+06 206020 787024. 2723.27 2.88 0.163209 0.144456 27778 195446 -1 2007 21 1434 2392 185106 39868 4.18515 4.18515 -138.516 -4.18515 0 0 997811. 3452.63 0.36 0.07 0.18 -1 -1 0.36 0.0238176 0.0212645 81 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 12.76 vpr 65.42 MiB -1 -1 0.17 20572 1 0.03 -1 -1 33644 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66988 32 32 366 295 1 221 81 17 17 289 -1 unnamed_device 26.9 MiB 3.23 908 13206 3715 7987 1504 65.4 MiB 0.11 0.00 2.79566 -97.2249 -2.79566 2.79566 0.99 0.000485229 0.00044138 0.0416089 0.0377994 50 2472 35 6.99608e+06 250167 902133. 3121.57 6.04 0.279657 0.243745 28642 213929 -1 1935 20 1796 2774 219808 52792 3.11121 3.11121 -119.386 -3.11121 0 0 1.08113e+06 3740.92 0.40 0.08 0.20 -1 -1 0.40 0.0250863 0.0222623 97 55 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 8.73 vpr 64.59 MiB -1 -1 0.15 20320 1 0.03 -1 -1 34256 -1 -1 15 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 27 32 259 221 1 154 74 17 17 289 -1 unnamed_device 26.2 MiB 1.74 588 9529 4152 4821 556 64.6 MiB 0.06 0.00 3.25142 -90.777 -3.25142 3.25142 0.94 0.000388824 0.000356427 0.0258349 0.0235769 38 2099 42 6.99608e+06 220735 678818. 2348.85 3.78 0.131326 0.114365 26626 170182 -1 1499 21 1190 1763 137447 30978 3.86496 3.86496 -120.685 -3.86496 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0188932 0.0166943 66 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 8.67 vpr 64.79 MiB -1 -1 0.16 20184 1 0.03 -1 -1 33692 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 26.3 MiB 0.38 644 11788 4331 5937 1520 64.8 MiB 0.07 0.00 2.36085 -72.6768 -2.36085 2.36085 0.94 0.000415307 0.00037554 0.0274217 0.0249478 46 1848 37 6.99608e+06 367892 828058. 2865.25 4.92 0.175423 0.15216 28066 200906 -1 1423 22 1187 1952 133496 33016 2.74432 2.74432 -90.805 -2.74432 0 0 1.01997e+06 3529.29 0.42 0.06 0.19 -1 -1 0.42 0.0216109 0.0191651 69 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 9.45 vpr 65.08 MiB -1 -1 0.16 20248 1 0.03 -1 -1 33792 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 31 32 317 271 1 204 77 17 17 289 -1 unnamed_device 26.7 MiB 1.02 859 10672 3444 5262 1966 65.1 MiB 0.08 0.00 2.73924 -97.2893 -2.73924 2.73924 0.95 0.000477972 0.00043075 0.0332903 0.0304084 44 2672 35 6.99608e+06 206020 787024. 2723.27 5.07 0.192673 0.167095 27778 195446 -1 1769 21 1476 1975 140203 32617 3.21651 3.21651 -119.635 -3.21651 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0227556 0.0202168 87 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 9.02 vpr 64.71 MiB -1 -1 0.15 20360 1 0.03 -1 -1 33708 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 298 248 1 181 77 17 17 289 -1 unnamed_device 26.2 MiB 0.99 873 12791 5436 7046 309 64.7 MiB 0.09 0.00 3.18112 -113.032 -3.18112 3.18112 0.95 0.000447949 0.00040805 0.0375582 0.0342656 44 2173 24 6.99608e+06 191304 787024. 2723.27 4.68 0.188644 0.164862 27778 195446 -1 1823 18 1292 1648 147943 36048 3.49406 3.49406 -135.036 -3.49406 0 0 997811. 3452.63 0.39 0.06 0.18 -1 -1 0.39 0.0200279 0.0179253 75 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 6.88 vpr 64.96 MiB -1 -1 0.16 20624 1 0.03 -1 -1 33708 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 30 32 303 262 1 188 76 17 17 289 -1 unnamed_device 26.4 MiB 0.86 821 11436 4748 6325 363 65.0 MiB 0.09 0.00 3.18013 -104.101 -3.18013 3.18013 0.95 0.000448626 0.000409179 0.0335646 0.0306358 38 2599 38 6.99608e+06 206020 678818. 2348.85 2.75 0.151499 0.133033 26626 170182 -1 1945 17 1419 1923 142730 31005 4.0848 4.0848 -130.385 -4.0848 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.019075 0.0170488 83 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 7.42 vpr 64.91 MiB -1 -1 0.14 20352 1 0.03 -1 -1 33596 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 276 237 1 165 75 17 17 289 -1 unnamed_device 26.5 MiB 0.75 831 9397 2155 6994 248 64.9 MiB 0.07 0.00 2.61058 -94.9371 -2.61058 2.61058 0.97 0.000417597 0.000380836 0.0272269 0.0248649 38 2234 25 6.99608e+06 161872 678818. 2348.85 3.37 0.139568 0.122996 26626 170182 -1 1897 19 1170 1521 138701 28458 3.30957 3.30957 -116.635 -3.30957 0 0 902133. 3121.57 0.36 0.05 0.16 -1 -1 0.36 0.0187861 0.0166786 66 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 9.68 vpr 65.12 MiB -1 -1 0.17 20368 1 0.03 -1 -1 33652 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 344 272 1 201 79 17 17 289 -1 unnamed_device 26.8 MiB 0.92 979 14613 6276 7820 517 65.1 MiB 0.11 0.00 3.18112 -109.79 -3.18112 3.18112 0.97 0.000506638 0.000464219 0.0468365 0.0428089 48 2891 28 6.99608e+06 220735 865456. 2994.66 5.33 0.224085 0.196451 28354 207349 -1 2275 20 1637 2363 233851 49182 3.47716 3.47716 -130.359 -3.47716 0 0 1.05005e+06 3633.38 0.39 0.08 0.20 -1 -1 0.39 0.0233422 0.0207537 87 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 8.54 vpr 65.30 MiB -1 -1 0.16 20664 1 0.03 -1 -1 33604 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 363 295 1 228 81 17 17 289 -1 unnamed_device 26.8 MiB 1.62 987 12506 4294 6105 2107 65.3 MiB 0.10 0.00 3.86116 -115.099 -3.86116 3.86116 0.95 0.000518851 0.000470315 0.0399736 0.0365206 46 2726 27 6.99608e+06 250167 828058. 2865.25 3.47 0.174737 0.154034 28066 200906 -1 1867 23 2072 2905 178127 43812 4.66511 4.66511 -153.623 -4.66511 0 0 1.01997e+06 3529.29 0.41 0.08 0.18 -1 -1 0.41 0.0289684 0.0258054 97 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 9.24 vpr 64.80 MiB -1 -1 0.14 20340 1 0.03 -1 -1 33508 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 29 32 248 215 1 155 74 17 17 289 -1 unnamed_device 26.4 MiB 3.24 617 8909 3623 4879 407 64.8 MiB 0.06 0.00 2.5552 -73.4298 -2.5552 2.5552 0.95 0.000369362 0.000335767 0.0234581 0.0213973 38 2038 34 6.99608e+06 191304 678818. 2348.85 2.76 0.123279 0.107632 26626 170182 -1 1472 19 1133 1584 118572 27366 3.09197 3.09197 -95.9133 -3.09197 0 0 902133. 3121.57 0.35 0.05 0.16 -1 -1 0.35 0.0173904 0.0154344 64 21 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 7.67 vpr 65.34 MiB -1 -1 0.16 20476 1 0.03 -1 -1 33908 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66908 32 32 370 297 1 222 80 17 17 289 -1 unnamed_device 26.8 MiB 1.46 1124 13840 4038 8421 1381 65.3 MiB 0.11 0.00 3.11689 -107.741 -3.11689 3.11689 0.93 0.000539153 0.000489687 0.0458405 0.0416973 44 3093 34 6.99608e+06 235451 787024. 2723.27 2.83 0.160104 0.141365 27778 195446 -1 2265 22 2061 3141 240006 49722 3.60341 3.60341 -133.058 -3.60341 0 0 997811. 3452.63 0.39 0.08 0.18 -1 -1 0.39 0.0271487 0.0242024 96 55 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 14.45 vpr 65.12 MiB -1 -1 0.17 20544 1 0.03 -1 -1 33872 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 32 32 338 269 1 198 79 17 17 289 -1 unnamed_device 26.8 MiB 0.86 870 10050 2659 6005 1386 65.1 MiB 0.09 0.00 3.53345 -112.815 -3.53345 3.53345 0.95 0.000493357 0.000448684 0.031822 0.0291062 38 2570 25 6.99608e+06 220735 678818. 2348.85 10.25 0.232816 0.201584 26626 170182 -1 1973 18 1474 1962 139642 30384 3.47486 3.47486 -127.867 -3.47486 0 0 902133. 3121.57 0.36 0.06 0.16 -1 -1 0.36 0.0220076 0.0196575 84 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 7.35 vpr 65.22 MiB -1 -1 0.16 20500 1 0.03 -1 -1 33624 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 32 32 323 276 1 210 79 17 17 289 -1 unnamed_device 26.8 MiB 0.88 819 12923 3779 6948 2196 65.2 MiB 0.10 0.00 2.59239 -95.5898 -2.59239 2.59239 0.94 0.000461019 0.000420311 0.0380544 0.0347663 44 2651 37 6.99608e+06 220735 787024. 2723.27 3.11 0.163225 0.143677 27778 195446 -1 1866 21 1656 2150 153754 35641 3.32082 3.32082 -121.315 -3.32082 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.022448 0.019831 89 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 7.40 vpr 64.43 MiB -1 -1 0.13 19912 1 0.03 -1 -1 33596 -1 -1 10 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 30 32 222 206 1 131 72 17 17 289 -1 unnamed_device 26.0 MiB 1.94 483 9012 3027 4543 1442 64.4 MiB 0.06 0.00 1.95956 -69.6963 -1.95956 1.95956 0.97 0.000349502 0.000317372 0.0231619 0.0211085 36 1484 33 6.99608e+06 147157 648988. 2245.63 2.28 0.119605 0.10483 26050 158493 -1 1016 17 653 732 56865 15029 2.26878 2.26878 -83.5035 -2.26878 0 0 828058. 2865.25 0.33 0.04 0.14 -1 -1 0.33 0.0147314 0.0131513 52 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 8.46 vpr 65.02 MiB -1 -1 0.16 20504 1 0.03 -1 -1 33928 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 31 32 291 243 1 171 76 17 17 289 -1 unnamed_device 26.5 MiB 2.37 909 8236 2437 5131 668 65.0 MiB 0.06 0.00 3.02472 -102.518 -3.02472 3.02472 0.96 0.000441501 0.000402268 0.0247195 0.0225937 38 2352 24 6.99608e+06 191304 678818. 2348.85 2.81 0.130465 0.114375 26626 170182 -1 1988 21 1581 2208 204073 40756 3.69436 3.69436 -137.176 -3.69436 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0223373 0.0197844 72 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 21.37 vpr 64.82 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33852 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 342 271 1 201 84 17 17 289 -1 unnamed_device 26.4 MiB 1.48 756 16005 6181 7383 2441 64.8 MiB 0.11 0.00 3.25624 -105.856 -3.25624 3.25624 0.97 0.000505478 0.000459698 0.0463869 0.0422824 46 2645 35 6.99608e+06 294314 828058. 2865.25 16.45 0.332062 0.292175 28066 200906 -1 1818 28 2288 3197 201729 49210 3.8402 3.8402 -135.932 -3.8402 0 0 1.01997e+06 3529.29 0.41 0.08 0.18 -1 -1 0.41 0.0307703 0.0272138 88 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 31.90 vpr 65.44 MiB -1 -1 0.17 20628 1 0.03 -1 -1 33872 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 32 32 372 300 1 225 80 17 17 289 -1 unnamed_device 26.9 MiB 2.48 1242 15044 5400 7537 2107 65.4 MiB 0.13 0.00 3.72134 -119.716 -3.72134 3.72134 0.97 0.000530889 0.000482676 0.0496276 0.0453098 40 3345 49 6.99608e+06 235451 706193. 2443.58 25.95 0.325516 0.284381 26914 176310 -1 3062 26 2331 3517 438300 96872 4.413 4.413 -150.033 -4.413 0 0 926341. 3205.33 0.36 0.13 0.16 -1 -1 0.36 0.0317874 0.0282569 100 59 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 9.79 vpr 64.14 MiB -1 -1 0.15 20200 1 0.03 -1 -1 34036 -1 -1 13 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65676 26 32 190 182 1 123 71 17 17 289 -1 unnamed_device 25.7 MiB 2.35 404 9269 3844 4746 679 64.1 MiB 0.05 0.00 2.2286 -62.3611 -2.2286 2.2286 0.95 0.000299954 0.000273858 0.0208854 0.019114 36 1230 36 6.99608e+06 191304 648988. 2245.63 4.28 0.152361 0.132288 26050 158493 -1 800 31 650 725 95497 49920 2.34227 2.34227 -74.805 -2.34227 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.019728 0.0172405 53 21 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 6.96 vpr 64.91 MiB -1 -1 0.15 20164 1 0.03 -1 -1 33924 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 285 227 1 162 79 17 17 289 -1 unnamed_device 26.4 MiB 1.09 719 9205 4002 4865 338 64.9 MiB 0.06 0.00 3.7303 -92.7553 -3.7303 3.7303 0.96 0.000444817 0.000405889 0.0263714 0.0240918 44 2469 29 6.99608e+06 220735 787024. 2723.27 2.52 0.132686 0.11565 27778 195446 -1 1706 23 1337 2217 176483 38411 3.57936 3.57936 -119.755 -3.57936 0 0 997811. 3452.63 0.38 0.07 0.18 -1 -1 0.38 0.0233562 0.0206459 66 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 4.94 vpr 64.23 MiB -1 -1 0.13 20128 1 0.03 -1 -1 33772 -1 -1 8 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 25.6 MiB 0.22 367 8863 3405 4307 1151 64.2 MiB 0.05 0.00 1.68521 -55.2113 -1.68521 1.68521 0.96 0.000297975 0.00027041 0.0191932 0.0174784 38 1117 22 6.99608e+06 117725 678818. 2348.85 1.60 0.0836524 0.0727432 26626 170182 -1 871 20 598 681 46446 12084 1.84172 1.84172 -70.5592 -1.84172 0 0 902133. 3121.57 0.34 0.03 0.16 -1 -1 0.34 0.0138411 0.0122198 42 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 14.96 vpr 64.83 MiB -1 -1 0.16 20188 1 0.03 -1 -1 33956 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 300 245 1 178 78 17 17 289 -1 unnamed_device 26.3 MiB 1.30 894 14188 6142 7635 411 64.8 MiB 0.10 0.00 3.68643 -101.798 -3.68643 3.68643 0.96 0.000453209 0.00041209 0.0404415 0.0368651 36 2951 45 6.99608e+06 206020 648988. 2245.63 10.37 0.256392 0.223344 26050 158493 -1 2214 22 1420 2009 217898 44237 4.22771 4.22771 -135.9 -4.22771 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0234999 0.0209087 73 21 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 14.95 vpr 65.01 MiB -1 -1 0.15 20168 1 0.03 -1 -1 33864 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 26.5 MiB 0.56 699 13291 4925 6211 2155 65.0 MiB 0.09 0.00 2.46605 -78.7522 -2.46605 2.46605 0.94 0.000431651 0.000389856 0.0347271 0.0315807 48 1958 47 6.99608e+06 309029 865456. 2994.66 10.97 0.299945 0.261233 28354 207349 -1 1623 27 1451 2406 241046 75148 2.82232 2.82232 -103.342 -2.82232 0 0 1.05005e+06 3633.38 0.42 0.09 0.19 -1 -1 0.42 0.0267619 0.0236285 74 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 10.97 vpr 65.03 MiB -1 -1 0.16 20520 1 0.03 -1 -1 33944 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 32 32 338 277 1 205 79 17 17 289 -1 unnamed_device 26.7 MiB 1.72 837 13599 5022 6053 2524 65.0 MiB 0.10 0.00 3.44978 -102.261 -3.44978 3.44978 0.93 0.000479344 0.000437081 0.0436673 0.0400075 52 2813 33 6.99608e+06 220735 926341. 3205.33 5.84 0.237839 0.206775 29218 227130 -1 2046 21 1745 2654 200488 48878 3.75996 3.75996 -128.259 -3.75996 0 0 1.14541e+06 3963.36 0.43 0.07 0.21 -1 -1 0.43 0.0241126 0.0214701 87 47 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 10.10 vpr 64.86 MiB -1 -1 0.15 20524 1 0.03 -1 -1 33704 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 284 241 1 168 76 17 17 289 -1 unnamed_device 26.4 MiB 2.41 700 10956 4650 6053 253 64.9 MiB 0.08 0.00 2.6695 -91.049 -2.6695 2.6695 0.97 0.000426731 0.000388278 0.0314707 0.0287253 40 2160 24 6.99608e+06 176588 706193. 2443.58 4.37 0.1812 0.157798 26914 176310 -1 1844 23 1382 1900 184462 40158 2.95862 2.95862 -116.424 -2.95862 0 0 926341. 3205.33 0.36 0.07 0.16 -1 -1 0.36 0.0222971 0.0197398 69 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 8.99 vpr 64.87 MiB -1 -1 0.16 20388 1 0.03 -1 -1 33748 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66428 30 32 262 227 1 160 76 17 17 289 -1 unnamed_device 26.4 MiB 1.57 699 10156 4235 5569 352 64.9 MiB 0.07 0.00 2.92097 -88.9275 -2.92097 2.92097 0.93 0.000389894 0.000355918 0.0269266 0.02461 46 1877 24 6.99608e+06 206020 828058. 2865.25 4.16 0.16329 0.141794 28066 200906 -1 1418 19 1123 1716 119412 26900 3.09461 3.09461 -103.258 -3.09461 0 0 1.01997e+06 3529.29 0.41 0.05 0.18 -1 -1 0.41 0.0188889 0.016847 66 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 8.08 vpr 64.86 MiB -1 -1 0.14 20464 1 0.03 -1 -1 33812 -1 -1 18 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 28 32 260 223 1 152 78 17 17 289 -1 unnamed_device 26.4 MiB 0.83 727 9872 3925 4215 1732 64.9 MiB 0.07 0.00 2.6537 -83.2658 -2.6537 2.6537 0.91 0.000394878 0.000360622 0.0256479 0.0234505 36 2330 27 6.99608e+06 264882 648988. 2245.63 4.18 0.159189 0.138137 26050 158493 -1 1817 22 1262 1902 171554 35303 3.44331 3.44331 -114.858 -3.44331 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.019675 0.0173474 69 27 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 5.53 vpr 64.43 MiB -1 -1 0.14 20260 1 0.03 -1 -1 33760 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 25.9 MiB 0.43 581 11699 4938 6474 287 64.4 MiB 0.08 0.00 2.81485 -90.4049 -2.81485 2.81485 0.93 0.000389132 0.000353757 0.031804 0.0290134 42 1985 44 6.99608e+06 147157 744469. 2576.02 1.90 0.135348 0.118332 27202 183097 -1 1445 17 1010 1423 109311 25639 3.22627 3.22627 -107.4 -3.22627 0 0 949917. 3286.91 0.36 0.05 0.17 -1 -1 0.36 0.0166359 0.0148239 58 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 7.05 vpr 64.76 MiB -1 -1 0.16 20256 1 0.03 -1 -1 33492 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 31 32 271 231 1 165 76 17 17 289 -1 unnamed_device 26.3 MiB 0.99 801 9196 3028 4477 1691 64.8 MiB 0.07 0.00 2.75428 -90.3488 -2.75428 2.75428 0.95 0.00042099 0.000384353 0.0258612 0.0236315 36 2621 47 6.99608e+06 191304 648988. 2245.63 2.85 0.129044 0.112897 26050 158493 -1 1933 18 1207 1637 133340 29577 2.82222 2.82222 -109.255 -2.82222 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0186536 0.0166492 69 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 12.98 vpr 65.08 MiB -1 -1 0.16 20280 1 0.03 -1 -1 33700 -1 -1 15 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 29 32 291 250 1 180 76 17 17 289 -1 unnamed_device 26.5 MiB 2.89 970 7756 2053 5065 638 65.1 MiB 0.06 0.00 2.45385 -89.9013 -2.45385 2.45385 0.95 0.000438991 0.000400798 0.0230192 0.0210734 34 2563 49 6.99608e+06 220735 618332. 2139.56 6.81 0.20478 0.177659 25762 151098 -1 2124 33 1710 2235 319766 113758 2.96682 2.96682 -115.032 -2.96682 0 0 787024. 2723.27 0.33 0.12 0.14 -1 -1 0.33 0.0308618 0.027183 77 48 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 9.54 vpr 65.18 MiB -1 -1 0.16 20448 1 0.03 -1 -1 33760 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 32 32 367 282 1 217 80 17 17 289 -1 unnamed_device 26.7 MiB 1.32 945 14356 5639 6727 1990 65.2 MiB 0.12 0.00 3.66263 -104.012 -3.66263 3.66263 0.94 0.000493183 0.000447232 0.0466311 0.0423114 40 3376 46 6.99608e+06 235451 706193. 2443.58 4.86 0.200715 0.176701 26914 176310 -1 2635 23 1798 2946 257111 57941 4.08962 4.08962 -137.95 -4.08962 0 0 926341. 3205.33 0.35 0.09 0.16 -1 -1 0.35 0.0294096 0.0261869 92 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 25.27 vpr 65.31 MiB -1 -1 0.16 20468 1 0.03 -1 -1 33572 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 32 32 391 311 1 244 83 17 17 289 -1 unnamed_device 26.7 MiB 1.74 1018 14483 5447 6922 2114 65.3 MiB 0.12 0.00 3.37416 -117.445 -3.37416 3.37416 0.93 0.000530865 0.000483589 0.0461493 0.0420548 40 3413 43 6.99608e+06 279598 706193. 2443.58 20.12 0.329589 0.286557 26914 176310 -1 2714 24 2575 3667 320082 71275 4.6983 4.6983 -156.784 -4.6983 0 0 926341. 3205.33 0.36 0.10 0.17 -1 -1 0.36 0.0298239 0.0264909 106 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 9.16 vpr 64.88 MiB -1 -1 0.14 20432 1 0.03 -1 -1 33972 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 31 32 279 237 1 157 74 17 17 289 -1 unnamed_device 26.4 MiB 1.34 814 12009 5035 6571 403 64.9 MiB 0.08 0.00 2.87547 -96.8837 -2.87547 2.87547 0.92 0.000457941 0.000415339 0.0330488 0.0302092 36 2342 44 6.99608e+06 161872 648988. 2245.63 4.69 0.147177 0.128528 26050 158493 -1 1923 21 1393 2010 200469 38344 3.43981 3.43981 -123.897 -3.43981 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0201989 0.0178581 66 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 8.29 vpr 65.46 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33964 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67032 31 32 370 297 1 226 80 17 17 289 -1 unnamed_device 27.0 MiB 1.71 947 15044 5879 6654 2511 65.5 MiB 0.12 0.00 2.98339 -99.7781 -2.98339 2.98339 0.98 0.000495411 0.000449823 0.0484328 0.0441154 46 2696 48 6.99608e+06 250167 828058. 2865.25 3.12 0.209745 0.184918 28066 200906 -1 2085 16 1631 2214 156528 35636 3.63746 3.63746 -129.221 -3.63746 0 0 1.01997e+06 3529.29 0.40 0.06 0.18 -1 -1 0.40 0.0208543 0.0186279 99 57 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 27.81 vpr 65.71 MiB -1 -1 0.16 20688 1 0.03 -1 -1 33928 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67288 31 32 377 302 1 235 80 17 17 289 -1 unnamed_device 26.9 MiB 1.68 1040 13152 5086 6352 1714 65.7 MiB 0.11 0.00 4.27366 -133.02 -4.27366 4.27366 0.99 0.000533911 0.000484917 0.0432281 0.0393647 44 3757 47 6.99608e+06 250167 787024. 2723.27 22.59 0.332212 0.289872 27778 195446 -1 2527 21 2244 3246 295869 60813 4.94329 4.94329 -161.864 -4.94329 0 0 997811. 3452.63 0.41 0.10 0.18 -1 -1 0.41 0.0287902 0.025718 104 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 11.69 vpr 65.32 MiB -1 -1 0.14 20572 1 0.03 -1 -1 33980 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 31 32 383 305 1 233 81 17 17 289 -1 unnamed_device 26.7 MiB 3.26 978 14431 5170 6849 2412 65.3 MiB 0.11 0.00 4.14878 -130.965 -4.14878 4.14878 0.91 0.000542279 0.000480112 0.046928 0.0425795 42 3645 44 6.99608e+06 264882 744469. 2576.02 5.08 0.268922 0.233222 27202 183097 -1 2599 22 2266 3173 293833 62394 5.304 5.304 -174.788 -5.304 0 0 949917. 3286.91 0.36 0.09 0.17 -1 -1 0.36 0.0270958 0.0239971 103 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 8.61 vpr 65.16 MiB -1 -1 0.17 20684 1 0.03 -1 -1 33948 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 31 32 352 285 1 215 79 17 17 289 -1 unnamed_device 26.8 MiB 2.35 1037 13599 5550 6544 1505 65.2 MiB 0.11 0.00 3.12612 -104.757 -3.12612 3.12612 0.95 0.00051049 0.000466654 0.0434637 0.0397461 38 3251 25 6.99608e+06 235451 678818. 2348.85 2.91 0.15926 0.140637 26626 170182 -1 2478 22 1909 2559 225388 46206 3.30256 3.30256 -123.534 -3.30256 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.026083 0.0231895 93 51 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 8.12 vpr 64.77 MiB -1 -1 0.16 20448 1 0.03 -1 -1 33796 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 291 242 1 178 78 17 17 289 -1 unnamed_device 26.3 MiB 1.09 843 12196 5125 6603 468 64.8 MiB 0.08 0.00 3.22248 -91.914 -3.22248 3.22248 0.99 0.000457045 0.000416461 0.034821 0.0317353 40 2447 43 6.99608e+06 206020 706193. 2443.58 3.55 0.156825 0.137407 26914 176310 -1 2025 33 1838 2507 387406 166212 3.90012 3.90012 -123.018 -3.90012 0 0 926341. 3205.33 0.36 0.14 0.17 -1 -1 0.36 0.0308465 0.0272282 72 24 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 10.27 vpr 65.22 MiB -1 -1 0.17 20896 1 0.03 -1 -1 34136 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 32 32 457 356 1 282 85 17 17 289 -1 unnamed_device 26.9 MiB 1.48 1486 13849 4526 7238 2085 65.2 MiB 0.12 0.00 4.125 -141.675 -4.125 4.125 0.92 0.000597474 0.000541622 0.0482901 0.0439907 40 4167 26 6.99608e+06 309029 706193. 2443.58 5.17 0.201596 0.177863 26914 176310 -1 3517 41 4264 6041 909119 317492 4.80264 4.80264 -173.887 -4.80264 0 0 926341. 3205.33 0.35 0.30 0.16 -1 -1 0.35 0.0543731 0.048116 129 84 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 13.73 vpr 64.64 MiB -1 -1 0.15 20284 1 0.03 -1 -1 33772 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 31 32 261 225 1 158 74 17 17 289 -1 unnamed_device 26.2 MiB 3.64 620 9064 3735 4947 382 64.6 MiB 0.06 0.00 2.4909 -81.579 -2.4909 2.4909 0.98 0.000408854 0.000370854 0.0260177 0.0237092 38 2164 40 6.99608e+06 161872 678818. 2348.85 6.77 0.21242 0.183365 26626 170182 -1 1449 20 1181 1580 95606 24117 3.01197 3.01197 -103.613 -3.01197 0 0 902133. 3121.57 0.36 0.05 0.16 -1 -1 0.36 0.0189809 0.01686 65 24 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 25.88 vpr 64.88 MiB -1 -1 0.15 20716 1 0.03 -1 -1 33784 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 31 32 337 267 1 200 78 17 17 289 -1 unnamed_device 26.5 MiB 0.69 970 13856 5895 7462 499 64.9 MiB 0.10 0.00 3.70767 -116.074 -3.70767 3.70767 0.94 0.000440702 0.000396639 0.0407504 0.0368862 46 3188 45 6.99608e+06 220735 828058. 2865.25 21.86 0.327701 0.284953 28066 200906 -1 2300 21 1603 2301 213195 46096 4.35961 4.35961 -147.195 -4.35961 0 0 1.01997e+06 3529.29 0.39 0.08 0.17 -1 -1 0.39 0.0241546 0.0214428 85 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 10.44 vpr 65.12 MiB -1 -1 0.15 20744 1 0.03 -1 -1 33964 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 349 284 1 213 79 17 17 289 -1 unnamed_device 26.7 MiB 1.39 1125 11233 4225 5159 1849 65.1 MiB 0.09 0.00 3.12594 -108.005 -3.12594 3.12594 0.93 0.00048817 0.000446178 0.0357616 0.0326435 38 3263 37 6.99608e+06 220735 678818. 2348.85 5.82 0.176455 0.155852 26626 170182 -1 2556 19 1524 2371 199047 40412 3.40412 3.40412 -132.433 -3.40412 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0238721 0.0213322 91 50 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 7.43 vpr 64.90 MiB -1 -1 0.15 20256 1 0.03 -1 -1 33992 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 26.4 MiB 0.93 669 11088 2858 6458 1772 64.9 MiB 0.08 0.00 3.61243 -98.5885 -3.61243 3.61243 0.93 0.000456405 0.000414447 0.0313771 0.0285903 48 2200 47 6.99608e+06 235451 865456. 2994.66 3.14 0.153574 0.134229 28354 207349 -1 1649 32 1430 2623 300973 102664 3.73582 3.73582 -121.018 -3.73582 0 0 1.05005e+06 3633.38 0.39 0.11 0.19 -1 -1 0.39 0.0308292 0.027091 68 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 17.74 vpr 64.88 MiB -1 -1 0.16 20504 1 0.03 -1 -1 33620 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 353 287 1 204 79 17 17 289 -1 unnamed_device 26.4 MiB 1.46 953 9712 4034 5432 246 64.9 MiB 0.08 0.00 3.52245 -109.707 -3.52245 3.52245 0.94 0.000492066 0.000447361 0.0311599 0.0284314 38 3068 38 6.99608e+06 220735 678818. 2348.85 13.00 0.255897 0.22256 26626 170182 -1 2325 20 1548 2085 194746 40496 3.46386 3.46386 -130.045 -3.46386 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0239624 0.0212572 90 52 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 10.32 vpr 65.21 MiB -1 -1 0.16 20596 1 0.03 -1 -1 33928 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 32 32 361 291 1 216 79 17 17 289 -1 unnamed_device 26.7 MiB 1.77 1076 13768 5464 6508 1796 65.2 MiB 0.11 0.00 2.90529 -102.96 -2.90529 2.90529 0.97 0.000527446 0.000481651 0.0441414 0.0402928 44 2878 22 6.99608e+06 220735 787024. 2723.27 5.10 0.229335 0.200813 27778 195446 -1 2381 20 1640 2410 179650 37680 3.56736 3.56736 -132.198 -3.56736 0 0 997811. 3452.63 0.40 0.07 0.18 -1 -1 0.40 0.024265 0.021611 92 52 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 8.55 vpr 65.05 MiB -1 -1 0.16 20424 1 0.03 -1 -1 33652 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 382 305 1 237 80 17 17 289 -1 unnamed_device 26.5 MiB 2.68 1123 14872 5822 7422 1628 65.1 MiB 0.12 0.00 3.04477 -106.857 -3.04477 3.04477 0.96 0.000518244 0.000471586 0.0486599 0.0443086 44 3229 25 6.99608e+06 235451 787024. 2723.27 2.45 0.151293 0.133098 27778 195446 -1 2454 20 1808 2390 184765 39304 3.58611 3.58611 -137.042 -3.58611 0 0 997811. 3452.63 0.38 0.07 0.19 -1 -1 0.38 0.0258933 0.0229724 101 59 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 7.33 vpr 64.99 MiB -1 -1 0.15 20308 1 0.03 -1 -1 33688 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 32 32 306 248 1 178 78 17 17 289 -1 unnamed_device 26.4 MiB 1.08 764 10536 3249 4839 2448 65.0 MiB 0.07 0.00 3.71143 -99.7777 -3.71143 3.71143 0.96 0.00046189 0.000421594 0.0315693 0.0288753 44 2544 39 6.99608e+06 206020 787024. 2723.27 2.91 0.134537 0.1182 27778 195446 -1 1628 20 1122 1685 114467 29833 3.84381 3.84381 -123.601 -3.84381 0 0 997811. 3452.63 0.38 0.05 0.18 -1 -1 0.38 0.0209991 0.0186552 74 21 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 11.03 vpr 65.07 MiB -1 -1 0.15 20288 1 0.03 -1 -1 33412 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 32 32 319 257 1 192 77 17 17 289 -1 unnamed_device 26.4 MiB 2.18 706 10835 4447 5904 484 65.1 MiB 0.08 0.00 3.44198 -102.523 -3.44198 3.44198 0.97 0.000485536 0.000441596 0.0344405 0.0314495 50 2255 26 6.99608e+06 191304 902133. 3121.57 5.42 0.242722 0.212423 28642 213929 -1 1740 22 1559 2152 162771 38417 3.80082 3.80082 -126.221 -3.80082 0 0 1.08113e+06 3740.92 0.43 0.07 0.20 -1 -1 0.43 0.0241324 0.0214781 81 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 7.51 vpr 65.32 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33904 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66888 31 32 373 299 1 224 79 17 17 289 -1 unnamed_device 26.8 MiB 1.00 1122 12078 5051 6678 349 65.3 MiB 0.10 0.00 3.56031 -113.625 -3.56031 3.56031 0.97 0.000526479 0.000478543 0.0403886 0.0368357 44 3431 34 6.99608e+06 235451 787024. 2723.27 3.03 0.17884 0.157126 27778 195446 -1 2579 23 2015 3129 309178 78757 4.22195 4.22195 -144.39 -4.22195 0 0 997811. 3452.63 0.39 0.11 0.18 -1 -1 0.39 0.029973 0.0268192 99 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 6.89 vpr 65.30 MiB -1 -1 0.14 20576 1 0.03 -1 -1 33924 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66868 32 32 387 315 1 241 80 17 17 289 -1 unnamed_device 26.7 MiB 1.07 1112 14528 5975 6903 1650 65.3 MiB 0.12 0.00 3.36392 -112.351 -3.36392 3.36392 0.92 0.000492515 0.000443329 0.0455124 0.0412582 46 3535 29 6.99608e+06 235451 828058. 2865.25 2.47 0.161919 0.142741 28066 200906 -1 2697 22 2153 3200 258421 54227 3.78082 3.78082 -135.468 -3.78082 0 0 1.01997e+06 3529.29 0.39 0.09 0.17 -1 -1 0.39 0.0274968 0.0242944 104 74 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 5.75 vpr 64.74 MiB -1 -1 0.13 20288 1 0.03 -1 -1 33764 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 251 219 1 152 74 17 17 289 -1 unnamed_device 26.4 MiB 0.62 652 9684 3987 5324 373 64.7 MiB 0.06 0.00 2.58978 -78.8686 -2.58978 2.58978 0.92 0.000406342 0.000368707 0.0262706 0.0239697 40 2121 36 6.99608e+06 147157 706193. 2443.58 1.96 0.110249 0.0966601 26914 176310 -1 1615 22 1147 1630 137130 34190 3.05292 3.05292 -105.142 -3.05292 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0187062 0.0165528 60 20 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 12.12 vpr 65.18 MiB -1 -1 0.15 20688 1 0.03 -1 -1 33692 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 341 285 1 214 79 17 17 289 -1 unnamed_device 26.7 MiB 0.94 970 11571 4543 4967 2061 65.2 MiB 0.09 0.00 3.31348 -119.997 -3.31348 3.31348 0.96 0.000484648 0.000441437 0.0358499 0.0327304 38 3742 43 6.99608e+06 220735 678818. 2348.85 7.80 0.173179 0.151709 26626 170182 -1 2797 21 2384 3210 344583 68244 4.21815 4.21815 -159.692 -4.21815 0 0 902133. 3121.57 0.34 0.11 0.16 -1 -1 0.34 0.0268024 0.0239315 93 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 7.47 vpr 65.30 MiB -1 -1 0.17 20584 1 0.03 -1 -1 34000 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 387 293 1 226 80 17 17 289 -1 unnamed_device 26.8 MiB 1.16 1157 14700 6315 8054 331 65.3 MiB 0.12 0.00 3.99514 -125.321 -3.99514 3.99514 0.97 0.000549987 0.000499175 0.0503377 0.0458334 48 2898 27 6.99608e+06 235451 865456. 2994.66 2.74 0.179163 0.158792 28354 207349 -1 2559 25 2356 3559 386042 88092 4.62596 4.62596 -154.896 -4.62596 0 0 1.05005e+06 3633.38 0.43 0.12 0.19 -1 -1 0.43 0.0345525 0.0307661 98 28 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 10.50 vpr 64.90 MiB -1 -1 0.16 20828 1 0.03 -1 -1 33456 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 340 270 1 203 79 17 17 289 -1 unnamed_device 26.5 MiB 0.72 1018 10388 3249 6500 639 64.9 MiB 0.09 0.00 3.52245 -120.884 -3.52245 3.52245 0.93 0.000496176 0.000452544 0.0331965 0.0303195 36 3082 35 6.99608e+06 220735 648988. 2245.63 6.53 0.159505 0.139514 26050 158493 -1 2497 21 1785 2413 229885 45914 3.85976 3.85976 -148.759 -3.85976 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0240979 0.0213956 85 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 7.71 vpr 64.95 MiB -1 -1 0.16 20264 1 0.03 -1 -1 33696 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66504 30 32 278 235 1 166 82 17 17 289 -1 unnamed_device 26.4 MiB 1.42 659 11474 4753 6219 502 64.9 MiB 0.08 0.00 3.02694 -91.1422 -3.02694 3.02694 0.96 0.000428495 0.000390796 0.0295082 0.0269852 40 2208 35 6.99608e+06 294314 706193. 2443.58 2.99 0.145291 0.127995 26914 176310 -1 1573 19 1234 1843 161008 37643 3.37001 3.37001 -117.718 -3.37001 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0201593 0.017977 72 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 11.06 vpr 65.77 MiB -1 -1 0.17 21020 1 0.03 -1 -1 33860 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67344 32 32 431 332 1 261 82 17 17 289 -1 unnamed_device 27.1 MiB 1.87 1458 16102 5599 8635 1868 65.8 MiB 0.14 0.00 4.69828 -148.633 -4.69828 4.69828 0.95 0.000615017 0.000557461 0.0579126 0.0526594 46 3902 23 6.99608e+06 264882 828058. 2865.25 5.68 0.287933 0.253259 28066 200906 -1 3101 23 2578 3904 306816 61254 5.26619 5.26619 -179.715 -5.26619 0 0 1.01997e+06 3529.29 0.39 0.10 0.18 -1 -1 0.39 0.0331757 0.0295976 116 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 6.58 vpr 64.78 MiB -1 -1 0.14 20248 1 0.03 -1 -1 33764 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 32 32 336 268 1 199 78 17 17 289 -1 unnamed_device 26.2 MiB 0.68 867 13856 5938 7553 365 64.8 MiB 0.10 0.00 3.85334 -116.528 -3.85334 3.85334 0.94 0.000490656 0.000446648 0.0416651 0.0377599 42 2760 36 6.99608e+06 206020 744469. 2576.02 2.62 0.172913 0.15182 27202 183097 -1 1980 21 1651 2264 199781 42307 4.05242 4.05242 -138.272 -4.05242 0 0 949917. 3286.91 0.37 0.07 0.16 -1 -1 0.37 0.0233787 0.0207582 83 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 5.34 vpr 64.41 MiB -1 -1 0.15 20440 1 0.03 -1 -1 33380 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65956 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 25.9 MiB 0.24 652 9694 2938 5089 1667 64.4 MiB 0.06 0.00 2.4029 -78.4802 -2.4029 2.4029 0.95 0.000380572 0.000347829 0.0243191 0.0222563 38 1819 18 6.99608e+06 191304 678818. 2348.85 1.88 0.108658 0.0953026 26626 170182 -1 1577 23 1073 1708 150359 30984 2.90967 2.90967 -102.461 -2.90967 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.019641 0.0173358 51 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 8.04 vpr 65.29 MiB -1 -1 0.17 20508 1 0.03 -1 -1 33696 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 32 32 349 273 1 207 80 17 17 289 -1 unnamed_device 26.9 MiB 1.43 948 15732 6849 7886 997 65.3 MiB 0.12 0.00 4.00152 -109.55 -4.00152 4.00152 0.95 0.000481337 0.000437908 0.048904 0.0443714 44 3147 35 6.99608e+06 235451 787024. 2723.27 3.22 0.176041 0.154549 27778 195446 -1 2180 22 1545 2580 190135 42624 4.94095 4.94095 -139.209 -4.94095 0 0 997811. 3452.63 0.37 0.07 0.18 -1 -1 0.37 0.0254681 0.0224355 85 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 8.73 vpr 64.36 MiB -1 -1 0.14 20180 1 0.03 -1 -1 34104 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 25.8 MiB 1.05 483 9706 3182 4454 2070 64.4 MiB 0.06 0.00 2.5722 -81.3565 -2.5722 2.5722 0.93 0.000396469 0.000362374 0.0245154 0.0224029 42 1807 49 6.99608e+06 206020 744469. 2576.02 4.48 0.176142 0.15273 27202 183097 -1 1322 21 1162 1717 131663 33345 3.16407 3.16407 -111.533 -3.16407 0 0 949917. 3286.91 0.36 0.05 0.17 -1 -1 0.36 0.0186304 0.0164522 57 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 7.48 vpr 64.96 MiB -1 -1 0.15 20448 1 0.03 -1 -1 33528 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 30 32 278 235 1 170 75 17 17 289 -1 unnamed_device 26.5 MiB 0.65 687 11925 5028 6439 458 65.0 MiB 0.08 0.00 3.03377 -95.0567 -3.03377 3.03377 0.93 0.00038807 0.000349626 0.0324266 0.0294355 38 2272 40 6.99608e+06 191304 678818. 2348.85 3.64 0.145689 0.127406 26626 170182 -1 1599 21 1350 1809 138103 31290 3.34751 3.34751 -115.982 -3.34751 0 0 902133. 3121.57 0.35 0.06 0.15 -1 -1 0.35 0.0200551 0.0177278 69 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 9.01 vpr 65.27 MiB -1 -1 0.17 20572 1 0.03 -1 -1 33856 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66836 29 32 355 287 1 213 79 17 17 289 -1 unnamed_device 26.7 MiB 1.91 1017 7515 2624 3331 1560 65.3 MiB 0.07 0.00 3.40046 -105.096 -3.40046 3.40046 0.95 0.000505239 0.000461207 0.0253985 0.0232689 40 3012 25 6.99608e+06 264882 706193. 2443.58 3.75 0.152587 0.13398 26914 176310 -1 2611 21 2004 2954 307332 62284 3.7222 3.7222 -130.464 -3.7222 0 0 926341. 3205.33 0.36 0.09 0.16 -1 -1 0.36 0.0260633 0.0232389 97 56 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 19.30 vpr 65.30 MiB -1 -1 0.16 20760 1 0.03 -1 -1 33896 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 358 289 1 217 79 17 17 289 -1 unnamed_device 26.8 MiB 1.60 1094 10219 4205 5773 241 65.3 MiB 0.08 0.00 3.50518 -117.692 -3.50518 3.50518 0.92 0.00051433 0.000466056 0.0341036 0.0310379 42 3057 31 6.99608e+06 220735 744469. 2576.02 14.43 0.292201 0.255073 27202 183097 -1 2531 18 1824 2515 228274 47764 4.69841 4.69841 -160.562 -4.69841 0 0 949917. 3286.91 0.38 0.08 0.16 -1 -1 0.38 0.0256638 0.023077 93 51 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 21.65 vpr 65.24 MiB -1 -1 0.17 20496 1 0.03 -1 -1 33976 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 353 285 1 213 79 17 17 289 -1 unnamed_device 26.8 MiB 2.50 1136 12585 3548 7783 1254 65.2 MiB 0.10 0.00 3.79817 -125.159 -3.79817 3.79817 0.96 0.000507054 0.000461286 0.0409886 0.0374317 40 2860 44 6.99608e+06 220735 706193. 2443.58 15.77 0.307025 0.267789 26914 176310 -1 2603 21 1806 2674 273839 53617 4.54991 4.54991 -157.572 -4.54991 0 0 926341. 3205.33 0.35 0.09 0.16 -1 -1 0.35 0.0256612 0.0228234 90 48 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 7.68 vpr 64.62 MiB -1 -1 0.14 20184 1 0.03 -1 -1 33728 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 276 237 1 163 75 17 17 289 -1 unnamed_device 26.1 MiB 2.16 846 11925 5171 6514 240 64.6 MiB 0.08 0.00 3.18112 -104.147 -3.18112 3.18112 0.90 0.000410478 0.000374513 0.033279 0.0304344 46 2056 50 6.99608e+06 161872 828058. 2865.25 2.32 0.13241 0.116482 28066 200906 -1 1736 20 1225 1613 124667 26828 3.42936 3.42936 -118.543 -3.42936 0 0 1.01997e+06 3529.29 0.37 0.05 0.19 -1 -1 0.37 0.0196605 0.0174598 67 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 6.26 vpr 65.14 MiB -1 -1 0.16 20660 1 0.03 -1 -1 34040 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66708 31 32 319 272 1 200 77 17 17 289 -1 unnamed_device 26.7 MiB 0.96 790 9042 2534 5268 1240 65.1 MiB 0.07 0.00 3.00077 -96.7127 -3.00077 3.00077 0.94 0.000476243 0.00043161 0.0269271 0.024469 46 2207 24 6.99608e+06 206020 828058. 2865.25 2.00 0.110202 0.0962267 28066 200906 -1 1499 21 1541 2135 126452 30546 2.97491 2.97491 -109.517 -2.97491 0 0 1.01997e+06 3529.29 0.40 0.06 0.18 -1 -1 0.40 0.0224141 0.0198411 86 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 20.46 vpr 65.29 MiB -1 -1 0.17 20284 1 0.03 -1 -1 34036 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66860 30 32 329 273 1 202 81 17 17 289 -1 unnamed_device 26.8 MiB 1.37 841 10231 2948 5073 2210 65.3 MiB 0.08 0.00 2.70194 -87.7568 -2.70194 2.70194 0.98 0.000479241 0.000434223 0.0307192 0.0279828 40 2812 39 6.99608e+06 279598 706193. 2443.58 15.69 0.265545 0.231586 26914 176310 -1 2075 20 1444 2066 191007 41507 3.32481 3.32481 -117.624 -3.32481 0 0 926341. 3205.33 0.37 0.07 0.17 -1 -1 0.37 0.0232446 0.0207478 91 52 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 7.26 vpr 64.81 MiB -1 -1 0.16 20120 1 0.03 -1 -1 33692 -1 -1 17 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 28 32 277 229 1 170 77 17 17 289 -1 unnamed_device 26.3 MiB 0.51 857 13443 4623 7041 1779 64.8 MiB 0.09 0.00 3.06285 -91.2465 -3.06285 3.06285 0.92 0.000415127 0.000378422 0.0362605 0.0330721 38 2322 29 6.99608e+06 250167 678818. 2348.85 3.54 0.144589 0.127068 26626 170182 -1 1850 23 1373 2153 172724 34707 3.92746 3.92746 -117.596 -3.92746 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0216588 0.019149 71 20 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 8.72 vpr 64.74 MiB -1 -1 0.17 20592 1 0.03 -1 -1 33608 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 30 32 317 269 1 200 77 17 17 289 -1 unnamed_device 26.3 MiB 2.23 824 10835 4518 5855 462 64.7 MiB 0.08 0.00 3.54051 -110.83 -3.54051 3.54051 0.95 0.000444651 0.000404532 0.032177 0.029329 46 2477 26 6.99608e+06 220735 828058. 2865.25 3.16 0.141425 0.123503 28066 200906 -1 1759 18 1406 1948 140548 31722 3.96755 3.96755 -138.889 -3.96755 0 0 1.01997e+06 3529.29 0.39 0.06 0.19 -1 -1 0.39 0.0200587 0.017841 87 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 18.73 vpr 64.89 MiB -1 -1 0.16 20176 1 0.03 -1 -1 33620 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 335 282 1 216 78 17 17 289 -1 unnamed_device 26.4 MiB 0.95 958 11532 4419 4440 2673 64.9 MiB 0.09 0.00 2.7677 -100.015 -2.7677 2.7677 0.97 0.000494274 0.000451624 0.0358655 0.0327935 40 3081 40 6.99608e+06 206020 706193. 2443.58 14.38 0.288233 0.251511 26914 176310 -1 2474 23 2073 2822 317660 70259 3.51211 3.51211 -136.822 -3.51211 0 0 926341. 3205.33 0.35 0.10 0.16 -1 -1 0.35 0.0259292 0.0230244 93 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 8.66 vpr 64.93 MiB -1 -1 0.16 20400 1 0.03 -1 -1 33852 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 26.4 MiB 0.44 748 8919 2572 5135 1212 64.9 MiB 0.07 0.00 3.86008 -100.236 -3.86008 3.86008 0.98 0.000475434 0.000433299 0.0235716 0.0214841 46 2203 34 6.99608e+06 353176 828058. 2865.25 4.89 0.167225 0.144969 28066 200906 -1 1595 15 909 1573 103628 23762 3.55532 3.55532 -113.694 -3.55532 0 0 1.01997e+06 3529.29 0.37 0.04 0.18 -1 -1 0.37 0.0170747 0.0152357 74 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 13.06 vpr 65.02 MiB -1 -1 0.16 20700 1 0.03 -1 -1 33832 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 350 275 1 202 78 17 17 289 -1 unnamed_device 26.6 MiB 2.28 988 11200 4704 6275 221 65.0 MiB 0.09 0.00 3.51478 -119.446 -3.51478 3.51478 0.96 0.000541334 0.0004863 0.0373691 0.0341261 38 3610 33 6.99608e+06 206020 678818. 2348.85 7.36 0.169831 0.148734 26626 170182 -1 2763 33 2355 3461 435996 115873 4.4649 4.4649 -155.662 -4.4649 0 0 902133. 3121.57 0.34 0.15 0.16 -1 -1 0.34 0.0360334 0.0317689 86 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 27.37 vpr 65.36 MiB -1 -1 0.15 20620 1 0.03 -1 -1 34048 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66928 32 32 385 308 1 237 81 17 17 289 -1 unnamed_device 26.8 MiB 0.85 1069 10581 3820 4375 2386 65.4 MiB 0.09 0.00 4.0077 -127.842 -4.0077 4.0077 0.96 0.000551932 0.000504231 0.0362968 0.0331687 44 3663 45 6.99608e+06 250167 787024. 2723.27 23.08 0.321668 0.28098 27778 195446 -1 2443 22 2275 3275 309106 76325 4.55314 4.55314 -158.421 -4.55314 0 0 997811. 3452.63 0.40 0.10 0.18 -1 -1 0.40 0.0286466 0.0255524 102 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 8.52 vpr 65.49 MiB -1 -1 0.17 20576 1 0.03 -1 -1 33740 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67060 32 32 387 309 1 244 81 17 17 289 -1 unnamed_device 26.9 MiB 0.95 1098 13206 4825 6600 1781 65.5 MiB 0.10 0.00 3.47616 -116.907 -3.47616 3.47616 0.98 0.000302563 0.00027639 0.0404144 0.0368362 46 3837 28 6.99608e+06 250167 828058. 2865.25 4.10 0.176098 0.154786 28066 200906 -1 2833 22 2129 3124 289159 58732 3.87 3.87 -147.27 -3.87 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0284485 0.0253631 104 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 7.50 vpr 64.84 MiB -1 -1 0.15 20308 1 0.03 -1 -1 33416 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 30 32 272 232 1 171 75 17 17 289 -1 unnamed_device 26.4 MiB 1.03 592 9081 2599 4640 1842 64.8 MiB 0.06 0.00 3.63675 -103.141 -3.63675 3.63675 0.97 0.000410476 0.000374335 0.0257983 0.0235806 48 1841 31 6.99608e+06 191304 865456. 2994.66 3.07 0.138945 0.121961 28354 207349 -1 1441 18 1150 1627 127170 31905 3.45286 3.45286 -118.706 -3.45286 0 0 1.05005e+06 3633.38 0.40 0.05 0.19 -1 -1 0.40 0.0182821 0.0163386 71 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 10.13 vpr 65.50 MiB -1 -1 0.16 20484 1 0.03 -1 -1 33700 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 30 32 375 299 1 233 80 17 17 289 -1 unnamed_device 26.9 MiB 1.04 898 11088 3619 4989 2480 65.5 MiB 0.08 0.00 4.22166 -128.126 -4.22166 4.22166 0.94 0.000560292 0.000508228 0.0349088 0.0317119 54 2507 44 6.99608e+06 264882 949917. 3286.91 5.64 0.246516 0.213111 29506 232905 -1 1948 25 2196 3078 227374 54686 4.57911 4.57911 -151.941 -4.57911 0 0 1.17392e+06 4061.99 0.46 0.09 0.20 -1 -1 0.46 0.0291283 0.025766 104 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 10.03 vpr 65.11 MiB -1 -1 0.15 20616 1 0.03 -1 -1 34012 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 340 270 1 197 78 17 17 289 -1 unnamed_device 26.8 MiB 1.27 846 12694 5333 6865 496 65.1 MiB 0.10 0.00 3.85334 -114.876 -3.85334 3.85334 0.98 0.000493213 0.00044912 0.04077 0.0372314 46 2761 26 6.99608e+06 206020 828058. 2865.25 5.27 0.219864 0.19215 28066 200906 -1 1998 26 1633 2643 238122 51775 4.28795 4.28795 -141.139 -4.28795 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0297618 0.0263053 82 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 8.10 vpr 65.15 MiB -1 -1 0.17 20716 1 0.03 -1 -1 33872 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 31 32 340 275 1 199 80 17 17 289 -1 unnamed_device 26.7 MiB 1.33 824 10916 3457 5321 2138 65.1 MiB 0.09 0.00 4.27285 -115.768 -4.27285 4.27285 0.97 0.000495 0.000451045 0.0341111 0.0312247 46 2309 46 6.99608e+06 250167 828058. 2865.25 3.37 0.175917 0.154662 28066 200906 -1 1800 23 1339 1910 121612 28768 4.45681 4.45681 -139.856 -4.45681 0 0 1.01997e+06 3529.29 0.38 0.06 0.19 -1 -1 0.38 0.0253869 0.0224012 87 43 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 9.12 vpr 65.40 MiB -1 -1 0.18 20500 1 0.03 -1 -1 33892 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 30 32 377 310 1 234 82 17 17 289 -1 unnamed_device 26.8 MiB 2.27 1045 15212 5515 7525 2172 65.4 MiB 0.12 0.00 3.44926 -107.329 -3.44926 3.44926 0.98 0.000535124 0.000486869 0.0476775 0.0434423 44 3455 44 6.99608e+06 294314 787024. 2723.27 3.39 0.196307 0.1728 27778 195446 -1 2379 21 2233 3109 243962 52982 4.03731 4.03731 -142.38 -4.03731 0 0 997811. 3452.63 0.39 0.09 0.18 -1 -1 0.39 0.0274744 0.0245583 108 78 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 10.35 vpr 65.05 MiB -1 -1 0.16 20436 1 0.03 -1 -1 33928 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66612 32 32 365 294 1 223 81 17 17 289 -1 unnamed_device 26.5 MiB 1.90 1271 16531 5984 8406 2141 65.1 MiB 0.13 0.00 3.75306 -124.358 -3.75306 3.75306 0.94 0.000510682 0.000465314 0.0515018 0.0469561 46 2982 20 6.99608e+06 250167 828058. 2865.25 5.06 0.228023 0.198794 28066 200906 -1 2420 21 1772 2563 204143 42577 4.15291 4.15291 -150.07 -4.15291 0 0 1.01997e+06 3529.29 0.38 0.07 0.19 -1 -1 0.38 0.0248452 0.0220949 95 54 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 10.45 vpr 65.32 MiB -1 -1 0.17 20724 1 0.03 -1 -1 33896 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 29 32 378 310 1 237 81 17 17 289 -1 unnamed_device 26.7 MiB 2.84 1165 9531 3101 4544 1886 65.3 MiB 0.08 0.00 3.11675 -104.42 -3.11675 3.11675 0.94 0.000529978 0.000482384 0.0316476 0.0288258 38 3375 26 6.99608e+06 294314 678818. 2348.85 4.27 0.166373 0.146228 26626 170182 -1 2655 23 2151 2812 244626 50145 3.80196 3.80196 -132.455 -3.80196 0 0 902133. 3121.57 0.36 0.09 0.16 -1 -1 0.36 0.0279646 0.0247511 109 79 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 12.56 vpr 64.62 MiB -1 -1 0.14 20244 1 0.03 -1 -1 33880 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 243 205 1 140 74 17 17 289 -1 unnamed_device 26.1 MiB 1.38 624 10149 3858 5233 1058 64.6 MiB 0.07 0.00 2.91658 -85.962 -2.91658 2.91658 0.92 0.000400307 0.000365767 0.0273554 0.0250278 38 2105 23 6.99608e+06 147157 678818. 2348.85 8.01 0.202127 0.175442 26626 170182 -1 1584 21 1115 1728 136337 28984 2.88437 2.88437 -107.331 -2.88437 0 0 902133. 3121.57 0.33 0.05 0.16 -1 -1 0.33 0.018566 0.0163989 54 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 10.27 vpr 65.39 MiB -1 -1 0.17 20728 1 0.03 -1 -1 33588 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 373 302 1 234 81 17 17 289 -1 unnamed_device 26.7 MiB 0.75 1079 14956 5560 6924 2472 65.4 MiB 0.12 0.00 4.21916 -133.906 -4.21916 4.21916 0.98 0.000528401 0.000478421 0.047609 0.0433195 56 2477 23 6.99608e+06 250167 973134. 3367.25 5.89 0.220746 0.192834 29794 239141 -1 2151 19 1592 2288 231625 47587 4.5129 4.5129 -152.827 -4.5129 0 0 1.19926e+06 4149.71 0.47 0.08 0.23 -1 -1 0.47 0.0256972 0.0230801 100 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 7.68 vpr 65.20 MiB -1 -1 0.16 20628 1 0.03 -1 -1 33640 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 397 314 1 249 81 17 17 289 -1 unnamed_device 26.6 MiB 1.03 1038 11806 4600 6230 976 65.2 MiB 0.10 0.00 4.125 -136.873 -4.125 4.125 0.98 0.000566307 0.000516443 0.0408714 0.0372801 48 3340 27 6.99608e+06 250167 865456. 2994.66 3.10 0.184773 0.162759 28354 207349 -1 2683 20 2373 3332 331649 69240 4.78344 4.78344 -172.184 -4.78344 0 0 1.05005e+06 3633.38 0.41 0.10 0.20 -1 -1 0.41 0.0284198 0.0254571 109 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 7.99 vpr 64.93 MiB -1 -1 0.14 20224 1 0.03 -1 -1 33836 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 269 231 1 168 75 17 17 289 -1 unnamed_device 26.4 MiB 1.09 782 12715 5398 7027 290 64.9 MiB 0.08 0.00 3.03397 -94.935 -3.03397 3.03397 0.97 0.000402033 0.000367165 0.035295 0.0322032 36 2278 38 6.99608e+06 161872 648988. 2245.63 3.64 0.159494 0.140524 26050 158493 -1 1696 20 1180 1468 124635 26959 3.05391 3.05391 -109.828 -3.05391 0 0 828058. 2865.25 0.34 0.06 0.14 -1 -1 0.34 0.020681 0.0184061 69 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 6.05 vpr 64.54 MiB -1 -1 0.16 20408 1 0.03 -1 -1 33692 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 26.0 MiB 0.56 520 10156 4208 5460 488 64.5 MiB 0.06 0.00 2.78823 -83.3973 -2.78823 2.78823 0.98 0.00038541 0.00035254 0.027093 0.0247675 40 1986 43 6.99608e+06 191304 706193. 2443.58 2.17 0.120287 0.105938 26914 176310 -1 1531 19 1159 1692 141978 36628 3.44082 3.44082 -116.803 -3.44082 0 0 926341. 3205.33 0.36 0.06 0.16 -1 -1 0.36 0.0177805 0.0158211 56 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 9.10 vpr 65.30 MiB -1 -1 0.15 20552 1 0.03 -1 -1 33488 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 348 274 1 208 79 17 17 289 -1 unnamed_device 26.9 MiB 0.87 904 12585 3591 6489 2505 65.3 MiB 0.09 0.00 3.57951 -115.674 -3.57951 3.57951 0.95 0.000492524 0.000443955 0.0383023 0.0348045 44 2631 37 6.99608e+06 220735 787024. 2723.27 4.90 0.210713 0.183281 27778 195446 -1 1886 22 1561 2117 148664 34042 3.93935 3.93935 -136.751 -3.93935 0 0 997811. 3452.63 0.39 0.06 0.17 -1 -1 0.39 0.0244827 0.0216884 88 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 10.11 vpr 65.29 MiB -1 -1 0.16 20904 1 0.03 -1 -1 33892 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 32 32 356 289 1 218 79 17 17 289 -1 unnamed_device 26.8 MiB 1.99 1011 12923 5460 7214 249 65.3 MiB 0.10 0.00 3.63687 -114.181 -3.63687 3.63687 1.00 0.000512184 0.000466437 0.042575 0.0387467 38 3385 47 6.99608e+06 220735 678818. 2348.85 4.74 0.190678 0.167487 26626 170182 -1 2370 24 1901 2606 192658 41420 4.54021 4.54021 -145.164 -4.54021 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0270122 0.0238555 95 53 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 10.00 vpr 65.08 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33764 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 26.7 MiB 0.48 834 15481 6763 7987 731 65.1 MiB 0.12 0.00 3.77681 -111.474 -3.77681 3.77681 0.99 0.000516362 0.000469858 0.0487555 0.0443729 60 1861 23 6.99608e+06 250167 1.01997e+06 3529.29 5.91 0.223303 0.195086 30658 258169 -1 1638 20 1441 2475 151299 35025 3.93725 3.93725 -132.612 -3.93725 0 0 1.27783e+06 4421.56 0.48 0.06 0.25 -1 -1 0.48 0.0239108 0.0212626 83 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 7.43 vpr 64.95 MiB -1 -1 0.16 20232 1 0.03 -1 -1 33600 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 30 32 316 264 1 197 78 17 17 289 -1 unnamed_device 26.6 MiB 1.28 788 9706 3488 4525 1693 65.0 MiB 0.07 0.00 3.02754 -88.8726 -3.02754 3.02754 0.95 0.000458375 0.00041713 0.0290752 0.0265676 44 2913 40 6.99608e+06 235451 787024. 2723.27 2.74 0.140387 0.122689 27778 195446 -1 1819 30 1874 2785 293285 88250 3.44887 3.44887 -111.408 -3.44887 0 0 997811. 3452.63 0.40 0.11 0.18 -1 -1 0.40 0.0304349 0.0267978 86 47 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 6.50 vpr 64.73 MiB -1 -1 0.15 20244 1 0.03 -1 -1 34044 -1 -1 15 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 27 32 255 219 1 145 74 17 17 289 -1 unnamed_device 26.3 MiB 1.07 487 10459 4407 5408 644 64.7 MiB 0.07 0.00 2.96122 -84.2305 -2.96122 2.96122 0.98 0.000381696 0.000346765 0.0282647 0.0257799 38 1689 31 6.99608e+06 220735 678818. 2348.85 2.14 0.13637 0.119461 26626 170182 -1 1252 18 926 1390 88619 22352 3.33712 3.33712 -109.295 -3.33712 0 0 902133. 3121.57 0.35 0.05 0.15 -1 -1 0.35 0.0177372 0.0158279 66 26 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 10.72 vpr 65.58 MiB -1 -1 0.17 20760 1 0.03 -1 -1 33916 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67156 32 32 421 327 1 257 82 17 17 289 -1 unnamed_device 27.2 MiB 0.97 1215 16280 7180 8676 424 65.6 MiB 0.15 0.00 3.41284 -117.869 -3.41284 3.41284 0.95 0.000548929 0.000505518 0.0567989 0.0515993 54 3476 33 6.99608e+06 264882 949917. 3286.91 5.97 0.291098 0.25581 29506 232905 -1 2711 22 2270 3461 285867 58254 4.65241 4.65241 -148.813 -4.65241 0 0 1.17392e+06 4061.99 0.45 0.09 0.21 -1 -1 0.45 0.0295072 0.0263227 111 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 9.75 vpr 65.36 MiB -1 -1 0.16 20740 1 0.03 -1 -1 33968 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 31 32 365 296 1 229 80 17 17 289 -1 unnamed_device 26.7 MiB 1.96 1215 14528 4935 7929 1664 65.4 MiB 0.12 0.00 4.34903 -133.1 -4.34903 4.34903 0.93 0.00051112 0.000464557 0.0453993 0.0415107 40 3218 29 6.99608e+06 250167 706193. 2443.58 4.43 0.181345 0.160258 26914 176310 -1 2739 22 2360 3274 340418 65338 5.12834 5.12834 -174.894 -5.12834 0 0 926341. 3205.33 0.36 0.11 0.16 -1 -1 0.36 0.0288488 0.0258211 100 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 9.26 vpr 65.25 MiB -1 -1 0.16 20272 1 0.03 -1 -1 33872 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 331 280 1 215 78 17 17 289 -1 unnamed_device 26.8 MiB 1.03 1014 9706 2762 6061 883 65.3 MiB 0.08 0.00 3.46994 -124.611 -3.46994 3.46994 0.96 0.000479452 0.000437065 0.0301405 0.0275462 40 2743 48 6.99608e+06 206020 706193. 2443.58 4.87 0.240694 0.209773 26914 176310 -1 2245 21 1656 2079 174161 38358 4.02985 4.02985 -152.533 -4.02985 0 0 926341. 3205.33 0.35 0.07 0.17 -1 -1 0.35 0.0235657 0.0209855 91 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 6.45 vpr 64.75 MiB -1 -1 0.15 20592 1 0.03 -1 -1 34032 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 326 263 1 197 79 17 17 289 -1 unnamed_device 26.5 MiB 0.78 907 12585 5304 6821 460 64.7 MiB 0.10 0.00 3.34348 -104.597 -3.34348 3.34348 0.96 0.00049053 0.000444341 0.0388069 0.0352728 44 2900 25 6.99608e+06 220735 787024. 2723.27 2.29 0.154157 0.135085 27778 195446 -1 2073 21 1348 1901 145786 31983 3.92011 3.92011 -132.242 -3.92011 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0232979 0.0206639 81 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 8.01 vpr 65.61 MiB -1 -1 0.16 20732 1 0.03 -1 -1 33816 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67188 31 32 373 294 1 221 80 17 17 289 -1 unnamed_device 26.9 MiB 1.53 977 14012 5601 6789 1622 65.6 MiB 0.11 0.00 3.45118 -103.39 -3.45118 3.45118 0.94 0.000487669 0.00043951 0.0428142 0.0387028 40 2838 37 6.99608e+06 250167 706193. 2443.58 3.16 0.184942 0.162284 26914 176310 -1 2428 22 2149 3018 264099 63254 4.29696 4.29696 -144.871 -4.29696 0 0 926341. 3205.33 0.35 0.09 0.15 -1 -1 0.35 0.0264751 0.0234588 97 46 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 8.09 vpr 65.21 MiB -1 -1 0.17 20800 1 0.03 -1 -1 34144 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 30 32 325 268 1 198 79 17 17 289 -1 unnamed_device 26.8 MiB 1.68 904 12416 5191 6608 617 65.2 MiB 0.09 0.00 2.85029 -90.0497 -2.85029 2.85029 0.95 0.000463741 0.00042177 0.037138 0.0338314 44 2642 49 6.99608e+06 250167 787024. 2723.27 3.02 0.172713 0.151214 27778 195446 -1 2048 23 1616 2336 183815 41116 3.26176 3.26176 -116.813 -3.26176 0 0 997811. 3452.63 0.41 0.07 0.18 -1 -1 0.41 0.025229 0.0222529 88 46 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 7.47 vpr 65.25 MiB -1 -1 0.15 20592 1 0.03 -1 -1 33800 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 350 275 1 209 78 17 17 289 -1 unnamed_device 26.8 MiB 0.90 919 12196 5093 6690 413 65.2 MiB 0.10 0.00 3.51478 -114.727 -3.51478 3.51478 0.97 0.000507971 0.000461267 0.0408408 0.0372989 50 3182 49 6.99608e+06 206020 902133. 3121.57 3.07 0.20776 0.183573 28642 213929 -1 2187 23 1788 2679 232016 57047 4.25631 4.25631 -146.563 -4.25631 0 0 1.08113e+06 3740.92 0.43 0.09 0.19 -1 -1 0.43 0.0289611 0.0258246 88 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 8.68 vpr 65.12 MiB -1 -1 0.16 20516 1 0.03 -1 -1 34008 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 386 307 1 240 80 17 17 289 -1 unnamed_device 26.6 MiB 2.95 1027 12636 4739 6959 938 65.1 MiB 0.11 0.00 2.94423 -103.749 -2.94423 2.94423 0.95 0.000534481 0.000487304 0.0428307 0.0390638 44 3028 30 6.99608e+06 235451 787024. 2723.27 2.33 0.172678 0.151186 27778 195446 -1 2163 19 1758 2350 158249 36072 3.45481 3.45481 -130.296 -3.45481 0 0 997811. 3452.63 0.39 0.07 0.18 -1 -1 0.39 0.0245364 0.021883 103 59 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 7.01 vpr 64.86 MiB -1 -1 0.15 20344 1 0.03 -1 -1 34052 -1 -1 14 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 29 32 269 229 1 168 75 17 17 289 -1 unnamed_device 26.4 MiB 1.52 667 10977 4403 5653 921 64.9 MiB 0.07 0.00 3.37515 -99.403 -3.37515 3.37515 0.95 0.000396754 0.00036073 0.0306185 0.0279585 38 1802 35 6.99608e+06 206020 678818. 2348.85 2.23 0.138219 0.121445 26626 170182 -1 1447 21 1351 1796 124241 27656 3.44186 3.44186 -117.802 -3.44186 0 0 902133. 3121.57 0.35 0.06 0.16 -1 -1 0.35 0.0201409 0.0178349 70 28 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 9.07 vpr 64.78 MiB -1 -1 0.16 20280 1 0.03 -1 -1 33964 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 310 266 1 182 78 17 17 289 -1 unnamed_device 26.2 MiB 2.50 818 12362 5466 6567 329 64.8 MiB 0.09 0.00 3.25048 -108.694 -3.25048 3.25048 0.96 0.000444862 0.000404868 0.0357191 0.0325779 40 2210 28 6.99608e+06 206020 706193. 2443.58 3.21 0.148636 0.130524 26914 176310 -1 2012 22 1655 2267 286511 78662 4.24811 4.24811 -142.004 -4.24811 0 0 926341. 3205.33 0.36 0.10 0.16 -1 -1 0.36 0.0250155 0.0223058 79 55 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 6.59 vpr 64.80 MiB -1 -1 0.16 20132 1 0.03 -1 -1 33548 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 31 32 326 261 1 193 78 17 17 289 -1 unnamed_device 26.5 MiB 0.90 757 11200 3996 5321 1883 64.8 MiB 0.08 0.00 3.35878 -99.9459 -3.35878 3.35878 0.97 0.000484968 0.000442575 0.0349173 0.0318944 50 2011 21 6.99608e+06 220735 902133. 3121.57 2.23 0.147991 0.13032 28642 213929 -1 1551 21 1572 2290 155842 38434 3.65252 3.65252 -123.358 -3.65252 0 0 1.08113e+06 3740.92 0.42 0.07 0.20 -1 -1 0.42 0.0240295 0.0214374 80 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 6.76 vpr 64.62 MiB -1 -1 0.16 20500 1 0.03 -1 -1 33956 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 29 32 262 224 1 162 74 17 17 289 -1 unnamed_device 26.2 MiB 1.02 606 9839 4101 5178 560 64.6 MiB 0.07 0.00 3.02297 -87.5909 -3.02297 3.02297 0.98 0.000389322 0.000353832 0.0279307 0.0255056 44 2020 30 6.99608e+06 191304 787024. 2723.27 2.35 0.140383 0.123915 27778 195446 -1 1178 20 1005 1304 78034 21735 3.09411 3.09411 -101.553 -3.09411 0 0 997811. 3452.63 0.41 0.05 0.18 -1 -1 0.41 0.0197001 0.0175046 68 25 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 13.84 vpr 64.94 MiB -1 -1 0.15 20332 1 0.03 -1 -1 33728 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 278 238 1 178 76 17 17 289 -1 unnamed_device 26.5 MiB 0.89 938 11596 4367 5545 1684 64.9 MiB 0.08 0.00 3.40815 -110.366 -3.40815 3.40815 0.96 0.000434145 0.00039395 0.033045 0.0301025 40 2354 29 6.99608e+06 176588 706193. 2443.58 9.62 0.252249 0.219281 26914 176310 -1 2125 20 1377 1843 204005 38838 3.62816 3.62816 -132.197 -3.62816 0 0 926341. 3205.33 0.37 0.07 0.16 -1 -1 0.37 0.0210658 0.0187801 73 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 7.51 vpr 65.45 MiB -1 -1 0.17 20632 1 0.03 -1 -1 33940 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 31 32 373 300 1 231 80 17 17 289 -1 unnamed_device 26.9 MiB 1.03 998 10572 3647 5212 1713 65.4 MiB 0.09 0.00 3.61381 -116.286 -3.61381 3.61381 0.96 0.000507003 0.000461733 0.0345076 0.0314936 46 2875 28 6.99608e+06 250167 828058. 2865.25 3.07 0.16209 0.141525 28066 200906 -1 2240 19 1864 2542 200459 44742 4.01336 4.01336 -145.536 -4.01336 0 0 1.01997e+06 3529.29 0.39 0.07 0.19 -1 -1 0.39 0.0245254 0.0218616 101 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 14.56 vpr 64.88 MiB -1 -1 0.15 20408 1 0.03 -1 -1 33848 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 31 32 265 230 1 171 76 17 17 289 -1 unnamed_device 26.4 MiB 0.89 668 11596 4832 6379 385 64.9 MiB 0.08 0.00 3.10427 -93.1977 -3.10427 3.10427 0.98 0.000404131 0.000367465 0.0319099 0.0290986 40 2299 33 6.99608e+06 191304 706193. 2443.58 10.32 0.254422 0.222495 26914 176310 -1 1792 18 1151 1599 146060 31943 3.36681 3.36681 -115.791 -3.36681 0 0 926341. 3205.33 0.37 0.06 0.16 -1 -1 0.37 0.0193097 0.0172908 71 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 7.72 vpr 65.33 MiB -1 -1 0.17 20712 1 0.03 -1 -1 33996 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66900 32 32 349 286 1 207 79 17 17 289 -1 unnamed_device 26.9 MiB 1.22 1039 11064 4217 5765 1082 65.3 MiB 0.09 0.00 2.87229 -99.4775 -2.87229 2.87229 0.97 0.00051102 0.000465645 0.0361615 0.0329621 36 3357 31 6.99608e+06 220735 648988. 2245.63 3.18 0.139673 0.122676 26050 158493 -1 2432 20 1571 2102 206496 41871 3.64366 3.64366 -133.317 -3.64366 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0249069 0.0222391 91 54 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 24.88 vpr 65.61 MiB -1 -1 0.18 20736 1 0.03 -1 -1 33924 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67184 31 32 396 325 1 255 83 17 17 289 -1 unnamed_device 27.2 MiB 2.97 1134 11063 4202 5771 1090 65.6 MiB 0.10 0.00 3.80112 -129.442 -3.80112 3.80112 0.98 0.000536483 0.000486807 0.0364354 0.0331968 48 3134 39 6.99608e+06 294314 865456. 2994.66 18.35 0.347674 0.303087 28354 207349 -1 2640 20 2468 3444 362405 73401 4.62739 4.62739 -166.299 -4.62739 0 0 1.05005e+06 3633.38 0.40 0.11 0.20 -1 -1 0.40 0.0271731 0.0243006 113 87 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 19.63 vpr 64.97 MiB -1 -1 0.15 20144 1 0.03 -1 -1 33660 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 32 32 303 262 1 192 76 17 17 289 -1 unnamed_device 26.3 MiB 2.11 722 9676 3479 4475 1722 65.0 MiB 0.07 0.00 2.79904 -93.1152 -2.79904 2.79904 0.99 0.000460878 0.000415165 0.0294562 0.0268722 48 2432 30 6.99608e+06 176588 865456. 2994.66 14.03 0.250813 0.2173 28354 207349 -1 1886 21 1665 2183 180068 43416 3.33581 3.33581 -124.822 -3.33581 0 0 1.05005e+06 3633.38 0.42 0.07 0.20 -1 -1 0.42 0.0220414 0.0195123 80 54 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 13.46 vpr 64.91 MiB -1 -1 0.14 20284 1 0.03 -1 -1 33688 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 290 244 1 172 75 17 17 289 -1 unnamed_device 26.4 MiB 0.76 722 11609 3927 5546 2136 64.9 MiB 0.08 0.00 3.13712 -100.64 -3.13712 3.13712 0.94 0.000392717 0.000355736 0.0317736 0.0287117 40 2156 44 6.99608e+06 161872 706193. 2443.58 9.48 0.256115 0.221875 26914 176310 -1 1595 22 1339 1908 131390 34537 3.59811 3.59811 -121.496 -3.59811 0 0 926341. 3205.33 0.35 0.06 0.15 -1 -1 0.35 0.0207767 0.0184099 72 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 10.02 vpr 65.09 MiB -1 -1 0.15 20340 1 0.03 -1 -1 33700 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 318 257 1 191 78 17 17 289 -1 unnamed_device 26.5 MiB 1.67 834 11200 4634 6158 408 65.1 MiB 0.08 0.00 3.36978 -103.576 -3.36978 3.36978 0.95 0.000469698 0.000426148 0.0351273 0.0320726 48 2169 28 6.99608e+06 206020 865456. 2994.66 4.94 0.192013 0.166685 28354 207349 -1 1753 20 1517 2128 148194 34854 3.72172 3.72172 -126.699 -3.72172 0 0 1.05005e+06 3633.38 0.42 0.06 0.20 -1 -1 0.42 0.0227501 0.0202683 79 27 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 8.62 vpr 65.17 MiB -1 -1 0.17 20544 1 0.03 -1 -1 34056 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 29 32 324 268 1 195 79 17 17 289 -1 unnamed_device 26.8 MiB 1.68 862 10219 4251 5344 624 65.2 MiB 0.07 0.00 2.89747 -87.1647 -2.89747 2.89747 0.96 0.000446244 0.000405626 0.0306753 0.0279005 40 2685 41 6.99608e+06 264882 706193. 2443.58 3.56 0.16372 0.14361 26914 176310 -1 2080 31 1868 2619 313123 107781 3.55882 3.55882 -112.689 -3.55882 0 0 926341. 3205.33 0.35 0.12 0.17 -1 -1 0.35 0.0316679 0.0278551 88 49 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 9.89 vpr 65.39 MiB -1 -1 0.16 20528 1 0.03 -1 -1 33784 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 393 312 1 235 81 17 17 289 -1 unnamed_device 26.8 MiB 1.61 1292 11981 4470 5947 1564 65.4 MiB 0.10 0.00 4.35209 -146.514 -4.35209 4.35209 0.94 0.00054555 0.000490914 0.041382 0.0375227 40 3621 23 6.99608e+06 250167 706193. 2443.58 4.89 0.237975 0.206987 26914 176310 -1 3228 19 2397 3654 355978 70721 5.0221 5.0221 -186.27 -5.0221 0 0 926341. 3205.33 0.35 0.11 0.16 -1 -1 0.35 0.0279932 0.0249959 105 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 8.76 vpr 64.63 MiB -1 -1 0.14 20208 1 0.03 -1 -1 33784 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 26.1 MiB 0.98 841 12716 4556 6340 1820 64.6 MiB 0.08 0.00 2.70223 -81.2143 -2.70223 2.70223 0.94 0.000366298 0.000334202 0.0313375 0.0286493 34 2046 27 6.99608e+06 191304 618332. 2139.56 4.60 0.165794 0.143763 25762 151098 -1 1788 21 999 1600 152648 30780 2.78632 2.78632 -103.263 -2.78632 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0181277 0.0159848 54 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 9.43 vpr 65.81 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33696 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67388 32 32 412 334 1 258 84 17 17 289 -1 unnamed_device 27.2 MiB 2.97 1180 15822 6054 7062 2706 65.8 MiB 0.12 0.00 3.87123 -131.912 -3.87123 3.87123 0.94 0.000554264 0.000502457 0.0505685 0.0459954 38 3994 33 6.99608e+06 294314 678818. 2348.85 3.09 0.175861 0.15545 26626 170182 -1 3057 21 2449 3067 292386 61744 5.2379 5.2379 -186.718 -5.2379 0 0 902133. 3121.57 0.35 0.10 0.15 -1 -1 0.35 0.0303609 0.0270597 116 87 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 10.85 vpr 65.36 MiB -1 -1 0.16 20516 1 0.03 -1 -1 33728 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66924 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 26.6 MiB 0.97 945 11604 4837 6431 336 65.4 MiB 0.09 0.00 3.63182 -126.713 -3.63182 3.63182 0.96 0.000547097 0.000495629 0.0377244 0.0343465 46 3220 47 6.99608e+06 235451 828058. 2865.25 6.41 0.187138 0.16403 28066 200906 -1 2157 22 2576 3248 307437 67830 4.3546 4.3546 -157.593 -4.3546 0 0 1.01997e+06 3529.29 0.39 0.10 0.19 -1 -1 0.39 0.0280082 0.0250149 110 93 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 9.81 vpr 65.14 MiB -1 -1 0.17 20836 1 0.03 -1 -1 33836 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 32 32 360 293 1 219 79 17 17 289 -1 unnamed_device 26.7 MiB 1.57 998 9036 3650 5045 341 65.1 MiB 0.08 0.00 3.03377 -100.173 -3.03377 3.03377 0.96 0.000504787 0.000459073 0.0302188 0.0276032 44 2815 41 6.99608e+06 220735 787024. 2723.27 4.85 0.230104 0.200698 27778 195446 -1 2022 21 1521 2038 138886 31608 3.34951 3.34951 -122.125 -3.34951 0 0 997811. 3452.63 0.39 0.07 0.19 -1 -1 0.39 0.0257737 0.0229309 94 57 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 8.07 vpr 65.62 MiB -1 -1 0.17 20512 1 0.03 -1 -1 33764 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 32 32 396 299 1 228 79 17 17 289 -1 unnamed_device 27.0 MiB 1.04 1132 14444 5309 6767 2368 65.6 MiB 0.13 0.00 4.65368 -140.023 -4.65368 4.65368 0.98 0.00057248 0.000520431 0.0519823 0.0474227 46 3038 33 6.99608e+06 220735 828058. 2865.25 3.48 0.197569 0.174086 28066 200906 -1 2440 22 2102 3144 258061 51832 4.8595 4.8595 -165.481 -4.8595 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0292925 0.0261703 98 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 11.61 vpr 64.32 MiB -1 -1 0.14 20304 1 0.03 -1 -1 33812 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65868 30 32 224 207 1 132 74 17 17 289 -1 unnamed_device 25.8 MiB 0.72 479 9529 3121 5078 1330 64.3 MiB 0.06 0.00 2.28455 -79.4386 -2.28455 2.28455 0.98 0.000350518 0.000321301 0.0234997 0.0214708 40 1375 29 6.99608e+06 176588 706193. 2443.58 7.62 0.192607 0.166255 26914 176310 -1 1167 21 896 1114 106318 25749 2.65968 2.65968 -99.1298 -2.65968 0 0 926341. 3205.33 0.34 0.05 0.17 -1 -1 0.34 0.0170752 0.0150924 53 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 11.09 vpr 64.67 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33644 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 30 32 286 239 1 157 76 17 17 289 -1 unnamed_device 26.2 MiB 3.70 622 11276 3909 5778 1589 64.7 MiB 0.08 0.00 3.15062 -95.7915 -3.15062 3.15062 0.94 0.000396666 0.000359625 0.0311551 0.0283115 46 1580 20 6.99608e+06 206020 828058. 2865.25 4.08 0.195191 0.16905 28066 200906 -1 1390 23 889 1416 160748 38954 3.56606 3.56606 -122.412 -3.56606 0 0 1.01997e+06 3529.29 0.40 0.06 0.18 -1 -1 0.40 0.0215859 0.0190333 68 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 19.82 vpr 65.00 MiB -1 -1 0.15 20144 1 0.03 -1 -1 33880 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 296 247 1 182 81 17 17 289 -1 unnamed_device 26.5 MiB 0.63 727 11106 4168 5512 1426 65.0 MiB 0.08 0.00 3.05994 -96.9807 -3.05994 3.05994 0.97 0.000447098 0.000407399 0.0310514 0.0283238 50 2260 31 6.99608e+06 250167 902133. 3121.57 15.77 0.252176 0.219428 28642 213929 -1 1777 24 1401 2211 229794 50355 3.60636 3.60636 -129.999 -3.60636 0 0 1.08113e+06 3740.92 0.41 0.08 0.20 -1 -1 0.41 0.0239596 0.0211671 78 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 7.29 vpr 64.48 MiB -1 -1 0.15 20300 1 0.03 -1 -1 33840 -1 -1 16 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 25 32 216 194 1 136 73 17 17 289 -1 unnamed_device 25.9 MiB 1.16 490 7825 3171 3964 690 64.5 MiB 0.05 0.00 2.77723 -63.3331 -2.77723 2.77723 0.98 0.000339224 0.000308425 0.0192032 0.0175167 38 1645 28 6.99608e+06 235451 678818. 2348.85 2.91 0.107179 0.093396 26626 170182 -1 1037 20 796 1065 63026 16013 2.95662 2.95662 -78.8281 -2.95662 0 0 902133. 3121.57 0.36 0.04 0.16 -1 -1 0.36 0.0162875 0.01445 59 19 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 11.39 vpr 65.43 MiB -1 -1 0.16 20576 1 0.03 -1 -1 33972 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67004 32 32 376 307 1 234 81 17 17 289 -1 unnamed_device 26.9 MiB 3.34 1234 10581 2568 6699 1314 65.4 MiB 0.09 0.00 3.12752 -107.9 -3.12752 3.12752 0.95 0.000538578 0.000492222 0.0347053 0.0317499 46 2988 21 6.99608e+06 250167 828058. 2865.25 4.62 0.233571 0.205414 28066 200906 -1 2475 20 1945 2876 207905 43904 3.66072 3.66072 -131.363 -3.66072 0 0 1.01997e+06 3529.29 0.40 0.08 0.19 -1 -1 0.40 0.0273253 0.0244891 103 69 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 11.20 vpr 65.35 MiB -1 -1 0.18 20760 1 0.03 -1 -1 33752 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66916 31 32 409 331 1 258 82 17 17 289 -1 unnamed_device 26.8 MiB 2.62 1162 15568 6070 6724 2774 65.3 MiB 0.13 0.00 3.58081 -118.825 -3.58081 3.58081 0.97 0.000564026 0.000513035 0.0524486 0.0477896 46 3130 26 6.99608e+06 279598 828058. 2865.25 5.06 0.254341 0.222835 28066 200906 -1 2322 24 2126 2851 208153 45875 4.23845 4.23845 -148.194 -4.23845 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0318388 0.0283159 117 86 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_001.v common 17.26 vpr 64.68 MiB -1 -1 0.23 20956 14 0.32 -1 -1 37192 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 32 32 277 309 1 203 83 17 17 289 -1 unnamed_device 26.3 MiB 1.97 1299 10523 2778 6382 1363 64.7 MiB 0.09 0.00 6.81046 -146.858 -6.81046 6.81046 0.93 0.000628061 0.000567725 0.0400117 0.0362747 36 3672 24 6.79088e+06 255968 648988. 2245.63 11.60 0.285878 0.249661 25390 158009 -1 3031 22 1600 4520 284403 61028 7.33618 7.33618 -169.083 -7.33618 0 0 828058. 2865.25 0.33 0.11 0.15 -1 -1 0.33 0.037231 0.0334405 130 182 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_002.v common 10.40 vpr 64.80 MiB -1 -1 0.23 20788 14 0.35 -1 -1 36468 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 30 32 272 304 1 194 81 17 17 289 -1 unnamed_device 26.4 MiB 2.57 1040 10581 2735 5587 2259 64.8 MiB 0.09 0.00 6.24419 -126.365 -6.24419 6.24419 0.95 0.000612963 0.000560092 0.0408935 0.0370097 34 3433 36 6.79088e+06 255968 618332. 2139.56 4.15 0.220851 0.194832 25102 150614 -1 2846 22 1563 4302 293522 65894 7.20733 7.20733 -160.059 -7.20733 0 0 787024. 2723.27 0.31 0.10 0.13 -1 -1 0.31 0.0348505 0.0311806 125 181 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_003.v common 12.81 vpr 64.81 MiB -1 -1 0.21 20672 11 0.29 -1 -1 36684 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 32 32 280 312 1 193 83 17 17 289 -1 unnamed_device 26.4 MiB 3.92 1253 8543 2297 5708 538 64.8 MiB 0.08 0.00 5.55944 -125.582 -5.55944 5.55944 0.94 0.000618069 0.000560342 0.0335358 0.0303955 36 3229 30 6.79088e+06 255968 648988. 2245.63 5.25 0.268414 0.235052 25390 158009 -1 2765 17 1269 3695 216110 48332 6.0572 6.0572 -145.754 -6.0572 0 0 828058. 2865.25 0.34 0.08 0.14 -1 -1 0.34 0.0310439 0.0281058 130 185 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_004.v common 7.46 vpr 65.03 MiB -1 -1 0.22 20528 12 0.41 -1 -1 36236 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 29 32 275 307 1 202 85 17 17 289 -1 unnamed_device 26.6 MiB 1.30 1191 5665 1381 3728 556 65.0 MiB 0.06 0.00 6.16917 -123.049 -6.16917 6.16917 0.93 0.000626297 0.000565894 0.0229646 0.0209245 38 2917 22 6.79088e+06 323328 678818. 2348.85 2.43 0.173228 0.15181 25966 169698 -1 2430 17 1253 3534 170307 39210 6.36938 6.36938 -137.392 -6.36938 0 0 902133. 3121.57 0.36 0.07 0.16 -1 -1 0.36 0.031901 0.0289424 136 186 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_005.v common 9.22 vpr 65.04 MiB -1 -1 0.23 20980 13 0.35 -1 -1 36300 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 302 334 1 234 86 17 17 289 -1 unnamed_device 26.5 MiB 2.10 1366 11615 3128 7379 1108 65.0 MiB 0.11 0.00 6.88531 -147.057 -6.88531 6.88531 0.96 0.000710471 0.000647554 0.047762 0.0433863 38 3674 31 6.79088e+06 296384 678818. 2348.85 3.34 0.233362 0.206369 25966 169698 -1 2989 15 1447 3795 198280 45178 7.13591 7.13591 -163.814 -7.13591 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0295655 0.0267772 152 207 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_006.v common 22.19 vpr 64.95 MiB -1 -1 0.24 20772 13 0.31 -1 -1 36456 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 32 32 292 324 1 210 83 17 17 289 -1 unnamed_device 26.5 MiB 1.95 1303 11243 2808 6626 1809 64.9 MiB 0.10 0.00 6.45897 -132.965 -6.45897 6.45897 0.94 0.000660496 0.000595336 0.0451985 0.0407985 38 3327 26 6.79088e+06 255968 678818. 2348.85 16.54 0.356729 0.310076 25966 169698 -1 2881 19 1343 4141 211307 47509 6.83487 6.83487 -155.001 -6.83487 0 0 902133. 3121.57 0.33 0.08 0.16 -1 -1 0.33 0.0325155 0.0292254 137 197 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_007.v common 6.24 vpr 64.51 MiB -1 -1 0.18 20548 12 0.23 -1 -1 36552 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 27 32 229 261 1 168 80 17 17 289 -1 unnamed_device 26.1 MiB 1.54 855 8336 2203 5398 735 64.5 MiB 0.07 0.00 5.62102 -103.783 -5.62102 5.62102 0.93 0.000542666 0.000492072 0.0283114 0.0256968 30 2561 37 6.79088e+06 282912 556674. 1926.21 1.37 0.123378 0.108344 24526 138013 -1 1946 18 1103 2594 138224 32534 6.20483 6.20483 -124.443 -6.20483 0 0 706193. 2443.58 0.29 0.06 0.12 -1 -1 0.29 0.025055 0.022392 106 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_008.v common 22.59 vpr 64.38 MiB -1 -1 0.19 20536 12 0.24 -1 -1 36388 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 31 32 229 261 1 188 80 17 17 289 -1 unnamed_device 25.9 MiB 3.29 1145 12636 4174 6508 1954 64.4 MiB 0.10 0.00 5.2739 -114.048 -5.2739 5.2739 0.93 0.000515105 0.000468095 0.0414477 0.0377673 36 3442 48 6.79088e+06 229024 648988. 2245.63 15.82 0.294262 0.257836 25390 158009 -1 2797 20 1293 3311 228694 49212 5.65324 5.65324 -137.45 -5.65324 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0268302 0.0237972 106 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_009.v common 9.96 vpr 64.34 MiB -1 -1 0.22 20424 12 0.21 -1 -1 36592 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 31 32 235 267 1 194 83 17 17 289 -1 unnamed_device 25.8 MiB 3.63 1133 5483 1060 4224 199 64.3 MiB 0.05 0.00 5.70019 -124.604 -5.70019 5.70019 0.98 0.00054621 0.000496898 0.0201244 0.0183905 38 3034 45 6.79088e+06 269440 678818. 2348.85 2.79 0.176588 0.155028 25966 169698 -1 2434 16 1179 3097 179690 39241 6.07609 6.07609 -142.229 -6.07609 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0234633 0.0211285 113 142 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_010.v common 11.07 vpr 64.75 MiB -1 -1 0.21 20540 13 0.24 -1 -1 36708 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 250 282 1 182 79 17 17 289 -1 unnamed_device 26.2 MiB 2.50 1138 11402 3072 6495 1835 64.8 MiB 0.09 0.00 6.15798 -138.641 -6.15798 6.15798 0.93 0.000572512 0.000517884 0.0413511 0.0375159 36 3056 31 6.79088e+06 202080 648988. 2245.63 5.07 0.270493 0.235884 25390 158009 -1 2449 17 1076 2621 152862 35035 6.49817 6.49817 -160.078 -6.49817 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0267893 0.024218 106 155 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_011.v common 12.42 vpr 64.50 MiB -1 -1 0.21 20508 12 0.23 -1 -1 36404 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 30 32 216 248 1 161 79 17 17 289 -1 unnamed_device 26.1 MiB 2.06 989 12078 3726 6350 2002 64.5 MiB 0.09 0.00 5.74288 -123.577 -5.74288 5.74288 0.96 0.000516599 0.000466833 0.0392753 0.0355871 30 2636 34 6.79088e+06 229024 556674. 1926.21 6.88 0.196744 0.171888 24526 138013 -1 1984 17 854 2069 104428 24689 6.02149 6.02149 -139.714 -6.02149 0 0 706193. 2443.58 0.30 0.05 0.13 -1 -1 0.30 0.0235041 0.0212064 96 125 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_012.v common 10.79 vpr 64.61 MiB -1 -1 0.20 20624 12 0.19 -1 -1 36548 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 236 268 1 171 81 17 17 289 -1 unnamed_device 26.1 MiB 2.80 1051 8831 2517 5014 1300 64.6 MiB 0.07 0.00 5.05901 -126.733 -5.05901 5.05901 0.97 0.000532786 0.000484359 0.0300436 0.0272463 44 2602 16 6.79088e+06 229024 787024. 2723.27 4.45 0.200732 0.175305 27118 194962 -1 2163 15 938 2513 132834 29872 5.30961 5.30961 -140.806 -5.30961 0 0 997811. 3452.63 0.38 0.05 0.18 -1 -1 0.38 0.0217292 0.0195989 101 141 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_013.v common 8.05 vpr 64.83 MiB -1 -1 0.23 20908 13 0.32 -1 -1 35964 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 283 315 1 215 84 17 17 289 -1 unnamed_device 26.4 MiB 2.28 1365 12528 3458 6809 2261 64.8 MiB 0.11 0.00 6.68505 -140.507 -6.68505 6.68505 0.93 0.000628268 0.000557401 0.0475346 0.0430928 38 3314 27 6.79088e+06 269440 678818. 2348.85 2.05 0.179962 0.159061 25966 169698 -1 2830 15 1238 3320 186698 41099 7.06095 7.06095 -163.164 -7.06095 0 0 902133. 3121.57 0.36 0.07 0.16 -1 -1 0.36 0.0277323 0.025187 134 188 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_014.v common 8.59 vpr 65.01 MiB -1 -1 0.23 20732 14 0.38 -1 -1 36788 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 303 335 1 230 86 17 17 289 -1 unnamed_device 26.5 MiB 2.28 1404 6890 1519 4936 435 65.0 MiB 0.07 0.00 7.01072 -151.737 -7.01072 7.01072 0.98 0.000720525 0.000644078 0.0301508 0.0272419 40 3266 31 6.79088e+06 296384 706193. 2443.58 2.47 0.231133 0.204221 26254 175826 -1 3053 20 1628 4095 242186 54877 7.47267 7.47267 -172.737 -7.47267 0 0 926341. 3205.33 0.37 0.09 0.16 -1 -1 0.37 0.035579 0.0319821 151 208 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_015.v common 11.05 vpr 64.16 MiB -1 -1 0.19 20408 11 0.22 -1 -1 36496 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65696 29 32 225 257 1 176 82 17 17 289 -1 unnamed_device 25.7 MiB 2.82 923 11474 4154 5232 2088 64.2 MiB 0.09 0.00 5.52794 -112.023 -5.52794 5.52794 0.93 0.000505177 0.000457215 0.0360539 0.032755 44 2485 23 6.79088e+06 282912 787024. 2723.27 4.62 0.238476 0.208243 27118 194962 -1 1950 32 1011 2478 312238 153704 5.77854 5.77854 -126.753 -5.77854 0 0 997811. 3452.63 0.40 0.14 0.18 -1 -1 0.40 0.0373262 0.0330992 106 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_016.v common 10.22 vpr 65.07 MiB -1 -1 0.23 20728 12 0.35 -1 -1 36416 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 301 333 1 221 88 17 17 289 -1 unnamed_device 26.5 MiB 1.71 1140 13348 3535 7104 2709 65.1 MiB 0.12 0.00 6.12997 -130.612 -6.12997 6.12997 0.97 0.000692136 0.000625187 0.0521465 0.0472679 46 3419 42 6.79088e+06 323328 828058. 2865.25 4.58 0.246546 0.216735 27406 200422 -1 2644 31 1506 4789 398275 142505 6.83138 6.83138 -155.028 -6.83138 0 0 1.01997e+06 3529.29 0.38 0.15 0.19 -1 -1 0.38 0.0475451 0.0422937 145 206 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_017.v common 12.52 vpr 64.91 MiB -1 -1 0.22 20808 14 0.30 -1 -1 36584 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 277 309 1 210 83 17 17 289 -1 unnamed_device 26.5 MiB 2.62 1341 7103 1796 4851 456 64.9 MiB 0.07 0.00 6.59895 -142.265 -6.59895 6.59895 0.93 0.00059692 0.000538126 0.0280339 0.0254169 38 3648 31 6.79088e+06 255968 678818. 2348.85 6.30 0.201566 0.177794 25966 169698 -1 2977 15 1310 3722 217820 46492 7.22545 7.22545 -165.27 -7.22545 0 0 902133. 3121.57 0.34 0.08 0.14 -1 -1 0.34 0.0279766 0.0253782 126 182 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_018.v common 12.60 vpr 64.50 MiB -1 -1 0.20 20780 12 0.20 -1 -1 36392 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 32 32 227 259 1 172 79 17 17 289 -1 unnamed_device 26.0 MiB 2.08 918 12078 5073 6627 378 64.5 MiB 0.09 0.00 5.71487 -130.672 -5.71487 5.71487 0.94 0.000521764 0.000471102 0.0405879 0.0367122 36 2889 44 6.79088e+06 202080 648988. 2245.63 7.06 0.257809 0.224273 25390 158009 -1 2217 17 1036 2566 160123 36453 5.84017 5.84017 -145.994 -5.84017 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0236909 0.021327 105 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_019.v common 9.79 vpr 63.75 MiB -1 -1 0.18 20168 10 0.12 -1 -1 36728 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65280 30 32 175 207 1 133 75 17 17 289 -1 unnamed_device 25.3 MiB 2.41 792 8765 2915 4474 1376 63.8 MiB 0.06 0.00 4.04526 -100.707 -4.04526 4.04526 0.93 0.000404718 0.000367681 0.0234848 0.0213098 36 2040 23 6.79088e+06 175136 648988. 2245.63 4.14 0.141632 0.12273 25390 158009 -1 1689 15 651 1453 98002 21538 4.20278 4.20278 -117.736 -4.20278 0 0 828058. 2865.25 0.33 0.04 0.15 -1 -1 0.33 0.0170487 0.0153682 66 84 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_020.v common 10.21 vpr 64.31 MiB -1 -1 0.20 20512 13 0.23 -1 -1 36096 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65856 31 32 231 263 1 186 81 17 17 289 -1 unnamed_device 25.8 MiB 2.58 1123 12156 3644 6546 1966 64.3 MiB 0.10 0.00 6.16922 -132.125 -6.16922 6.16922 0.96 0.000535341 0.000486143 0.0412404 0.0375444 36 2955 20 6.79088e+06 242496 648988. 2245.63 4.10 0.173362 0.15268 25390 158009 -1 2369 19 1080 2563 166156 39708 6.41628 6.41628 -148.504 -6.41628 0 0 828058. 2865.25 0.31 0.07 0.15 -1 -1 0.31 0.0273252 0.0244994 107 138 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_021.v common 10.91 vpr 65.12 MiB -1 -1 0.23 20800 13 0.36 -1 -1 36300 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 304 336 1 224 85 17 17 289 -1 unnamed_device 26.6 MiB 2.24 1341 14035 4079 8203 1753 65.1 MiB 0.13 0.00 6.38406 -139.509 -6.38406 6.38406 0.94 0.000692484 0.000628013 0.0563446 0.0510414 44 3467 21 6.79088e+06 282912 787024. 2723.27 4.84 0.290169 0.255028 27118 194962 -1 2831 18 1401 3916 212274 47405 6.54507 6.54507 -154.76 -6.54507 0 0 997811. 3452.63 0.38 0.08 0.18 -1 -1 0.38 0.0320077 0.0288544 143 209 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_022.v common 11.33 vpr 64.98 MiB -1 -1 0.24 20676 13 0.36 -1 -1 36740 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 32 32 288 320 1 216 85 17 17 289 -1 unnamed_device 26.5 MiB 2.76 1414 10129 2962 5945 1222 65.0 MiB 0.09 0.00 6.21612 -140.759 -6.21612 6.21612 0.93 0.000656887 0.000587007 0.0396089 0.0357035 38 3926 40 6.79088e+06 282912 678818. 2348.85 4.78 0.227354 0.199854 25966 169698 -1 3305 18 1408 4168 262278 55329 6.71732 6.71732 -161.021 -6.71732 0 0 902133. 3121.57 0.35 0.09 0.16 -1 -1 0.35 0.0339237 0.0307966 141 193 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_023.v common 5.62 vpr 64.03 MiB -1 -1 0.16 20128 9 0.11 -1 -1 36160 -1 -1 18 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65564 26 32 152 184 1 122 76 17 17 289 -1 unnamed_device 25.6 MiB 1.38 674 11276 4270 5797 1209 64.0 MiB 0.07 0.00 3.8527 -78.6612 -3.8527 3.8527 0.98 0.000367706 0.000332699 0.0275103 0.0250869 30 1649 19 6.79088e+06 242496 556674. 1926.21 0.99 0.075689 0.0667521 24526 138013 -1 1320 15 627 1410 70100 16893 3.8527 3.8527 -88.9122 -3.8527 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0156302 0.013982 67 69 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_024.v common 10.68 vpr 64.86 MiB -1 -1 0.21 20552 13 0.35 -1 -1 36380 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 287 319 1 214 87 17 17 289 -1 unnamed_device 26.4 MiB 2.26 1311 8919 2297 6321 301 64.9 MiB 0.09 0.00 6.87423 -142.05 -6.87423 6.87423 0.97 0.000625599 0.000557094 0.0356567 0.032218 44 3190 21 6.79088e+06 309856 787024. 2723.27 4.56 0.275027 0.242591 27118 194962 -1 2618 16 1363 3645 195802 43997 7.12483 7.12483 -157.277 -7.12483 0 0 997811. 3452.63 0.40 0.08 0.18 -1 -1 0.40 0.0314589 0.0286075 136 192 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_025.v common 11.61 vpr 64.04 MiB -1 -1 0.16 20044 8 0.11 -1 -1 36056 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65580 32 32 154 186 1 126 75 17 17 289 -1 unnamed_device 25.7 MiB 2.37 594 4973 1132 3695 146 64.0 MiB 0.04 0.00 3.54052 -79.1271 -3.54052 3.54052 0.93 0.000358044 0.000325899 0.0128407 0.0117094 30 2154 45 6.79088e+06 148192 556674. 1926.21 6.13 0.130205 0.112843 24526 138013 -1 1510 20 698 1510 80703 20544 3.73718 3.73718 -97.2866 -3.73718 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0165499 0.0146817 60 59 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_026.v common 9.77 vpr 64.84 MiB -1 -1 0.22 20556 15 0.29 -1 -1 36328 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 254 286 1 202 82 17 17 289 -1 unnamed_device 26.5 MiB 2.64 1261 13254 4224 6686 2344 64.8 MiB 0.11 0.00 7.39781 -151.302 -7.39781 7.39781 0.98 0.000605673 0.000541739 0.0489778 0.0445019 36 3847 36 6.79088e+06 242496 648988. 2245.63 3.43 0.178509 0.15746 25390 158009 -1 3034 18 1444 4127 268813 58703 7.55107 7.55107 -170.138 -7.55107 0 0 828058. 2865.25 0.31 0.09 0.15 -1 -1 0.31 0.0281639 0.025349 121 159 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_027.v common 9.68 vpr 64.49 MiB -1 -1 0.20 20480 13 0.27 -1 -1 36700 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 260 292 1 195 82 17 17 289 -1 unnamed_device 26.1 MiB 2.12 1140 10762 2946 5653 2163 64.5 MiB 0.09 0.00 5.68905 -123.926 -5.68905 5.68905 0.93 0.000545438 0.00049081 0.0377908 0.0341748 40 2978 31 6.79088e+06 242496 706193. 2443.58 3.88 0.201496 0.17762 26254 175826 -1 2964 31 1370 4034 650022 302772 6.19025 6.19025 -145.399 -6.19025 0 0 926341. 3205.33 0.35 0.23 0.15 -1 -1 0.35 0.0455394 0.0407566 117 165 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_028.v common 10.13 vpr 64.91 MiB -1 -1 0.21 20808 13 0.34 -1 -1 36648 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 279 311 1 202 82 17 17 289 -1 unnamed_device 26.5 MiB 1.94 1164 12186 3851 6304 2031 64.9 MiB 0.11 0.00 6.49822 -139.112 -6.49822 6.49822 0.93 0.000627234 0.000563757 0.0468911 0.042438 46 2847 21 6.79088e+06 242496 828058. 2865.25 4.44 0.265316 0.231698 27406 200422 -1 2419 16 1279 3637 185296 42770 6.87412 6.87412 -157.813 -6.87412 0 0 1.01997e+06 3529.29 0.38 0.07 0.19 -1 -1 0.38 0.0285758 0.0258481 136 184 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_029.v common 10.04 vpr 64.51 MiB -1 -1 0.20 20400 12 0.20 -1 -1 36456 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 32 32 238 270 1 186 80 17 17 289 -1 unnamed_device 26.0 MiB 2.37 1104 11260 3726 5788 1746 64.5 MiB 0.09 0.00 5.61414 -128.399 -5.61414 5.61414 0.96 0.00049585 0.000442432 0.0383478 0.0346578 36 2820 31 6.79088e+06 215552 648988. 2245.63 4.17 0.175228 0.153174 25390 158009 -1 2302 16 969 2305 148969 32803 5.86474 5.86474 -145.44 -5.86474 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0253163 0.0229384 103 143 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_030.v common 8.83 vpr 64.34 MiB -1 -1 0.21 20496 11 0.19 -1 -1 36432 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 30 32 213 245 1 164 80 17 17 289 -1 unnamed_device 25.9 MiB 2.26 1022 9024 2221 5386 1417 64.3 MiB 0.08 0.00 5.07364 -114.347 -5.07364 5.07364 0.98 0.000526609 0.000481526 0.0290015 0.0263833 34 2870 38 6.79088e+06 242496 618332. 2139.56 3.12 0.135793 0.119064 25102 150614 -1 2402 22 1151 2765 196108 42621 5.64199 5.64199 -136.607 -5.64199 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.026204 0.0233053 95 122 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_031.v common 8.16 vpr 64.48 MiB -1 -1 0.19 20480 11 0.21 -1 -1 36324 -1 -1 21 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 28 32 227 259 1 171 81 17 17 289 -1 unnamed_device 26.1 MiB 1.80 997 7781 1903 4744 1134 64.5 MiB 0.06 0.00 5.49223 -108.467 -5.49223 5.49223 0.93 0.000542882 0.000484705 0.0255346 0.0231583 34 2798 45 6.79088e+06 282912 618332. 2139.56 3.00 0.183018 0.16108 25102 150614 -1 2220 17 974 2652 172430 38216 5.99343 5.99343 -126.373 -5.99343 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0244641 0.0220213 109 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_032.v common 9.08 vpr 64.65 MiB -1 -1 0.19 20608 12 0.25 -1 -1 36700 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 274 306 1 209 81 17 17 289 -1 unnamed_device 26.3 MiB 3.04 1143 12506 4276 5841 2389 64.6 MiB 0.10 0.00 5.90738 -136.439 -5.90738 5.90738 0.94 0.000598377 0.000538195 0.0468526 0.0423895 36 3324 46 6.79088e+06 229024 648988. 2245.63 2.49 0.207605 0.182973 25390 158009 -1 2648 16 1362 3298 196291 45445 6.15798 6.15798 -154.707 -6.15798 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0269554 0.0242479 119 179 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_033.v common 8.40 vpr 64.51 MiB -1 -1 0.17 20504 12 0.18 -1 -1 36300 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66056 31 32 237 269 1 176 80 17 17 289 -1 unnamed_device 26.0 MiB 2.54 971 7648 1699 5716 233 64.5 MiB 0.06 0.00 5.55148 -116.926 -5.55148 5.55148 0.90 0.000512583 0.000467471 0.0258266 0.0236038 34 2955 22 6.79088e+06 229024 618332. 2139.56 2.59 0.125165 0.109637 25102 150614 -1 2255 20 1380 3595 201195 46352 5.98224 5.98224 -140.501 -5.98224 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0267726 0.0238963 101 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_034.v common 8.44 vpr 64.31 MiB -1 -1 0.20 20348 10 0.18 -1 -1 36328 -1 -1 17 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65852 29 32 220 252 1 166 78 17 17 289 -1 unnamed_device 25.8 MiB 1.80 976 9872 2681 6406 785 64.3 MiB 0.08 0.00 4.98748 -113.133 -4.98748 4.98748 0.92 0.000500068 0.000452085 0.0331072 0.0300179 36 2609 20 6.79088e+06 229024 648988. 2245.63 3.24 0.158722 0.139227 25390 158009 -1 2083 14 857 2337 135720 30390 5.23808 5.23808 -125.914 -5.23808 0 0 828058. 2865.25 0.33 0.05 0.15 -1 -1 0.33 0.0212025 0.0192177 103 131 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_035.v common 9.58 vpr 65.15 MiB -1 -1 0.23 21344 13 0.35 -1 -1 36668 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 315 347 1 232 85 17 17 289 -1 unnamed_device 26.6 MiB 2.11 1344 14035 4538 7322 2175 65.2 MiB 0.12 0.00 6.6382 -140.913 -6.6382 6.6382 0.92 0.000657345 0.000590431 0.0553058 0.0498077 46 3254 22 6.79088e+06 282912 828058. 2865.25 3.68 0.238632 0.210494 27406 200422 -1 2749 18 1485 4253 211608 47882 6.6851 6.6851 -154.139 -6.6851 0 0 1.01997e+06 3529.29 0.39 0.08 0.17 -1 -1 0.39 0.034676 0.0312681 149 220 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_036.v common 9.27 vpr 64.75 MiB -1 -1 0.23 21268 14 0.41 -1 -1 36800 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 282 314 1 225 82 17 17 289 -1 unnamed_device 26.3 MiB 2.62 1246 6668 1385 5059 224 64.8 MiB 0.07 0.00 6.74118 -146.348 -6.74118 6.74118 0.93 0.000633444 0.000572397 0.0280726 0.0254779 44 3474 26 6.79088e+06 242496 787024. 2723.27 2.79 0.186893 0.163896 27118 194962 -1 2713 17 1366 3757 196074 44765 7.07788 7.07788 -164.183 -7.07788 0 0 997811. 3452.63 0.38 0.08 0.18 -1 -1 0.38 0.0306914 0.0278111 136 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_037.v common 9.27 vpr 64.59 MiB -1 -1 0.20 20672 12 0.19 -1 -1 36380 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 31 32 241 273 1 173 79 17 17 289 -1 unnamed_device 26.1 MiB 2.62 1100 8867 2378 5663 826 64.6 MiB 0.07 0.00 5.82898 -130.14 -5.82898 5.82898 0.96 0.000560435 0.000506892 0.03194 0.0289122 34 2989 36 6.79088e+06 215552 618332. 2139.56 3.19 0.154907 0.135813 25102 150614 -1 2483 19 1094 3030 201715 43922 6.49119 6.49119 -154.028 -6.49119 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0252326 0.0225166 101 148 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_038.v common 12.79 vpr 64.75 MiB -1 -1 0.22 20672 12 0.34 -1 -1 36624 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 31 32 307 339 1 226 87 17 17 289 -1 unnamed_device 26.2 MiB 2.66 1378 8919 2182 5703 1034 64.8 MiB 0.08 0.00 6.09421 -131.718 -6.09421 6.09421 0.91 0.000758874 0.000685128 0.0351807 0.0320019 44 3592 40 6.79088e+06 323328 787024. 2723.27 6.44 0.290285 0.254007 27118 194962 -1 2809 17 1369 4155 223401 48918 6.34481 6.34481 -143.707 -6.34481 0 0 997811. 3452.63 0.37 0.08 0.18 -1 -1 0.37 0.0312757 0.0283403 146 214 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_039.v common 7.89 vpr 65.05 MiB -1 -1 0.23 20952 14 0.41 -1 -1 37052 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 31 32 293 325 1 209 85 17 17 289 -1 unnamed_device 26.6 MiB 1.56 1297 9757 2667 6293 797 65.1 MiB 0.09 0.00 6.88537 -142.73 -6.88537 6.88537 0.94 0.000675978 0.000614496 0.039528 0.0359191 36 3328 21 6.79088e+06 296384 648988. 2245.63 2.58 0.216543 0.191683 25390 158009 -1 2849 18 1261 3519 201520 46536 7.42577 7.42577 -159.939 -7.42577 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0340674 0.0309425 142 200 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_040.v common 9.40 vpr 64.86 MiB -1 -1 0.25 21152 13 0.32 -1 -1 36236 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 31 32 276 308 1 215 86 17 17 289 -1 unnamed_device 26.4 MiB 2.49 1206 4433 888 3276 269 64.9 MiB 0.05 0.00 7.31166 -143.361 -7.31166 7.31166 0.96 0.000645939 0.000585724 0.0194826 0.017825 36 3828 50 6.79088e+06 309856 648988. 2245.63 3.26 0.195938 0.171679 25390 158009 -1 2885 17 1357 3476 208327 47434 7.43696 7.43696 -159.65 -7.43696 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.030141 0.0272386 136 183 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_041.v common 10.05 vpr 64.91 MiB -1 -1 0.21 20724 13 0.30 -1 -1 36348 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 31 32 269 301 1 204 84 17 17 289 -1 unnamed_device 26.5 MiB 2.15 1198 12162 3885 6108 2169 64.9 MiB 0.10 0.00 6.67042 -135.628 -6.67042 6.67042 0.91 0.000588828 0.000534691 0.0441593 0.0401473 40 3209 25 6.79088e+06 282912 706193. 2443.58 4.25 0.214297 0.191199 26254 175826 -1 3004 31 1535 4503 575928 217053 7.04632 7.04632 -156.016 -7.04632 0 0 926341. 3205.33 0.34 0.20 0.15 -1 -1 0.34 0.0488638 0.044089 125 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_042.v common 10.44 vpr 64.55 MiB -1 -1 0.20 20380 12 0.23 -1 -1 36592 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 264 296 1 184 80 17 17 289 -1 unnamed_device 26.0 MiB 2.40 1008 12120 4187 5866 2067 64.5 MiB 0.10 0.00 5.64559 -119.642 -5.64559 5.64559 0.94 0.000567431 0.000512455 0.0449688 0.0407435 36 3080 33 6.79088e+06 215552 648988. 2245.63 4.48 0.241625 0.211212 25390 158009 -1 2312 15 1073 2913 171077 39853 5.99343 5.99343 -138.765 -5.99343 0 0 828058. 2865.25 0.34 0.07 0.15 -1 -1 0.34 0.0257298 0.0233742 111 169 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_043.v common 11.64 vpr 65.20 MiB -1 -1 0.26 21440 14 0.50 -1 -1 36872 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 324 356 1 241 85 17 17 289 -1 unnamed_device 26.8 MiB 1.41 1568 9199 2388 6033 778 65.2 MiB 0.10 0.00 6.7243 -147.587 -6.7243 6.7243 0.95 0.000752028 0.000681722 0.0424814 0.038511 44 4095 27 6.79088e+06 282912 787024. 2723.27 6.18 0.301037 0.26417 27118 194962 -1 3300 16 1502 4562 253187 56557 7.4761 7.4761 -172.344 -7.4761 0 0 997811. 3452.63 0.39 0.09 0.18 -1 -1 0.39 0.0357206 0.0326359 159 229 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_044.v common 9.48 vpr 64.36 MiB -1 -1 0.18 20568 11 0.23 -1 -1 36152 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 31 32 249 281 1 186 79 17 17 289 -1 unnamed_device 25.8 MiB 2.54 1170 6501 1525 4634 342 64.4 MiB 0.06 0.00 5.48104 -120.034 -5.48104 5.48104 0.92 0.000522657 0.000470557 0.0238407 0.0215797 38 3174 42 6.79088e+06 215552 678818. 2348.85 3.54 0.189396 0.1662 25966 169698 -1 2582 16 1250 3485 194607 42620 5.61747 5.61747 -135.279 -5.61747 0 0 902133. 3121.57 0.34 0.07 0.14 -1 -1 0.34 0.0265283 0.023866 112 156 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_045.v common 11.70 vpr 65.09 MiB -1 -1 0.24 20620 13 0.34 -1 -1 36424 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 31 32 284 316 1 193 83 17 17 289 -1 unnamed_device 26.6 MiB 2.15 1191 11423 2968 6267 2188 65.1 MiB 0.10 0.00 6.38411 -136.826 -6.38411 6.38411 0.94 0.000631518 0.00057457 0.0442223 0.0400526 36 3540 21 6.79088e+06 269440 648988. 2245.63 5.81 0.196602 0.172393 25390 158009 -1 2714 33 1522 5056 418383 148136 7.04632 7.04632 -159.692 -7.04632 0 0 828058. 2865.25 0.31 0.16 0.15 -1 -1 0.31 0.0464501 0.0411636 137 191 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_046.v common 11.42 vpr 65.17 MiB -1 -1 0.22 20740 12 0.32 -1 -1 36580 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 303 335 1 212 85 17 17 289 -1 unnamed_device 26.7 MiB 2.33 1242 14965 5585 7301 2079 65.2 MiB 0.14 0.00 5.95428 -129.63 -5.95428 5.95428 0.97 0.000653731 0.000588702 0.0595986 0.053713 38 3764 29 6.79088e+06 282912 678818. 2348.85 5.31 0.243883 0.215738 25966 169698 -1 2949 16 1451 4566 255556 56373 6.33362 6.33362 -151.413 -6.33362 0 0 902133. 3121.57 0.33 0.09 0.15 -1 -1 0.33 0.0321632 0.0292558 146 208 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_047.v common 10.70 vpr 64.81 MiB -1 -1 0.20 20332 13 0.31 -1 -1 36404 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 32 32 272 304 1 200 86 17 17 289 -1 unnamed_device 26.4 MiB 1.65 1153 11804 3670 6382 1752 64.8 MiB 0.10 0.00 6.47021 -137.224 -6.47021 6.47021 0.98 0.000600263 0.000543594 0.0441221 0.0400862 34 3287 41 6.79088e+06 296384 618332. 2139.56 5.41 0.343904 0.303673 25102 150614 -1 2742 18 1317 3498 192578 44683 6.75652 6.75652 -157.838 -6.75652 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0286335 0.0258291 131 177 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_048.v common 11.50 vpr 64.84 MiB -1 -1 0.22 20784 13 0.27 -1 -1 37144 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 271 303 1 212 82 17 17 289 -1 unnamed_device 26.4 MiB 3.17 1131 12008 4148 5800 2060 64.8 MiB 0.10 0.00 6.13346 -131.55 -6.13346 6.13346 0.96 0.000614758 0.000551341 0.0456324 0.0411897 38 3529 34 6.79088e+06 242496 678818. 2348.85 4.69 0.211758 0.186065 25966 169698 -1 2562 16 1343 3507 198373 44501 6.21956 6.21956 -146.458 -6.21956 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0271971 0.0245642 124 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_049.v common 10.53 vpr 64.91 MiB -1 -1 0.22 20660 12 0.29 -1 -1 36508 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 288 320 1 218 84 17 17 289 -1 unnamed_device 26.4 MiB 2.24 1384 7221 1754 4791 676 64.9 MiB 0.07 0.00 6.20837 -138.171 -6.20837 6.20837 0.89 0.000608406 0.000551267 0.0283204 0.0256835 44 3380 36 6.79088e+06 269440 787024. 2723.27 4.76 0.286734 0.253803 27118 194962 -1 2829 16 1256 4111 231336 49661 6.41207 6.41207 -151.778 -6.41207 0 0 997811. 3452.63 0.37 0.08 0.16 -1 -1 0.37 0.0301487 0.0274113 140 193 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_050.v common 8.33 vpr 65.18 MiB -1 -1 0.23 21008 13 0.37 -1 -1 37080 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 306 338 1 225 84 17 17 289 -1 unnamed_device 26.7 MiB 1.91 1323 4110 692 3301 117 65.2 MiB 0.05 0.00 6.76001 -142.904 -6.76001 6.76001 0.93 0.000676756 0.000610725 0.0195992 0.0178809 38 3405 20 6.79088e+06 269440 678818. 2348.85 2.73 0.19213 0.169214 25966 169698 -1 2818 17 1423 4075 198266 46895 7.01061 7.01061 -162.029 -7.01061 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.033176 0.0300544 145 211 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_051.v common 7.89 vpr 64.80 MiB -1 -1 0.20 20740 14 0.35 -1 -1 36536 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 262 294 1 194 84 17 17 289 -1 unnamed_device 26.4 MiB 1.82 1242 13992 4125 7675 2192 64.8 MiB 0.11 0.00 6.67048 -139.801 -6.67048 6.67048 0.94 0.000606725 0.000550505 0.049722 0.0450177 36 3455 36 6.79088e+06 269440 648988. 2245.63 2.42 0.177718 0.156752 25390 158009 -1 2903 18 1348 3737 232802 51080 7.46497 7.46497 -165.286 -7.46497 0 0 828058. 2865.25 0.32 0.08 0.14 -1 -1 0.32 0.028705 0.0258503 125 167 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_052.v common 13.59 vpr 65.01 MiB -1 -1 0.23 20844 13 0.33 -1 -1 36608 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 291 323 1 214 85 17 17 289 -1 unnamed_device 26.5 MiB 2.75 1289 14221 4071 8219 1931 65.0 MiB 0.13 0.00 6.51285 -133.328 -6.51285 6.51285 0.95 0.000650425 0.000591775 0.0543698 0.0493995 36 3581 27 6.79088e+06 282912 648988. 2245.63 7.11 0.228304 0.202444 25390 158009 -1 3132 18 1532 4201 254439 56749 6.84955 6.84955 -157.417 -6.84955 0 0 828058. 2865.25 0.33 0.09 0.15 -1 -1 0.33 0.0332088 0.0300985 136 196 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_053.v common 10.76 vpr 64.93 MiB -1 -1 0.24 20880 13 0.33 -1 -1 36616 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 31 32 302 334 1 224 84 17 17 289 -1 unnamed_device 26.4 MiB 2.13 1358 6123 1356 3867 900 64.9 MiB 0.06 0.00 6.45902 -141.579 -6.45902 6.45902 0.93 0.000641123 0.000565222 0.0257229 0.0231997 38 3861 37 6.79088e+06 282912 678818. 2348.85 5.00 0.218598 0.192089 25966 169698 -1 2958 23 1795 4946 271739 59113 6.99942 6.99942 -161.933 -6.99942 0 0 902133. 3121.57 0.34 0.10 0.15 -1 -1 0.34 0.0374585 0.0333664 144 209 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_054.v common 10.90 vpr 64.96 MiB -1 -1 0.24 20964 12 0.38 -1 -1 36684 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 308 340 1 225 85 17 17 289 -1 unnamed_device 26.4 MiB 1.81 1293 13663 4448 6578 2637 65.0 MiB 0.12 0.00 6.36178 -135.889 -6.36178 6.36178 0.97 0.000696114 0.000630613 0.0549403 0.0498411 46 3261 27 6.79088e+06 282912 828058. 2865.25 5.17 0.284384 0.250893 27406 200422 -1 2628 17 1461 4068 195006 45914 6.57319 6.57319 -150.831 -6.57319 0 0 1.01997e+06 3529.29 0.41 0.08 0.18 -1 -1 0.41 0.0339686 0.0308726 147 213 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_055.v common 7.68 vpr 64.15 MiB -1 -1 0.19 20212 11 0.16 -1 -1 36356 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 32 32 216 248 1 160 78 17 17 289 -1 unnamed_device 25.8 MiB 1.54 794 5888 1237 4556 95 64.1 MiB 0.05 0.00 5.10508 -108.421 -5.10508 5.10508 0.96 0.000495437 0.000449839 0.0202063 0.0184396 34 2564 37 6.79088e+06 188608 618332. 2139.56 2.76 0.143497 0.126287 25102 150614 -1 2106 18 993 2380 161179 39315 5.56703 5.56703 -134.723 -5.56703 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0234791 0.0210947 91 121 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_056.v common 9.63 vpr 64.91 MiB -1 -1 0.20 20608 13 0.25 -1 -1 36244 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 254 286 1 197 84 17 17 289 -1 unnamed_device 26.6 MiB 2.05 1142 5391 1044 4126 221 64.9 MiB 0.05 0.00 6.36948 -134.926 -6.36948 6.36948 0.90 0.000553945 0.000502595 0.0201236 0.0184322 38 2855 37 6.79088e+06 269440 678818. 2348.85 4.19 0.221949 0.194274 25966 169698 -1 2477 16 1154 3022 168586 39433 6.74882 6.74882 -159.334 -6.74882 0 0 902133. 3121.57 0.33 0.07 0.14 -1 -1 0.33 0.0265242 0.0240159 118 159 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_057.v common 10.70 vpr 65.45 MiB -1 -1 0.25 21504 14 0.55 -1 -1 36656 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 32 32 338 370 1 251 88 17 17 289 -1 unnamed_device 26.9 MiB 1.57 1624 8668 2292 5827 549 65.5 MiB 0.10 0.00 7.64076 -154.409 -7.64076 7.64076 0.99 0.00079716 0.000714665 0.0412668 0.0372426 46 4035 24 6.79088e+06 323328 828058. 2865.25 5.04 0.240997 0.212948 27406 200422 -1 3284 17 1695 4937 251855 55567 8.1923 8.1923 -175.792 -8.1923 0 0 1.01997e+06 3529.29 0.38 0.09 0.19 -1 -1 0.38 0.0367989 0.0333663 171 243 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_058.v common 26.03 vpr 64.84 MiB -1 -1 0.22 20724 13 0.36 -1 -1 36768 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 271 303 1 215 85 17 17 289 -1 unnamed_device 26.4 MiB 1.93 1376 8827 2531 5567 729 64.8 MiB 0.08 0.00 6.76001 -145.356 -6.76001 6.76001 0.95 0.000643103 0.000583904 0.0343106 0.0311897 40 3300 27 6.79088e+06 282912 706193. 2443.58 20.26 0.372833 0.325878 26254 175826 -1 3132 26 1360 3642 422049 173601 7.13591 7.13591 -171.004 -7.13591 0 0 926341. 3205.33 0.35 0.16 0.17 -1 -1 0.35 0.0408352 0.036534 134 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_059.v common 7.95 vpr 64.29 MiB -1 -1 0.19 20484 11 0.20 -1 -1 36244 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65836 30 32 224 256 1 163 79 17 17 289 -1 unnamed_device 25.8 MiB 0.81 1031 4980 1094 3561 325 64.3 MiB 0.04 0.00 5.69249 -122.087 -5.69249 5.69249 0.92 0.000479061 0.000434995 0.0182445 0.0166493 36 2870 23 6.79088e+06 229024 648988. 2245.63 3.80 0.192606 0.167484 25390 158009 -1 2316 17 1043 2986 180608 39590 5.9788 5.9788 -139.027 -5.9788 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0233686 0.0209889 101 133 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_060.v common 8.80 vpr 65.50 MiB -1 -1 0.27 21436 15 0.66 -1 -1 36948 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 351 383 1 259 89 17 17 289 -1 unnamed_device 27.0 MiB 1.38 1508 9197 2375 5998 824 65.5 MiB 0.10 0.00 7.85565 -160.53 -7.85565 7.85565 0.94 0.000805577 0.000720974 0.0420727 0.0379966 44 4033 44 6.79088e+06 336800 787024. 2723.27 3.24 0.219093 0.19281 27118 194962 -1 3095 18 1586 4602 228067 53299 8.35685 8.35685 -182.505 -8.35685 0 0 997811. 3452.63 0.38 0.09 0.18 -1 -1 0.38 0.0387409 0.0350632 179 256 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_061.v common 8.86 vpr 64.97 MiB -1 -1 0.22 20752 13 0.40 -1 -1 36316 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 32 32 297 329 1 217 84 17 17 289 -1 unnamed_device 26.5 MiB 1.37 1287 12345 3324 7419 1602 65.0 MiB 0.11 0.00 6.76001 -145.768 -6.76001 6.76001 0.94 0.00068696 0.00062303 0.0511042 0.0462777 34 3713 40 6.79088e+06 269440 618332. 2139.56 3.74 0.206251 0.18228 25102 150614 -1 3073 17 1369 3623 224509 50111 7.01061 7.01061 -164.038 -7.01061 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0324735 0.0295063 139 202 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_062.v common 6.85 vpr 64.14 MiB -1 -1 0.17 20208 11 0.15 -1 -1 36288 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65684 32 32 231 263 1 165 77 17 17 289 -1 unnamed_device 25.7 MiB 1.45 1049 10998 3160 6693 1145 64.1 MiB 0.09 0.00 5.40613 -119.346 -5.40613 5.40613 0.92 0.000540628 0.000483971 0.0379051 0.0344268 38 2434 18 6.79088e+06 175136 678818. 2348.85 2.07 0.158369 0.139226 25966 169698 -1 2046 15 814 2149 113275 25508 5.65673 5.65673 -134.722 -5.65673 0 0 902133. 3121.57 0.33 0.05 0.16 -1 -1 0.33 0.0213151 0.0191983 94 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_063.v common 10.27 vpr 65.08 MiB -1 -1 0.22 20932 12 0.38 -1 -1 36528 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 32 32 305 337 1 217 84 17 17 289 -1 unnamed_device 26.4 MiB 1.39 1356 9600 2570 6006 1024 65.1 MiB 0.09 0.00 6.42326 -135.664 -6.42326 6.42326 0.95 0.000676368 0.000610746 0.0401354 0.0363367 44 3223 21 6.79088e+06 269440 787024. 2723.27 5.07 0.279579 0.245552 27118 194962 -1 2728 17 1226 3894 208072 45745 6.58776 6.58776 -153.699 -6.58776 0 0 997811. 3452.63 0.38 0.08 0.18 -1 -1 0.38 0.031108 0.028037 146 210 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_064.v common 22.17 vpr 64.36 MiB -1 -1 0.17 20216 12 0.23 -1 -1 36544 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 32 32 243 275 1 187 82 17 17 289 -1 unnamed_device 25.8 MiB 1.43 1012 13788 4962 6947 1879 64.4 MiB 0.11 0.00 6.07963 -128.905 -6.07963 6.07963 0.93 0.000587147 0.000530635 0.0471194 0.0429002 40 2543 31 6.79088e+06 242496 706193. 2443.58 17.13 0.347093 0.305147 26254 175826 -1 2333 32 1666 4908 476357 172022 6.33023 6.33023 -145.025 -6.33023 0 0 926341. 3205.33 0.37 0.18 0.16 -1 -1 0.37 0.0438871 0.0390837 113 148 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_065.v common 8.08 vpr 64.35 MiB -1 -1 0.20 20460 12 0.23 -1 -1 36608 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65892 30 32 228 260 1 166 79 17 17 289 -1 unnamed_device 25.9 MiB 1.21 926 5656 1193 4227 236 64.3 MiB 0.05 0.00 6.16917 -122.538 -6.16917 6.16917 0.96 0.000589448 0.000490214 0.0210122 0.0190363 36 2522 27 6.79088e+06 229024 648988. 2245.63 3.37 0.161516 0.142006 25390 158009 -1 2066 17 875 2408 136826 32070 6.41977 6.41977 -138.947 -6.41977 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0234934 0.0211161 106 137 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_066.v common 9.27 vpr 64.89 MiB -1 -1 0.22 20904 12 0.32 -1 -1 36436 -1 -1 26 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 29 32 275 307 1 201 87 17 17 289 -1 unnamed_device 26.4 MiB 2.43 1225 4887 997 3512 378 64.9 MiB 0.05 0.00 6.25527 -120.607 -6.25527 6.25527 0.93 0.000578961 0.000519214 0.0199595 0.0181273 38 3205 48 6.79088e+06 350272 678818. 2348.85 3.28 0.212257 0.186769 25966 169698 -1 2782 19 1292 3862 221336 48352 6.41972 6.41972 -135.807 -6.41972 0 0 902133. 3121.57 0.34 0.08 0.14 -1 -1 0.34 0.0311523 0.0279613 140 186 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_067.v common 11.77 vpr 65.32 MiB -1 -1 0.21 20876 13 0.40 -1 -1 36384 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 32 32 330 362 1 257 87 17 17 289 -1 unnamed_device 26.9 MiB 1.18 1481 9303 2299 6055 949 65.3 MiB 0.10 0.00 6.67386 -141.288 -6.67386 6.67386 0.90 0.000732999 0.000666313 0.0406909 0.0369725 36 4265 36 6.79088e+06 309856 648988. 2245.63 6.96 0.262682 0.233826 25390 158009 -1 3451 20 2170 5380 318815 70080 7.08547 7.08547 -167.686 -7.08547 0 0 828058. 2865.25 0.31 0.11 0.13 -1 -1 0.31 0.0402154 0.0364794 160 235 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_068.v common 10.44 vpr 64.86 MiB -1 -1 0.23 20672 12 0.29 -1 -1 36612 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 290 322 1 218 84 17 17 289 -1 unnamed_device 26.4 MiB 1.53 1320 6489 1374 4569 546 64.9 MiB 0.07 0.00 6.70187 -141.173 -6.70187 6.70187 0.99 0.000690179 0.000619727 0.0282579 0.0255968 46 3197 22 6.79088e+06 269440 828058. 2865.25 5.16 0.24666 0.215701 27406 200422 -1 2761 17 1545 4505 235462 51481 6.82717 6.82717 -158.411 -6.82717 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0313824 0.028321 140 195 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_069.v common 9.16 vpr 64.46 MiB -1 -1 0.20 20484 12 0.18 -1 -1 37024 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 32 32 214 246 1 160 79 17 17 289 -1 unnamed_device 26.0 MiB 2.34 952 4304 892 3266 146 64.5 MiB 0.04 0.00 6.12227 -127.798 -6.12227 6.12227 0.97 0.000489011 0.000443965 0.0156134 0.0142343 36 2407 24 6.79088e+06 202080 648988. 2245.63 3.43 0.141964 0.124647 25390 158009 -1 2199 17 885 2317 151629 33771 6.12227 6.12227 -142.207 -6.12227 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.022082 0.0198501 93 119 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_070.v common 8.54 vpr 64.66 MiB -1 -1 0.22 20596 12 0.27 -1 -1 36628 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66212 31 32 244 276 1 178 82 17 17 289 -1 unnamed_device 26.1 MiB 1.73 1153 9160 2665 5629 866 64.7 MiB 0.08 0.00 5.97433 -125.395 -5.97433 5.97433 0.95 0.00056748 0.000515788 0.0327936 0.0298901 34 3251 35 6.79088e+06 255968 618332. 2139.56 3.26 0.166288 0.147044 25102 150614 -1 2599 16 1081 2901 183753 40904 6.47553 6.47553 -147.196 -6.47553 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0247962 0.0224157 111 151 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_071.v common 8.29 vpr 64.77 MiB -1 -1 0.23 21104 11 0.24 -1 -1 36416 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 30 32 276 308 1 190 82 17 17 289 -1 unnamed_device 26.4 MiB 1.78 1156 8626 2147 5545 934 64.8 MiB 0.08 0.00 5.62872 -116.366 -5.62872 5.62872 0.96 0.000621954 0.000564788 0.0339082 0.0307897 38 2914 22 6.79088e+06 269440 678818. 2348.85 2.89 0.186278 0.163854 25966 169698 -1 2499 20 1137 3624 292407 106077 5.87932 5.87932 -131.862 -5.87932 0 0 902133. 3121.57 0.33 0.11 0.16 -1 -1 0.33 0.0308774 0.0276428 125 185 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_072.v common 9.54 vpr 64.52 MiB -1 -1 0.19 20460 11 0.23 -1 -1 36480 -1 -1 19 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 28 32 253 285 1 176 79 17 17 289 -1 unnamed_device 25.9 MiB 1.44 1024 5149 1225 3564 360 64.5 MiB 0.05 0.00 5.48104 -107.687 -5.48104 5.48104 0.91 0.000593419 0.000533309 0.0205326 0.0187392 36 2795 20 6.79088e+06 255968 648988. 2245.63 4.76 0.172987 0.153175 25390 158009 -1 2508 17 1190 3493 214213 47156 5.60634 5.60634 -124.534 -5.60634 0 0 828058. 2865.25 0.31 0.07 0.13 -1 -1 0.31 0.0273424 0.0247155 116 166 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_073.v common 10.38 vpr 64.58 MiB -1 -1 0.20 20452 13 0.25 -1 -1 36564 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66128 30 32 235 267 1 172 80 17 17 289 -1 unnamed_device 26.1 MiB 2.07 1061 12292 3804 6727 1761 64.6 MiB 0.09 0.00 5.9509 -124.204 -5.9509 5.9509 0.92 0.000504798 0.000455857 0.0403284 0.0364346 36 2967 26 6.79088e+06 242496 648988. 2245.63 4.85 0.184058 0.162221 25390 158009 -1 2403 19 1033 2891 172166 38640 6.0762 6.0762 -139.404 -6.0762 0 0 828058. 2865.25 0.32 0.07 0.13 -1 -1 0.32 0.026318 0.0235405 108 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_074.v common 10.15 vpr 64.82 MiB -1 -1 0.22 20928 12 0.24 -1 -1 36400 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 26.5 MiB 2.51 1225 6490 1526 4609 355 64.8 MiB 0.06 0.00 5.66792 -136.48 -5.66792 5.66792 0.92 0.000582894 0.00052805 0.0257649 0.0233795 38 3115 19 6.79088e+06 242496 678818. 2348.85 4.12 0.173859 0.153022 25966 169698 -1 2534 14 1086 2891 154118 34918 6.12992 6.12992 -155.325 -6.12992 0 0 902133. 3121.57 0.35 0.06 0.16 -1 -1 0.35 0.0256125 0.0233314 120 169 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_075.v common 8.22 vpr 64.82 MiB -1 -1 0.20 20388 13 0.36 -1 -1 36412 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 31 32 278 310 1 200 84 17 17 289 -1 unnamed_device 26.4 MiB 2.04 1261 9051 2499 5595 957 64.8 MiB 0.08 0.00 6.92806 -142.643 -6.92806 6.92806 0.97 0.000649815 0.000589687 0.0363101 0.0330291 34 3402 34 6.79088e+06 282912 618332. 2139.56 2.46 0.196185 0.173903 25102 150614 -1 2792 20 1487 4128 232712 53737 7.39006 7.39006 -161.916 -7.39006 0 0 787024. 2723.27 0.32 0.09 0.14 -1 -1 0.32 0.035044 0.031523 137 185 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_076.v common 24.00 vpr 64.58 MiB -1 -1 0.23 20840 14 0.33 -1 -1 36676 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 290 322 1 212 84 17 17 289 -1 unnamed_device 26.1 MiB 1.59 1354 13809 4092 7816 1901 64.6 MiB 0.13 0.00 7.26476 -155.727 -7.26476 7.26476 0.95 0.000654308 0.00059231 0.0556446 0.0503682 40 3155 19 6.79088e+06 269440 706193. 2443.58 18.62 0.367468 0.322716 26254 175826 -1 3001 18 1297 3595 222936 48514 7.76595 7.76595 -175.878 -7.76595 0 0 926341. 3205.33 0.36 0.08 0.16 -1 -1 0.36 0.03102 0.0278973 132 195 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_077.v common 24.26 vpr 64.59 MiB -1 -1 0.23 20716 14 0.31 -1 -1 36432 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 26.1 MiB 2.62 995 13206 4190 6602 2414 64.6 MiB 0.11 0.00 6.75231 -131.012 -6.75231 6.75231 0.94 0.000621012 0.000548667 0.0500608 0.0452284 38 3582 47 6.79088e+06 229024 678818. 2348.85 17.96 0.330166 0.287754 25966 169698 -1 2364 17 1281 3632 205653 52632 6.87761 6.87761 -148.347 -6.87761 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.0285233 0.0257242 122 174 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_078.v common 10.36 vpr 64.94 MiB -1 -1 0.23 21220 13 0.42 -1 -1 36748 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 296 328 1 223 86 17 17 289 -1 unnamed_device 26.4 MiB 2.15 1453 7457 1751 5135 571 64.9 MiB 0.08 0.00 6.99948 -143.294 -6.99948 6.99948 0.93 0.000665064 0.000591127 0.0310576 0.0280702 38 3794 31 6.79088e+06 296384 678818. 2348.85 4.39 0.212301 0.186507 25966 169698 -1 3253 18 1799 4911 276642 60087 7.62598 7.62598 -168.089 -7.62598 0 0 902133. 3121.57 0.34 0.10 0.16 -1 -1 0.34 0.0342193 0.0309198 144 201 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_079.v common 9.69 vpr 64.32 MiB -1 -1 0.19 20412 13 0.22 -1 -1 36352 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 30 32 234 266 1 175 80 17 17 289 -1 unnamed_device 25.8 MiB 2.28 1067 11948 3595 6419 1934 64.3 MiB 0.09 0.00 5.91857 -125.062 -5.91857 5.91857 0.91 0.000516756 0.000470483 0.0393065 0.0357861 44 2488 18 6.79088e+06 242496 787024. 2723.27 3.98 0.213019 0.187404 27118 194962 -1 2012 14 930 2422 127714 28931 6.29447 6.29447 -140.493 -6.29447 0 0 997811. 3452.63 0.38 0.05 0.16 -1 -1 0.38 0.022138 0.0201394 104 143 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_080.v common 10.21 vpr 65.08 MiB -1 -1 0.23 21012 13 0.53 -1 -1 36760 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 30 32 291 323 1 225 84 17 17 289 -1 unnamed_device 26.5 MiB 2.05 1324 11796 3320 6990 1486 65.1 MiB 0.11 0.00 6.54518 -135.575 -6.54518 6.54518 0.93 0.000639972 0.000576226 0.0469741 0.0424668 38 3664 23 6.79088e+06 296384 678818. 2348.85 4.28 0.221644 0.195586 25966 169698 -1 2930 22 1787 4889 246794 56715 7.10661 7.10661 -159.61 -7.10661 0 0 902133. 3121.57 0.34 0.10 0.14 -1 -1 0.34 0.0385902 0.0346575 145 200 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_081.v common 21.98 vpr 64.59 MiB -1 -1 0.23 20628 14 0.39 -1 -1 36760 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 274 306 1 205 82 17 17 289 -1 unnamed_device 26.1 MiB 1.95 1283 6134 1394 4015 725 64.6 MiB 0.06 0.00 7.05762 -149.408 -7.05762 7.05762 0.97 0.000577061 0.000522808 0.0258454 0.0235516 36 3568 38 6.79088e+06 242496 648988. 2245.63 16.28 0.337437 0.297567 25390 158009 -1 3037 19 1330 3764 263962 55885 7.43352 7.43352 -169.916 -7.43352 0 0 828058. 2865.25 0.31 0.09 0.14 -1 -1 0.31 0.0314869 0.0284108 128 179 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_082.v common 10.26 vpr 64.75 MiB -1 -1 0.22 21044 13 0.27 -1 -1 36868 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 31 32 266 298 1 196 82 17 17 289 -1 unnamed_device 26.4 MiB 2.10 1171 11474 3141 6554 1779 64.7 MiB 0.10 0.00 6.28333 -136.535 -6.28333 6.28333 0.92 0.000627389 0.000567028 0.0440367 0.0398312 38 3063 24 6.79088e+06 255968 678818. 2348.85 4.58 0.255302 0.221785 25966 169698 -1 2573 18 1260 3430 184395 40872 6.60884 6.60884 -155.353 -6.60884 0 0 902133. 3121.57 0.36 0.08 0.15 -1 -1 0.36 0.030465 0.0274192 124 173 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_083.v common 8.88 vpr 64.74 MiB -1 -1 0.24 20728 13 0.27 -1 -1 36636 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 30 32 266 298 1 199 81 17 17 289 -1 unnamed_device 26.3 MiB 2.05 1189 9531 3169 4648 1714 64.7 MiB 0.08 0.00 6.13113 -122.629 -6.13113 6.13113 0.95 0.000598421 0.00054122 0.0372858 0.0338795 38 3331 28 6.79088e+06 255968 678818. 2348.85 3.18 0.189329 0.166284 25966 169698 -1 2734 18 1406 3864 217751 47461 6.24519 6.24519 -138.227 -6.24519 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.0302968 0.0273289 121 175 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_084.v common 14.54 vpr 65.09 MiB -1 -1 0.24 20928 14 0.45 -1 -1 36256 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 310 342 1 231 85 17 17 289 -1 unnamed_device 26.5 MiB 2.02 1563 10687 2688 6174 1825 65.1 MiB 0.11 0.00 7.30047 -154.524 -7.30047 7.30047 0.96 0.00071827 0.000639379 0.0459123 0.0414861 38 4295 25 6.79088e+06 282912 678818. 2348.85 8.55 0.229579 0.202813 25966 169698 -1 3400 17 1589 4550 251176 54820 7.42577 7.42577 -169.932 -7.42577 0 0 902133. 3121.57 0.33 0.09 0.16 -1 -1 0.33 0.0336697 0.0304311 154 215 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_085.v common 8.27 vpr 64.94 MiB -1 -1 0.24 20956 11 0.35 -1 -1 36384 -1 -1 23 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 29 32 262 294 1 201 84 17 17 289 -1 unnamed_device 26.5 MiB 2.51 1042 10332 2854 5719 1759 64.9 MiB 0.09 0.00 6.13002 -115.481 -6.13002 6.13002 0.93 0.000601706 0.000537505 0.0377249 0.0341954 38 2958 26 6.79088e+06 309856 678818. 2348.85 2.06 0.168475 0.148152 25966 169698 -1 2314 17 1243 3652 181315 43534 6.38062 6.38062 -131.318 -6.38062 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0295673 0.0267632 136 173 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_086.v common 11.68 vpr 64.40 MiB -1 -1 0.17 20132 13 0.20 -1 -1 36364 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65944 32 32 222 254 1 182 78 17 17 289 -1 unnamed_device 26.0 MiB 3.69 970 6552 1513 4811 228 64.4 MiB 0.06 0.00 5.95084 -134.489 -5.95084 5.95084 0.93 0.000497874 0.000451927 0.023167 0.0211162 44 2697 18 6.79088e+06 188608 787024. 2723.27 4.51 0.189612 0.164716 27118 194962 -1 2087 19 1078 2497 145412 32906 6.03694 6.03694 -148.625 -6.03694 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0250788 0.0224553 98 127 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_087.v common 8.36 vpr 64.70 MiB -1 -1 0.22 20972 14 0.28 -1 -1 36432 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 267 299 1 200 81 17 17 289 -1 unnamed_device 26.3 MiB 1.90 1036 6731 1426 5215 90 64.7 MiB 0.06 0.00 7.17517 -141.92 -7.17517 7.17517 0.90 0.000582923 0.000528681 0.0264023 0.0240449 38 3181 21 6.79088e+06 229024 678818. 2348.85 3.03 0.192275 0.170791 25966 169698 -1 2513 17 1178 3120 166363 37899 7.17517 7.17517 -158.683 -7.17517 0 0 902133. 3121.57 0.33 0.07 0.14 -1 -1 0.33 0.0289855 0.0262765 122 172 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_088.v common 8.70 vpr 65.19 MiB -1 -1 0.22 21284 15 0.50 -1 -1 36768 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 32 32 334 366 1 254 87 17 17 289 -1 unnamed_device 26.8 MiB 1.78 1439 7575 1712 5351 512 65.2 MiB 0.08 0.00 7.43275 -158.86 -7.43275 7.43275 0.92 0.000767028 0.00069238 0.0356628 0.0322408 44 3994 31 6.79088e+06 309856 787024. 2723.27 3.04 0.194744 0.171536 27118 194962 -1 3191 18 1676 4490 247381 55257 7.89474 7.89474 -177.665 -7.89474 0 0 997811. 3452.63 0.38 0.09 0.18 -1 -1 0.38 0.0370239 0.0335502 163 239 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_089.v common 10.72 vpr 64.30 MiB -1 -1 0.17 20408 11 0.19 -1 -1 36348 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65848 32 32 220 252 1 168 79 17 17 289 -1 unnamed_device 25.9 MiB 1.88 972 10388 3119 5289 1980 64.3 MiB 0.08 0.00 5.50342 -119.473 -5.50342 5.50342 0.94 0.000478189 0.000430473 0.0324153 0.0292983 34 2827 47 6.79088e+06 202080 618332. 2139.56 5.50 0.228796 0.19976 25102 150614 -1 2238 20 991 2574 162582 36112 5.91503 5.91503 -140.895 -5.91503 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0243444 0.0216912 97 125 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_090.v common 9.97 vpr 64.71 MiB -1 -1 0.18 20220 12 0.23 -1 -1 36620 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 31 32 244 276 1 193 80 17 17 289 -1 unnamed_device 26.1 MiB 1.92 1184 6272 1478 4198 596 64.7 MiB 0.06 0.00 5.44959 -123.238 -5.44959 5.44959 0.97 0.000583779 0.000512289 0.0249385 0.0226163 44 3071 23 6.79088e+06 229024 787024. 2723.27 4.45 0.216129 0.188143 27118 194962 -1 2577 17 1218 3375 182447 41209 5.70019 5.70019 -141.54 -5.70019 0 0 997811. 3452.63 0.38 0.07 0.18 -1 -1 0.38 0.0251659 0.0225725 112 151 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_091.v common 21.52 vpr 65.20 MiB -1 -1 0.23 20764 12 0.38 -1 -1 36460 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 300 332 1 219 83 17 17 289 -1 unnamed_device 26.6 MiB 1.49 1382 5123 1131 3579 413 65.2 MiB 0.06 0.00 6.21181 -135.633 -6.21181 6.21181 0.96 0.0007123 0.000646852 0.0240753 0.0219781 36 4269 35 6.79088e+06 255968 648988. 2245.63 16.27 0.340809 0.29929 25390 158009 -1 3259 21 1810 5309 333145 72280 6.67381 6.67381 -163.514 -6.67381 0 0 828058. 2865.25 0.33 0.11 0.15 -1 -1 0.33 0.0380572 0.0342479 143 205 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_092.v common 11.66 vpr 64.52 MiB -1 -1 0.23 20780 12 0.30 -1 -1 36376 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 271 303 1 209 82 17 17 289 -1 unnamed_device 26.1 MiB 2.37 1312 9338 2701 6024 613 64.5 MiB 0.09 0.00 6.08307 -132.83 -6.08307 6.08307 0.98 0.000641795 0.000584407 0.0372035 0.0338276 38 3626 28 6.79088e+06 242496 678818. 2348.85 5.60 0.295968 0.26082 25966 169698 -1 2861 17 1274 3667 206363 45402 6.41977 6.41977 -152.257 -6.41977 0 0 902133. 3121.57 0.34 0.07 0.15 -1 -1 0.34 0.0284249 0.0257009 130 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_093.v common 9.68 vpr 65.26 MiB -1 -1 0.23 21040 14 0.57 -1 -1 36420 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 32 32 327 359 1 233 86 17 17 289 -1 unnamed_device 26.9 MiB 2.23 1384 6890 1438 5172 280 65.3 MiB 0.08 0.00 7.5658 -152.044 -7.5658 7.5658 0.94 0.000755641 0.000676807 0.0323682 0.0292362 44 3667 21 6.79088e+06 296384 787024. 2723.27 3.19 0.224294 0.198017 27118 194962 -1 3024 43 1568 4772 750523 409017 7.64071 7.64071 -169.873 -7.64071 0 0 997811. 3452.63 0.39 0.32 0.18 -1 -1 0.39 0.0737501 0.0656703 167 232 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_094.v common 7.18 vpr 64.75 MiB -1 -1 0.22 20420 12 0.25 -1 -1 36576 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 30 32 246 278 1 185 81 17 17 289 -1 unnamed_device 26.3 MiB 1.80 1057 10581 3212 4923 2446 64.7 MiB 0.09 0.00 6.07188 -116.532 -6.07188 6.07188 0.90 0.000563898 0.000513116 0.0375569 0.0340868 38 2962 21 6.79088e+06 255968 678818. 2348.85 1.94 0.15854 0.140876 25966 169698 -1 2496 17 1142 3292 185497 41142 6.49468 6.49468 -135.198 -6.49468 0 0 902133. 3121.57 0.33 0.07 0.14 -1 -1 0.33 0.0272273 0.0246792 121 155 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_095.v common 7.16 vpr 64.38 MiB -1 -1 0.19 20524 11 0.21 -1 -1 36528 -1 -1 19 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 27 32 219 251 1 163 78 17 17 289 -1 unnamed_device 25.9 MiB 2.33 900 11200 2933 6530 1737 64.4 MiB 0.08 0.00 5.66792 -104.013 -5.66792 5.66792 0.92 0.000497409 0.000454219 0.0363439 0.0330877 28 2640 28 6.79088e+06 255968 531479. 1839.03 1.59 0.125199 0.111113 23950 126010 -1 2286 20 1149 3022 194444 43917 5.82893 5.82893 -122.99 -5.82893 0 0 648988. 2245.63 0.26 0.07 0.11 -1 -1 0.26 0.025653 0.0229299 104 134 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_096.v common 11.74 vpr 65.45 MiB -1 -1 0.26 21484 13 0.54 -1 -1 36532 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67016 32 32 380 412 1 276 90 17 17 289 -1 unnamed_device 26.8 MiB 2.00 1693 10743 2712 6835 1196 65.4 MiB 0.12 0.00 6.75763 -141.164 -6.75763 6.75763 0.93 0.000853533 0.00076789 0.0498985 0.044994 46 4284 31 6.79088e+06 350272 828058. 2865.25 5.64 0.343085 0.30068 27406 200422 -1 3614 18 1786 5554 286648 62901 7.00823 7.00823 -156.875 -7.00823 0 0 1.01997e+06 3529.29 0.39 0.10 0.18 -1 -1 0.39 0.0407509 0.0368656 188 285 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_097.v common 8.42 vpr 65.01 MiB -1 -1 0.23 20668 14 0.30 -1 -1 36388 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 31 32 277 309 1 197 85 17 17 289 -1 unnamed_device 26.6 MiB 2.04 1195 6037 1209 4595 233 65.0 MiB 0.06 0.00 6.8027 -140.243 -6.8027 6.8027 0.95 0.000637226 0.000574929 0.0240898 0.0218654 38 3035 22 6.79088e+06 296384 678818. 2348.85 2.79 0.184183 0.161751 25966 169698 -1 2404 17 1215 3194 155647 36432 7.0533 7.0533 -155.24 -7.0533 0 0 902133. 3121.57 0.34 0.07 0.15 -1 -1 0.34 0.0290538 0.0261707 130 184 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_098.v common 8.85 vpr 64.55 MiB -1 -1 0.22 20348 12 0.21 -1 -1 36224 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 229 261 1 174 82 17 17 289 -1 unnamed_device 26.1 MiB 2.00 1163 8804 2510 5532 762 64.5 MiB 0.07 0.00 6.02467 -132.982 -6.02467 6.02467 0.97 0.000543213 0.000494544 0.0301991 0.0275467 34 3096 39 6.79088e+06 242496 618332. 2139.56 3.34 0.176782 0.154525 25102 150614 -1 2589 18 1107 2774 182103 40367 6.52587 6.52587 -154.91 -6.52587 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0248866 0.0222372 109 134 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_099.v common 18.64 vpr 64.71 MiB -1 -1 0.22 20356 13 0.36 -1 -1 36508 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 32 32 263 295 1 199 82 17 17 289 -1 unnamed_device 26.3 MiB 1.73 1285 8092 1940 5248 904 64.7 MiB 0.08 0.00 6.71317 -144.222 -6.71317 6.71317 0.96 0.000640103 0.000580825 0.0319053 0.0290014 38 3111 36 6.79088e+06 242496 678818. 2348.85 13.05 0.30333 0.266479 25966 169698 -1 2688 33 1265 3540 442922 207124 6.71317 6.71317 -156.85 -6.71317 0 0 902133. 3121.57 0.35 0.18 0.16 -1 -1 0.35 0.0477802 0.0427108 128 168 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_100.v common 11.36 vpr 65.24 MiB -1 -1 0.25 21024 13 0.40 -1 -1 36844 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 31 32 321 353 1 241 87 17 17 289 -1 unnamed_device 26.8 MiB 1.98 1388 9687 2321 6371 995 65.2 MiB 0.10 0.00 6.07958 -131.417 -6.07958 6.07958 0.97 0.000764774 0.00068035 0.0425707 0.0382951 50 3299 29 6.79088e+06 323328 902133. 3121.57 5.40 0.320499 0.282447 27982 213445 -1 3031 20 1695 4935 263516 58127 6.11534 6.11534 -143.353 -6.11534 0 0 1.08113e+06 3740.92 0.43 0.10 0.19 -1 -1 0.43 0.0410977 0.0370893 157 228 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_101.v common 9.95 vpr 65.14 MiB -1 -1 0.22 20940 11 0.31 -1 -1 36324 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 30 32 287 319 1 201 84 17 17 289 -1 unnamed_device 26.6 MiB 2.05 1263 9783 2507 6146 1130 65.1 MiB 0.09 0.00 5.66792 -118.324 -5.66792 5.66792 0.92 0.000641522 0.00057991 0.0381952 0.0345342 34 3512 47 6.79088e+06 296384 618332. 2139.56 4.27 0.195455 0.171968 25102 150614 -1 2948 18 1524 4784 307271 66672 6.11867 6.11867 -138.758 -6.11867 0 0 787024. 2723.27 0.32 0.10 0.14 -1 -1 0.32 0.0330424 0.0299581 141 196 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_102.v common 10.86 vpr 64.85 MiB -1 -1 0.23 20692 15 0.43 -1 -1 36192 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 296 328 1 220 86 17 17 289 -1 unnamed_device 26.3 MiB 1.79 1350 9536 2644 6436 456 64.8 MiB 0.09 0.00 7.21442 -154.203 -7.21442 7.21442 0.97 0.000682671 0.000618614 0.0389416 0.0352462 44 3495 33 6.79088e+06 296384 787024. 2723.27 5.15 0.306472 0.270096 27118 194962 -1 2705 17 1181 3849 194899 43457 7.67991 7.67991 -172.825 -7.67991 0 0 997811. 3452.63 0.39 0.08 0.18 -1 -1 0.39 0.0311282 0.0283135 147 201 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_103.v common 9.46 vpr 65.02 MiB -1 -1 0.23 21256 13 0.39 -1 -1 36232 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 285 317 1 217 85 17 17 289 -1 unnamed_device 26.5 MiB 2.28 1369 7153 1830 4835 488 65.0 MiB 0.07 0.00 6.57668 -145.772 -6.57668 6.57668 0.91 0.000650847 0.000591576 0.0288332 0.026184 38 3314 29 6.79088e+06 282912 678818. 2348.85 3.54 0.2116 0.187761 25966 169698 -1 2772 17 1341 3903 186448 43363 7.03867 7.03867 -166.5 -7.03867 0 0 902133. 3121.57 0.33 0.08 0.14 -1 -1 0.33 0.0315647 0.0286542 143 190 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_104.v common 9.19 vpr 64.65 MiB -1 -1 0.20 20800 12 0.25 -1 -1 36572 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 29 32 239 271 1 185 79 17 17 289 -1 unnamed_device 26.2 MiB 2.08 1011 5487 1182 4084 221 64.7 MiB 0.05 0.00 6.04731 -123.992 -6.04731 6.04731 0.93 0.000553749 0.000503136 0.0208663 0.0190335 36 3061 28 6.79088e+06 242496 648988. 2245.63 3.66 0.158077 0.138046 25390 158009 -1 2442 15 1162 2883 178919 40092 6.58771 6.58771 -145.619 -6.58771 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0227971 0.0206115 111 150 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_105.v common 16.51 vpr 64.43 MiB -1 -1 0.21 20628 11 0.20 -1 -1 36020 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 32 32 235 267 1 172 78 17 17 289 -1 unnamed_device 26.0 MiB 1.89 1018 6552 1549 4725 278 64.4 MiB 0.06 0.00 5.57833 -123.024 -5.57833 5.57833 0.95 0.000523832 0.000475252 0.0241892 0.0220572 30 3147 46 6.79088e+06 188608 556674. 1926.21 11.23 0.215713 0.188413 24526 138013 -1 2376 17 1108 2657 148360 34056 5.86813 5.86813 -142.262 -5.86813 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0246756 0.0222883 98 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_106.v common 10.50 vpr 64.84 MiB -1 -1 0.22 20784 13 0.41 -1 -1 36584 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 31 32 294 326 1 212 84 17 17 289 -1 unnamed_device 26.3 MiB 1.38 1312 8685 2280 5635 770 64.8 MiB 0.08 0.00 6.9357 -137.041 -6.9357 6.9357 0.95 0.000652659 0.000591019 0.0353886 0.0321694 42 3440 44 6.79088e+06 282912 744469. 2576.02 5.28 0.328998 0.287995 26542 182613 -1 2777 19 1547 4589 265937 58454 7.38651 7.38651 -153.418 -7.38651 0 0 949917. 3286.91 0.37 0.10 0.17 -1 -1 0.37 0.0351348 0.0316132 143 201 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_107.v common 8.47 vpr 64.39 MiB -1 -1 0.20 20476 10 0.21 -1 -1 36556 -1 -1 17 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 29 32 219 251 1 163 78 17 17 289 -1 unnamed_device 26.0 MiB 2.31 784 9374 2284 6546 544 64.4 MiB 0.08 0.00 5.03782 -104.747 -5.03782 5.03782 0.95 0.000509545 0.000462057 0.0322615 0.0292727 34 2501 38 6.79088e+06 229024 618332. 2139.56 2.69 0.172803 0.151867 25102 150614 -1 2047 20 1032 2697 156619 37868 5.66432 5.66432 -127.96 -5.66432 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0258018 0.0230674 101 130 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_108.v common 11.35 vpr 64.60 MiB -1 -1 0.19 20544 14 0.23 -1 -1 36292 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 239 271 1 184 82 17 17 289 -1 unnamed_device 26.0 MiB 3.22 1042 12898 3923 6584 2391 64.6 MiB 0.10 0.00 6.62358 -137.051 -6.62358 6.62358 0.96 0.00054222 0.000486935 0.0433006 0.0390499 36 2986 24 6.79088e+06 242496 648988. 2245.63 4.59 0.237487 0.20765 25390 158009 -1 2369 20 1317 3506 214749 47763 6.96022 6.96022 -158.149 -6.96022 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0293912 0.0262559 110 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_109.v common 20.26 vpr 64.84 MiB -1 -1 0.24 20864 13 0.35 -1 -1 36432 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 31 32 266 298 1 209 83 17 17 289 -1 unnamed_device 26.4 MiB 3.04 1245 9083 2390 5842 851 64.8 MiB 0.08 0.00 6.34835 -135.308 -6.34835 6.34835 0.96 0.000653431 0.000586688 0.0351627 0.0319257 36 3545 27 6.79088e+06 269440 648988. 2245.63 13.49 0.300173 0.261548 25390 158009 -1 2917 17 1353 3628 237934 51726 6.79916 6.79916 -157.614 -6.79916 0 0 828058. 2865.25 0.34 0.08 0.15 -1 -1 0.34 0.0289301 0.0260336 125 173 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_110.v common 21.33 vpr 64.18 MiB -1 -1 0.17 20432 12 0.18 -1 -1 36616 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65724 31 32 225 257 1 172 80 17 17 289 -1 unnamed_device 25.8 MiB 3.89 889 7648 2444 3880 1324 64.2 MiB 0.06 0.00 5.57833 -115.945 -5.57833 5.57833 0.94 0.000462552 0.000410998 0.0253298 0.0228811 38 2985 42 6.79088e+06 229024 678818. 2348.85 13.99 0.297304 0.258528 25966 169698 -1 2072 30 1202 3202 325699 137617 5.98988 5.98988 -133.721 -5.98988 0 0 902133. 3121.57 0.34 0.13 0.15 -1 -1 0.34 0.034761 0.0308369 99 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_111.v common 18.69 vpr 64.89 MiB -1 -1 0.23 20820 12 0.24 -1 -1 36468 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 288 320 1 203 82 17 17 289 -1 unnamed_device 26.4 MiB 2.39 1075 7914 1789 5922 203 64.9 MiB 0.08 0.00 5.91508 -127.079 -5.91508 5.91508 0.97 0.000642479 0.000581284 0.0322955 0.0292435 36 3322 45 6.79088e+06 242496 648988. 2245.63 12.72 0.332641 0.289973 25390 158009 -1 2535 18 1264 3639 220621 50395 6.29098 6.29098 -143.668 -6.29098 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0309178 0.027728 130 193 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_112.v common 23.87 vpr 64.93 MiB -1 -1 0.25 20948 13 0.37 -1 -1 36660 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 31 32 282 314 1 212 83 17 17 289 -1 unnamed_device 26.4 MiB 1.39 1245 13223 4004 7203 2016 64.9 MiB 0.12 0.00 6.69391 -144.564 -6.69391 6.69391 0.97 0.000638975 0.000577229 0.0518291 0.0467988 38 3420 27 6.79088e+06 269440 678818. 2348.85 18.63 0.345573 0.303172 25966 169698 -1 2787 16 1319 3730 202886 44701 6.78001 6.78001 -158.236 -6.78001 0 0 902133. 3121.57 0.34 0.08 0.16 -1 -1 0.34 0.0301294 0.0274353 143 189 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_113.v common 9.75 vpr 64.52 MiB -1 -1 0.20 20400 11 0.21 -1 -1 36284 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 233 265 1 183 80 17 17 289 -1 unnamed_device 26.1 MiB 2.34 1038 11948 3403 6315 2230 64.5 MiB 0.09 0.00 5.0702 -121.482 -5.0702 5.0702 0.94 0.000497916 0.000446661 0.0404193 0.0366476 36 3304 26 6.79088e+06 215552 648988. 2245.63 3.82 0.174553 0.153181 25390 158009 -1 2698 29 1255 3265 331779 125427 5.52445 5.52445 -144.69 -5.52445 0 0 828058. 2865.25 0.34 0.13 0.15 -1 -1 0.34 0.0374073 0.0332957 106 138 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_114.v common 9.70 vpr 64.86 MiB -1 -1 0.20 20804 13 0.26 -1 -1 36560 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 254 286 1 188 79 17 17 289 -1 unnamed_device 26.4 MiB 3.06 1103 9881 3364 4500 2017 64.9 MiB 0.08 0.00 6.29458 -136.168 -6.29458 6.29458 0.97 0.000574089 0.000520085 0.0378474 0.0343364 36 3428 28 6.79088e+06 202080 648988. 2245.63 3.06 0.172133 0.152513 25390 158009 -1 2698 18 1365 3625 223830 50427 6.67042 6.67042 -157.556 -6.67042 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0303564 0.0273789 113 159 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_115.v common 10.47 vpr 64.82 MiB -1 -1 0.21 20976 13 0.32 -1 -1 36548 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 285 317 1 214 83 17 17 289 -1 unnamed_device 26.4 MiB 1.43 1307 9443 2471 5673 1299 64.8 MiB 0.09 0.00 6.49473 -143.781 -6.49473 6.49473 0.93 0.000637249 0.000577474 0.0376595 0.0340674 44 3378 21 6.79088e+06 255968 787024. 2723.27 5.29 0.265376 0.232807 27118 194962 -1 2778 16 1214 3313 189638 41601 6.94909 6.94909 -162.451 -6.94909 0 0 997811. 3452.63 0.39 0.07 0.18 -1 -1 0.39 0.0293898 0.0267179 136 190 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_116.v common 20.36 vpr 64.65 MiB -1 -1 0.23 21060 11 0.24 -1 -1 36732 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 29 32 243 275 1 184 80 17 17 289 -1 unnamed_device 26.1 MiB 2.57 973 11776 4225 5456 2095 64.6 MiB 0.09 0.00 5.38344 -106.741 -5.38344 5.38344 0.94 0.000551354 0.000498727 0.0411007 0.0371166 36 3121 34 6.79088e+06 255968 648988. 2245.63 14.26 0.278656 0.241684 25390 158009 -1 2439 18 1203 3384 216371 48934 5.70008 5.70008 -128.025 -5.70008 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0266334 0.0238598 116 154 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_117.v common 22.93 vpr 65.08 MiB -1 -1 0.21 21304 14 0.39 -1 -1 36508 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 318 350 1 238 87 17 17 289 -1 unnamed_device 26.6 MiB 1.70 1358 12375 2986 7056 2333 65.1 MiB 0.11 0.00 7.68761 -161.022 -7.68761 7.68761 0.91 0.00072224 0.000655567 0.0508565 0.0461461 30 4256 31 6.79088e+06 309856 556674. 1926.21 17.63 0.389889 0.345286 24526 138013 -1 3075 18 1643 4276 227079 52192 7.68761 7.68761 -182.724 -7.68761 0 0 706193. 2443.58 0.28 0.09 0.12 -1 -1 0.28 0.0357621 0.032477 159 223 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_118.v common 8.79 vpr 64.62 MiB -1 -1 0.18 20084 12 0.19 -1 -1 36884 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 31 32 222 254 1 188 82 17 17 289 -1 unnamed_device 26.1 MiB 2.93 1087 12898 4279 6278 2341 64.6 MiB 0.10 0.00 5.36349 -125.306 -5.36349 5.36349 0.94 0.000504063 0.000456283 0.0406693 0.0368045 38 2804 28 6.79088e+06 255968 678818. 2348.85 2.38 0.165215 0.144518 25966 169698 -1 2362 17 1089 2546 147865 32801 5.82549 5.82549 -142.245 -5.82549 0 0 902133. 3121.57 0.36 0.06 0.16 -1 -1 0.36 0.024242 0.0218656 106 129 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_119.v common 9.00 vpr 64.93 MiB -1 -1 0.22 21336 13 0.35 -1 -1 37216 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 282 314 1 211 84 17 17 289 -1 unnamed_device 26.5 MiB 1.67 1333 5391 1085 3738 568 64.9 MiB 0.05 0.00 6.66283 -141.183 -6.66283 6.66283 0.93 0.000601133 0.000530871 0.0219843 0.019746 38 3726 21 6.79088e+06 269440 678818. 2348.85 3.71 0.184953 0.162577 25966 169698 -1 2942 17 1339 3928 203382 45739 7.17511 7.17511 -159.487 -7.17511 0 0 902133. 3121.57 0.34 0.08 0.14 -1 -1 0.34 0.0304568 0.0275063 136 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_120.v common 7.92 vpr 64.60 MiB -1 -1 0.21 20864 13 0.22 -1 -1 36124 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 238 270 1 180 84 17 17 289 -1 unnamed_device 26.1 MiB 1.41 1076 12345 3840 6601 1904 64.6 MiB 0.10 0.00 6.33716 -140.535 -6.33716 6.33716 0.96 0.000541184 0.000490138 0.040831 0.0370746 34 3212 34 6.79088e+06 269440 618332. 2139.56 2.98 0.178858 0.15855 25102 150614 -1 2547 17 1075 2709 171537 38994 6.71306 6.71306 -162.652 -6.71306 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0254325 0.0229354 107 143 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_121.v common 9.76 vpr 64.67 MiB -1 -1 0.23 20768 12 0.27 -1 -1 36624 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 32 32 269 301 1 190 83 17 17 289 -1 unnamed_device 26.3 MiB 1.90 1198 7283 1663 5251 369 64.7 MiB 0.07 0.00 5.87167 -132.399 -5.87167 5.87167 0.95 0.000642338 0.000578338 0.0301157 0.0272929 36 3081 34 6.79088e+06 255968 648988. 2245.63 4.24 0.196378 0.172206 25390 158009 -1 2579 18 1106 3194 175812 40433 6.24757 6.24757 -148.261 -6.24757 0 0 828058. 2865.25 0.34 0.08 0.15 -1 -1 0.34 0.0319011 0.0288055 128 174 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_122.v common 9.71 vpr 65.60 MiB -1 -1 0.25 21484 15 0.63 -1 -1 36984 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67172 32 32 350 382 1 256 89 17 17 289 -1 unnamed_device 27.0 MiB 1.44 1565 12761 3559 7102 2100 65.6 MiB 0.13 0.00 7.68761 -162.589 -7.68761 7.68761 0.95 0.000826814 0.00074838 0.0593456 0.0538307 44 4271 34 6.79088e+06 336800 787024. 2723.27 4.03 0.260196 0.229303 27118 194962 -1 3279 17 1694 5262 281396 63186 8.22801 8.22801 -181.699 -8.22801 0 0 997811. 3452.63 0.39 0.10 0.18 -1 -1 0.39 0.0399569 0.0362672 183 255 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_123.v common 6.57 vpr 63.90 MiB -1 -1 0.17 20072 10 0.11 -1 -1 36332 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65436 30 32 172 204 1 133 74 17 17 289 -1 unnamed_device 25.5 MiB 1.76 777 7359 2276 4279 804 63.9 MiB 0.05 0.00 4.08102 -100.592 -4.08102 4.08102 0.91 0.000386609 0.000351527 0.0205512 0.0187024 36 1872 32 6.79088e+06 161664 648988. 2245.63 1.70 0.0976677 0.0861597 25390 158009 -1 1650 18 712 1677 106704 24100 4.08102 4.08102 -110.694 -4.08102 0 0 828058. 2865.25 0.31 0.05 0.13 -1 -1 0.31 0.018023 0.016169 66 81 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_124.v common 8.76 vpr 64.34 MiB -1 -1 0.21 20448 13 0.23 -1 -1 35960 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65880 30 32 228 260 1 176 79 17 17 289 -1 unnamed_device 25.8 MiB 1.96 921 6332 1442 4159 731 64.3 MiB 0.06 0.00 6.47021 -131.827 -6.47021 6.47021 0.94 0.000570455 0.00051858 0.0235782 0.0214858 30 3138 43 6.79088e+06 229024 556674. 1926.21 3.41 0.122059 0.107171 24526 138013 -1 2376 18 1140 2846 155678 36056 6.72081 6.72081 -154.772 -6.72081 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0246467 0.0221134 103 137 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_125.v common 10.46 vpr 64.84 MiB -1 -1 0.19 20484 12 0.24 -1 -1 36728 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 26.2 MiB 2.41 1186 13610 3872 7549 2189 64.8 MiB 0.11 0.00 5.90733 -133.846 -5.90733 5.90733 0.96 0.000587544 0.000533215 0.0497203 0.0450299 38 2877 20 6.79088e+06 242496 678818. 2348.85 4.47 0.269376 0.237029 25966 169698 -1 2442 14 1172 2809 146376 33364 6.36938 6.36938 -156.721 -6.36938 0 0 902133. 3121.57 0.35 0.06 0.15 -1 -1 0.35 0.0253846 0.0230833 117 169 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_126.v common 10.11 vpr 64.24 MiB -1 -1 0.17 20560 9 0.16 -1 -1 36172 -1 -1 18 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65784 25 32 183 215 1 134 75 17 17 289 -1 unnamed_device 25.7 MiB 1.08 672 12715 3976 7561 1178 64.2 MiB 0.08 0.00 4.27129 -82.6904 -4.27129 4.27129 0.96 0.000424367 0.000385184 0.0375155 0.0339834 30 2031 49 6.79088e+06 242496 556674. 1926.21 5.69 0.200751 0.174669 24526 138013 -1 1538 15 699 1898 95796 22443 4.39659 4.39659 -95.9929 -4.39659 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0179927 0.0162444 86 102 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_127.v common 8.86 vpr 64.88 MiB -1 -1 0.23 20772 12 0.31 -1 -1 36424 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 300 332 1 226 85 17 17 289 -1 unnamed_device 26.3 MiB 1.78 1452 14407 4182 8030 2195 64.9 MiB 0.12 0.00 6.04387 -138.13 -6.04387 6.04387 0.92 0.000627177 0.000557029 0.0549257 0.0495392 40 3474 23 6.79088e+06 282912 706193. 2443.58 3.36 0.227596 0.201462 26254 175826 -1 3216 17 1617 4470 289231 63641 6.41977 6.41977 -160.487 -6.41977 0 0 926341. 3205.33 0.35 0.09 0.15 -1 -1 0.35 0.0321502 0.0291154 143 205 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_128.v common 9.24 vpr 64.98 MiB -1 -1 0.24 21320 13 0.40 -1 -1 36624 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 31 32 290 322 1 215 85 17 17 289 -1 unnamed_device 26.5 MiB 2.39 1318 9199 2062 5460 1677 65.0 MiB 0.09 0.00 6.928 -146.391 -6.928 6.928 0.93 0.00067371 0.000606249 0.0364128 0.0330318 38 3613 36 6.79088e+06 296384 678818. 2348.85 3.05 0.217515 0.190986 25966 169698 -1 2912 20 1392 3935 198701 45115 6.9672 6.9672 -159.514 -6.9672 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0344967 0.0310278 147 197 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 8.86 vpr 65.05 MiB -1 -1 0.16 20396 1 0.03 -1 -1 33808 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66612 32 32 354 285 1 207 90 17 17 289 -1 unnamed_device 26.6 MiB 3.53 1204 13155 4344 7859 952 65.1 MiB 0.11 0.00 4.31702 -132.808 -4.31702 4.31702 0.95 0.000521476 0.000473583 0.0359013 0.0326456 34 2934 35 6.87369e+06 363320 618332. 2139.56 2.10 0.158732 0.138466 25762 151098 -1 2432 20 1570 2484 209620 45462 4.5446 4.5446 -158.127 -4.5446 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0223984 0.0198099 142 47 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 7.15 vpr 65.08 MiB -1 -1 0.17 20492 1 0.03 -1 -1 33904 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 30 32 363 293 1 199 86 17 17 289 -1 unnamed_device 26.7 MiB 2.96 1023 9536 2567 5956 1013 65.1 MiB 0.09 0.00 3.65445 -111.378 -3.65445 3.65445 0.93 0.000498532 0.000445261 0.0276475 0.0251543 30 2160 22 6.87369e+06 335372 556674. 1926.21 1.01 0.0944837 0.0827122 25186 138497 -1 1828 21 1459 2264 106361 28478 3.82346 3.82346 -132.599 -3.82346 0 0 706193. 2443.58 0.30 0.06 0.13 -1 -1 0.30 0.0234211 0.0205627 138 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 7.96 vpr 64.84 MiB -1 -1 0.16 20100 1 0.03 -1 -1 33900 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 26.3 MiB 3.08 1042 7153 1647 5062 444 64.8 MiB 0.07 0.00 3.45035 -102.032 -3.45035 3.45035 0.94 0.000448327 0.00040825 0.0194691 0.0177807 34 2480 22 6.87369e+06 293451 618332. 2139.56 1.64 0.119136 0.103743 25762 151098 -1 2114 22 1293 1787 134358 31515 3.86676 3.86676 -124.86 -3.86676 0 0 787024. 2723.27 0.33 0.06 0.14 -1 -1 0.33 0.0226174 0.0199506 124 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 6.01 vpr 64.86 MiB -1 -1 0.15 20160 1 0.03 -1 -1 33848 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 29 32 308 248 1 172 90 17 17 289 -1 unnamed_device 26.3 MiB 1.18 978 12552 3794 7466 1292 64.9 MiB 0.09 0.00 3.69012 -105.3 -3.69012 3.69012 0.94 0.000393678 0.000357506 0.0298305 0.0270459 34 2391 27 6.87369e+06 405241 618332. 2139.56 1.60 0.132936 0.115132 25762 151098 -1 2064 22 1500 2748 209721 48063 3.8767 3.8767 -122.674 -3.8767 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0232706 0.0204809 124 25 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 8.79 vpr 65.04 MiB -1 -1 0.15 20276 1 0.03 -1 -1 33728 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 336 268 1 181 91 17 17 289 -1 unnamed_device 26.4 MiB 1.44 1069 12127 3256 8126 745 65.0 MiB 0.11 0.00 3.56782 -109.183 -3.56782 3.56782 0.91 0.000480234 0.000439725 0.0310812 0.0283147 30 2608 25 6.87369e+06 377294 556674. 1926.21 4.29 0.175205 0.15402 25186 138497 -1 2006 22 1332 2726 158196 37725 3.7914 3.7914 -129.809 -3.7914 0 0 706193. 2443.58 0.28 0.06 0.12 -1 -1 0.28 0.0226307 0.019972 131 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 5.87 vpr 64.97 MiB -1 -1 0.16 20532 1 0.03 -1 -1 33984 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 32 32 366 295 1 189 94 17 17 289 -1 unnamed_device 26.5 MiB 1.71 1066 10957 2969 7191 797 65.0 MiB 0.10 0.00 2.67957 -99.0661 -2.67957 2.67957 0.92 0.000645805 0.000577123 0.0290258 0.0264695 32 2655 25 6.87369e+06 419215 586450. 2029.24 0.99 0.0994742 0.0875588 25474 144626 -1 2190 19 1399 2219 174510 40656 3.25191 3.25191 -128.049 -3.25191 0 0 744469. 2576.02 0.29 0.06 0.14 -1 -1 0.29 0.0216857 0.0191528 136 55 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 8.80 vpr 64.64 MiB -1 -1 0.15 20236 1 0.03 -1 -1 34288 -1 -1 19 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 27 32 259 221 1 141 78 17 17 289 -1 unnamed_device 26.1 MiB 2.69 623 12528 3238 8291 999 64.6 MiB 0.09 0.00 2.94598 -84.4417 -2.94598 2.94598 0.94 0.000402978 0.000368104 0.0322232 0.0294079 34 1709 24 6.87369e+06 265503 618332. 2139.56 2.96 0.153273 0.13228 25762 151098 -1 1373 18 998 1670 118225 28272 3.08026 3.08026 -101.482 -3.08026 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0162842 0.0143502 97 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 7.31 vpr 64.79 MiB -1 -1 0.15 20136 1 0.03 -1 -1 33756 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 26.2 MiB 1.06 910 16295 5327 8217 2751 64.8 MiB 0.11 0.00 2.74825 -84.2401 -2.74825 2.74825 0.98 0.000431785 0.000391873 0.0341963 0.0309963 28 2330 20 6.87369e+06 447163 531479. 1839.03 3.04 0.15153 0.131719 24610 126494 -1 2079 22 1213 2059 167561 37296 3.18286 3.18286 -104.863 -3.18286 0 0 648988. 2245.63 0.26 0.06 0.12 -1 -1 0.26 0.0199977 0.0175049 119 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 9.82 vpr 64.63 MiB -1 -1 0.16 20228 1 0.03 -1 -1 33812 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 31 32 317 271 1 175 80 17 17 289 -1 unnamed_device 26.1 MiB 2.79 740 7992 1853 5030 1109 64.6 MiB 0.07 0.00 2.65757 -87.8608 -2.65757 2.65757 0.96 0.000474247 0.000432758 0.0234168 0.0213722 36 1984 21 6.87369e+06 237555 648988. 2245.63 3.75 0.152264 0.131356 26050 158493 -1 1752 19 1270 1840 125195 30682 3.20291 3.20291 -112.3 -3.20291 0 0 828058. 2865.25 0.34 0.06 0.15 -1 -1 0.34 0.0199735 0.0176705 113 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 9.03 vpr 64.85 MiB -1 -1 0.14 20480 1 0.03 -1 -1 33988 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 298 248 1 162 80 17 17 289 -1 unnamed_device 26.4 MiB 4.33 788 7476 1809 5291 376 64.9 MiB 0.07 0.00 3.21683 -107.397 -3.21683 3.21683 0.95 0.00041261 0.000371338 0.0204939 0.0186087 34 2093 31 6.87369e+06 223581 618332. 2139.56 1.56 0.118859 0.102687 25762 151098 -1 1751 23 1264 2093 155104 37960 3.11946 3.11946 -122.645 -3.11946 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0208153 0.0182127 107 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 8.32 vpr 64.52 MiB -1 -1 0.16 20448 1 0.03 -1 -1 33596 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 30 32 303 262 1 148 78 17 17 289 -1 unnamed_device 26.1 MiB 3.63 738 6884 1779 4589 516 64.5 MiB 0.06 0.00 3.28893 -97.5252 -3.28893 3.28893 0.97 0.000445127 0.000409318 0.0206551 0.0188528 34 1823 20 6.87369e+06 223581 618332. 2139.56 1.49 0.111835 0.0969993 25762 151098 -1 1519 16 835 1373 86991 21118 3.11326 3.11326 -111.636 -3.11326 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0170362 0.0151378 98 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 7.33 vpr 64.73 MiB -1 -1 0.15 20404 1 0.03 -1 -1 33632 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 26.3 MiB 2.68 1005 11631 3362 7063 1206 64.7 MiB 0.09 0.00 3.0081 -102.337 -3.0081 3.0081 0.95 0.000417634 0.000381196 0.0291661 0.0264804 34 2345 23 6.87369e+06 237555 618332. 2139.56 1.47 0.117094 0.101793 25762 151098 -1 1982 23 1148 1581 114812 27612 3.22011 3.22011 -122.431 -3.22011 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0204415 0.0179717 107 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 9.43 vpr 64.95 MiB -1 -1 0.16 20716 1 0.03 -1 -1 33992 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 26.6 MiB 4.39 1017 16983 5386 9533 2064 64.9 MiB 0.15 0.00 3.36593 -110.453 -3.36593 3.36593 0.94 0.000497899 0.000446717 0.0468123 0.042451 34 2720 21 6.87369e+06 321398 618332. 2139.56 1.73 0.158225 0.138527 25762 151098 -1 2293 20 1893 2883 222845 51693 3.38291 3.38291 -130.537 -3.38291 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0227666 0.0200752 142 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 7.47 vpr 64.84 MiB -1 -1 0.14 20456 1 0.03 -1 -1 33768 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 26.5 MiB 2.65 1021 17375 4684 10530 2161 64.8 MiB 0.14 0.00 3.88148 -120.44 -3.88148 3.88148 0.91 0.000501448 0.000456287 0.0430929 0.0393343 26 2807 42 6.87369e+06 433189 503264. 1741.40 1.73 0.143095 0.126919 24322 120374 -1 2414 20 1717 2810 242102 55388 4.38366 4.38366 -148.989 -4.38366 0 0 618332. 2139.56 0.25 0.08 0.10 -1 -1 0.25 0.0236976 0.0209379 133 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 6.13 vpr 64.62 MiB -1 -1 0.13 20088 1 0.03 -1 -1 33872 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 29 32 248 215 1 142 80 17 17 289 -1 unnamed_device 26.1 MiB 1.96 596 9368 2305 6541 522 64.6 MiB 0.07 0.00 2.63557 -78.8285 -2.63557 2.63557 0.94 0.000398021 0.000363123 0.0234792 0.0214267 28 1905 20 6.87369e+06 265503 531479. 1839.03 1.07 0.0752195 0.0660376 24610 126494 -1 1623 21 1059 1657 123015 30350 2.94131 2.94131 -102.953 -2.94131 0 0 648988. 2245.63 0.27 0.05 0.12 -1 -1 0.27 0.0179044 0.0157042 94 21 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 7.65 vpr 64.89 MiB -1 -1 0.16 20524 1 0.03 -1 -1 34000 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 32 32 370 297 1 191 88 17 17 289 -1 unnamed_device 26.5 MiB 2.46 865 11398 3123 6712 1563 64.9 MiB 0.10 0.00 2.9366 -95.9942 -2.9366 2.9366 0.94 0.000509431 0.000464526 0.0323889 0.0295157 34 2741 39 6.87369e+06 335372 618332. 2139.56 1.94 0.16289 0.141901 25762 151098 -1 1950 21 1520 2674 205372 48483 3.22491 3.22491 -118.443 -3.22491 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0239714 0.0211317 135 55 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 9.51 vpr 65.08 MiB -1 -1 0.16 20728 1 0.03 -1 -1 33852 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 338 269 1 204 85 17 17 289 -1 unnamed_device 26.7 MiB 4.64 1050 9013 2192 6322 499 65.1 MiB 0.08 0.00 3.24063 -108.847 -3.24063 3.24063 0.97 0.000512182 0.000466693 0.0260107 0.0237014 34 2627 20 6.87369e+06 293451 618332. 2139.56 1.62 0.137403 0.120076 25762 151098 -1 2189 19 1588 2311 166927 38727 3.09951 3.09951 -121.942 -3.09951 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0221263 0.0196305 140 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 7.71 vpr 64.92 MiB -1 -1 0.16 20248 1 0.03 -1 -1 33592 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 323 276 1 156 92 17 17 289 -1 unnamed_device 26.4 MiB 2.97 895 15410 4821 8560 2029 64.9 MiB 0.12 0.00 2.46506 -93.2432 -2.46506 2.46506 0.94 0.000478338 0.000423195 0.0366778 0.0332926 34 2087 19 6.87369e+06 391268 618332. 2139.56 1.50 0.131534 0.114239 25762 151098 -1 1796 22 1102 1594 115623 27756 2.43847 2.43847 -106.232 -2.43847 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0216493 0.0190173 109 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 4.69 vpr 64.32 MiB -1 -1 0.14 20072 1 0.03 -1 -1 33668 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 30 32 222 206 1 117 76 17 17 289 -1 unnamed_device 25.9 MiB 0.69 678 11276 4126 5716 1434 64.3 MiB 0.07 0.00 2.10903 -75.4981 -2.10903 2.10903 0.94 0.000358611 0.000327857 0.0265206 0.0241706 32 1581 19 6.87369e+06 195634 586450. 2029.24 0.91 0.0696673 0.0611567 25474 144626 -1 1375 20 726 1023 88047 20100 2.13612 2.13612 -89.7402 -2.13612 0 0 744469. 2576.02 0.29 0.04 0.13 -1 -1 0.29 0.0154275 0.013528 71 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 7.97 vpr 64.68 MiB -1 -1 0.14 20296 1 0.03 -1 -1 33884 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 31 32 291 243 1 178 82 17 17 289 -1 unnamed_device 26.2 MiB 3.28 998 10050 3228 6176 646 64.7 MiB 0.08 0.00 3.93483 -123.477 -3.93483 3.93483 0.94 0.000483747 0.000440093 0.02557 0.0232288 34 2181 22 6.87369e+06 265503 618332. 2139.56 1.53 0.116639 0.101005 25762 151098 -1 1885 21 1113 1678 120286 27636 3.69321 3.69321 -138.177 -3.69321 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0200179 0.0176058 116 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 5.35 vpr 65.00 MiB -1 -1 0.16 20268 1 0.03 -1 -1 34024 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 342 271 1 181 99 17 17 289 -1 unnamed_device 26.6 MiB 1.05 1192 18111 4986 10816 2309 65.0 MiB 0.14 0.00 3.33899 -111.682 -3.33899 3.33899 0.94 0.000499936 0.000455802 0.041982 0.0381774 32 2551 31 6.87369e+06 489084 586450. 2029.24 1.03 0.112742 0.0991361 25474 144626 -1 2148 23 1645 2489 187712 42901 3.7534 3.7534 -136.772 -3.7534 0 0 744469. 2576.02 0.30 0.07 0.14 -1 -1 0.30 0.023991 0.0210731 137 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 7.53 vpr 65.18 MiB -1 -1 0.17 20512 1 0.03 -1 -1 33632 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 32 32 372 300 1 206 86 17 17 289 -1 unnamed_device 26.8 MiB 2.50 1104 8213 1851 5940 422 65.2 MiB 0.08 0.00 3.42215 -106.583 -3.42215 3.42215 0.95 0.000522067 0.000474725 0.0250722 0.0228999 38 2390 20 6.87369e+06 307425 678818. 2348.85 1.69 0.135639 0.11773 26626 170182 -1 2147 20 1388 2314 165765 36600 3.84876 3.84876 -126.255 -3.84876 0 0 902133. 3121.57 0.35 0.07 0.16 -1 -1 0.35 0.0243532 0.0216094 142 59 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 6.29 vpr 64.23 MiB -1 -1 0.13 20288 1 0.03 -1 -1 34224 -1 -1 17 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65776 26 32 190 182 1 108 75 17 17 289 -1 unnamed_device 25.8 MiB 1.93 344 9713 3545 4055 2113 64.2 MiB 0.05 0.00 2.06503 -56.4036 -2.06503 2.06503 0.92 0.000291269 0.000265801 0.0193546 0.0176799 34 1258 24 6.87369e+06 237555 618332. 2139.56 1.41 0.0841063 0.0731692 25762 151098 -1 799 17 583 775 49767 14736 2.37877 2.37877 -75.4641 -2.37877 0 0 787024. 2723.27 0.30 0.03 0.13 -1 -1 0.30 0.0118268 0.0104665 67 21 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 5.98 vpr 64.92 MiB -1 -1 0.15 20272 1 0.03 -1 -1 33892 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 26.4 MiB 1.24 998 5271 1082 3713 476 64.9 MiB 0.05 0.00 3.71512 -108.094 -3.71512 3.71512 0.95 0.000445844 0.00040592 0.0138268 0.0126496 34 2339 23 6.87369e+06 321398 618332. 2139.56 1.57 0.108093 0.093502 25762 151098 -1 2091 21 1329 2391 183586 40616 3.7824 3.7824 -127.825 -3.7824 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0199969 0.0176192 119 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 4.45 vpr 64.14 MiB -1 -1 0.12 19900 1 0.03 -1 -1 33732 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65676 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 25.9 MiB 0.49 533 10636 4408 5985 243 64.1 MiB 0.06 0.00 2.08703 -66.0984 -2.08703 2.08703 0.96 0.000303485 0.000274629 0.0203051 0.0183961 28 1388 27 6.87369e+06 167686 531479. 1839.03 0.94 0.061918 0.0540805 24610 126494 -1 1231 20 676 820 66783 16500 2.40077 2.40077 -85.6913 -2.40077 0 0 648988. 2245.63 0.27 0.04 0.11 -1 -1 0.27 0.0129243 0.011422 65 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 7.24 vpr 64.43 MiB -1 -1 0.15 20244 1 0.03 -1 -1 33976 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 32 32 300 245 1 169 94 17 17 289 -1 unnamed_device 25.9 MiB 1.02 827 10957 2485 7973 499 64.4 MiB 0.09 0.00 3.63082 -102.435 -3.63082 3.63082 0.95 0.000461967 0.000420725 0.0254304 0.0231775 28 2080 24 6.87369e+06 419215 531479. 1839.03 3.06 0.165141 0.143126 24610 126494 -1 1840 20 1191 1964 129299 32456 4.0267 4.0267 -130.181 -4.0267 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0205055 0.0181528 120 21 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 5.28 vpr 64.88 MiB -1 -1 0.16 20212 1 0.03 -1 -1 34020 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 26.3 MiB 1.03 1035 13703 3871 8512 1320 64.9 MiB 0.11 0.00 2.73725 -91.0691 -2.73725 2.73725 0.98 0.00045818 0.000408627 0.0313355 0.0283538 26 2481 21 6.87369e+06 433189 503264. 1741.40 1.00 0.0912344 0.0799291 24322 120374 -1 2170 19 1310 2271 168982 38295 3.23386 3.23386 -117.253 -3.23386 0 0 618332. 2139.56 0.25 0.06 0.12 -1 -1 0.25 0.0194589 0.0171508 130 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 7.01 vpr 64.87 MiB -1 -1 0.15 20596 1 0.03 -1 -1 33524 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66428 32 32 338 277 1 186 92 17 17 289 -1 unnamed_device 26.5 MiB 2.08 1001 11270 2968 7533 769 64.9 MiB 0.10 0.00 3.71518 -107.036 -3.71518 3.71518 0.98 0.000789847 0.0007212 0.0292094 0.026616 34 2482 20 6.87369e+06 391268 618332. 2139.56 1.62 0.137374 0.119845 25762 151098 -1 2025 23 1451 2527 167137 39800 3.91776 3.91776 -130.692 -3.91776 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0250282 0.0220785 131 47 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 5.60 vpr 64.40 MiB -1 -1 0.15 20264 1 0.03 -1 -1 33684 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 32 32 284 241 1 148 80 17 17 289 -1 unnamed_device 26.0 MiB 1.10 758 12292 4348 5539 2405 64.4 MiB 0.09 0.00 2.61357 -89.9597 -2.61357 2.61357 0.96 0.000425814 0.000388002 0.0327362 0.0298893 28 2224 31 6.87369e+06 223581 531479. 1839.03 1.33 0.102279 0.090353 24610 126494 -1 1770 23 1090 1699 143707 33934 3.00781 3.00781 -111.1 -3.00781 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0212513 0.0186693 99 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 5.57 vpr 64.57 MiB -1 -1 0.15 20284 1 0.03 -1 -1 33528 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66124 30 32 262 227 1 138 88 17 17 289 -1 unnamed_device 26.0 MiB 1.42 725 10033 2465 6301 1267 64.6 MiB 0.07 0.00 2.60257 -83.4629 -2.60257 2.60257 0.94 0.000406058 0.000369268 0.0227774 0.0207728 32 1803 21 6.87369e+06 363320 586450. 2029.24 0.97 0.0759823 0.0665884 25474 144626 -1 1551 19 977 1587 117708 26928 2.78301 2.78301 -99.246 -2.78301 0 0 744469. 2576.02 0.29 0.05 0.14 -1 -1 0.29 0.0173777 0.0152791 97 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 5.39 vpr 64.75 MiB -1 -1 0.14 20352 1 0.03 -1 -1 33800 -1 -1 18 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66308 28 32 260 223 1 140 78 17 17 289 -1 unnamed_device 26.2 MiB 1.03 653 12860 4741 6584 1535 64.8 MiB 0.09 0.00 2.8296 -82.4227 -2.8296 2.8296 0.91 0.000379827 0.000346202 0.030761 0.0280665 32 1913 23 6.87369e+06 251529 586450. 2029.24 1.32 0.0940469 0.0824229 25474 144626 -1 1544 22 1048 1852 142763 35752 2.98326 2.98326 -101.314 -2.98326 0 0 744469. 2576.02 0.29 0.06 0.13 -1 -1 0.29 0.0186891 0.0164764 95 27 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 4.97 vpr 64.62 MiB -1 -1 0.14 20328 1 0.03 -1 -1 33456 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 26.3 MiB 0.84 819 9181 2266 6333 582 64.6 MiB 0.07 0.00 3.20393 -100.286 -3.20393 3.20393 0.96 0.000420769 0.000381316 0.0223019 0.0202964 32 2245 24 6.87369e+06 237555 586450. 2029.24 0.98 0.0755272 0.0662389 25474 144626 -1 1843 18 1150 1888 138606 32445 3.01161 3.01161 -115.801 -3.01161 0 0 744469. 2576.02 0.30 0.05 0.13 -1 -1 0.30 0.0167707 0.0148639 101 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 5.10 vpr 64.73 MiB -1 -1 0.15 20648 1 0.03 -1 -1 33692 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 31 32 271 231 1 149 89 17 17 289 -1 unnamed_device 26.4 MiB 1.03 778 9197 1989 6831 377 64.7 MiB 0.07 0.00 2.8296 -88.0864 -2.8296 2.8296 0.94 0.000422663 0.000383634 0.0211721 0.0192411 30 1908 22 6.87369e+06 363320 556674. 1926.21 0.95 0.0763 0.0667212 25186 138497 -1 1586 22 792 1531 91147 22199 2.83496 2.83496 -104.851 -2.83496 0 0 706193. 2443.58 0.30 0.05 0.12 -1 -1 0.30 0.0198373 0.0174776 102 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 8.24 vpr 64.61 MiB -1 -1 0.14 20160 1 0.03 -1 -1 33800 -1 -1 25 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 29 32 291 250 1 154 86 17 17 289 -1 unnamed_device 26.2 MiB 3.56 860 13505 3573 8124 1808 64.6 MiB 0.10 0.00 2.42106 -82.6823 -2.42106 2.42106 0.95 0.000420707 0.000383346 0.0321181 0.0292594 34 1953 18 6.87369e+06 349346 618332. 2139.56 1.48 0.118061 0.102774 25762 151098 -1 1722 21 1157 1723 123276 29507 2.51977 2.51977 -102.353 -2.51977 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0192361 0.0168655 106 48 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 12.94 vpr 65.07 MiB -1 -1 0.16 20604 1 0.03 -1 -1 33912 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 367 282 1 201 104 17 17 289 -1 unnamed_device 26.6 MiB 4.20 1171 15232 4033 9175 2024 65.1 MiB 0.13 0.00 3.28579 -102.179 -3.28579 3.28579 0.95 0.00054489 0.000495039 0.0358423 0.0325445 26 3163 37 6.87369e+06 558954 503264. 1741.40 5.48 0.200949 0.175133 24322 120374 -1 2708 23 1798 3441 338593 71179 3.956 3.956 -130.961 -3.956 0 0 618332. 2139.56 0.26 0.10 0.11 -1 -1 0.26 0.0271762 0.023909 156 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 8.79 vpr 65.36 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33968 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66924 32 32 391 311 1 194 102 17 17 289 -1 unnamed_device 26.9 MiB 3.85 1097 17000 4575 10194 2231 65.4 MiB 0.14 0.00 3.09148 -109.588 -3.09148 3.09148 0.97 0.000561673 0.000515558 0.0424422 0.0384944 34 2312 24 6.87369e+06 531006 618332. 2139.56 1.58 0.160458 0.139743 25762 151098 -1 1980 20 1668 2708 159232 37076 2.77866 2.77866 -117.934 -2.77866 0 0 787024. 2723.27 0.33 0.07 0.14 -1 -1 0.33 0.0255908 0.0226236 148 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 6.94 vpr 64.77 MiB -1 -1 0.13 20176 1 0.03 -1 -1 33796 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 26.3 MiB 2.30 884 14081 5194 6489 2398 64.8 MiB 0.10 0.00 3.32193 -102.37 -3.32193 3.32193 0.91 0.000406387 0.000364464 0.0349167 0.0318773 34 2327 21 6.87369e+06 251529 618332. 2139.56 1.57 0.129906 0.114094 25762 151098 -1 1888 22 1281 1935 153390 35118 3.30791 3.30791 -119.359 -3.30791 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0200198 0.0176557 109 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 8.10 vpr 64.96 MiB -1 -1 0.17 20472 1 0.03 -1 -1 33972 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 31 32 370 297 1 187 89 17 17 289 -1 unnamed_device 26.6 MiB 3.10 877 15533 4576 8222 2735 65.0 MiB 0.13 0.00 2.9678 -96.0281 -2.9678 2.9678 0.94 0.000519691 0.000469477 0.0433536 0.0393652 34 2623 25 6.87369e+06 363320 618332. 2139.56 1.69 0.160032 0.139481 25762 151098 -1 2014 20 1620 2716 182708 44176 3.02256 3.02256 -115.855 -3.02256 0 0 787024. 2723.27 0.33 0.07 0.14 -1 -1 0.33 0.0244652 0.0216354 136 57 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 10.49 vpr 65.13 MiB -1 -1 0.17 20656 1 0.03 -1 -1 33916 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66692 31 32 377 302 1 237 88 17 17 289 -1 unnamed_device 26.6 MiB 4.65 1235 17053 5614 8644 2795 65.1 MiB 0.15 0.00 4.36902 -137.043 -4.36902 4.36902 0.97 0.00055412 0.000506154 0.0492069 0.0448328 34 3428 24 6.87369e+06 349346 618332. 2139.56 2.42 0.190351 0.168113 25762 151098 -1 2749 24 2432 3627 308454 68875 5.11439 5.11439 -168.979 -5.11439 0 0 787024. 2723.27 0.32 0.10 0.13 -1 -1 0.32 0.0306002 0.027019 159 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 8.58 vpr 65.16 MiB -1 -1 0.17 20404 1 0.03 -1 -1 33788 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 31 32 383 305 1 212 90 17 17 289 -1 unnamed_device 26.7 MiB 4.16 1074 16773 5661 8063 3049 65.2 MiB 0.15 0.00 4.33584 -133.913 -4.33584 4.33584 0.94 0.000535582 0.000486098 0.0472253 0.0429385 30 2768 25 6.87369e+06 377294 556674. 1926.21 1.14 0.124722 0.110181 25186 138497 -1 2042 18 1379 2123 125125 29660 4.42655 4.42655 -155.407 -4.42655 0 0 706193. 2443.58 0.30 0.06 0.13 -1 -1 0.30 0.023487 0.0209009 152 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 7.84 vpr 65.10 MiB -1 -1 0.15 20728 1 0.03 -1 -1 33648 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 31 32 352 285 1 186 88 17 17 289 -1 unnamed_device 26.7 MiB 3.29 972 10423 2904 6299 1220 65.1 MiB 0.10 0.00 3.22963 -104.153 -3.22963 3.22963 0.96 0.000494236 0.00044846 0.0283985 0.0257985 32 3175 50 6.87369e+06 349346 586450. 2029.24 1.35 0.120971 0.105761 25474 144626 -1 2369 23 1650 2773 219384 52186 3.31991 3.31991 -125.166 -3.31991 0 0 744469. 2576.02 0.30 0.08 0.13 -1 -1 0.30 0.0250962 0.0219926 131 51 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 7.57 vpr 64.53 MiB -1 -1 0.14 20508 1 0.03 -1 -1 33816 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 32 32 291 242 1 183 84 17 17 289 -1 unnamed_device 26.0 MiB 2.87 1056 14541 5276 7305 1960 64.5 MiB 0.11 0.00 3.52545 -100.891 -3.52545 3.52545 0.93 0.000436049 0.000398093 0.0375726 0.0342622 34 2529 22 6.87369e+06 279477 618332. 2139.56 1.54 0.132015 0.115254 25762 151098 -1 2054 18 1289 1947 139144 32676 3.82676 3.82676 -119.483 -3.82676 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0188593 0.0166444 119 24 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 8.69 vpr 65.58 MiB -1 -1 0.17 20468 1 0.03 -1 -1 33892 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67152 32 32 457 356 1 225 102 17 17 289 -1 unnamed_device 27.0 MiB 4.08 1268 13192 3427 8863 902 65.6 MiB 0.13 0.00 3.94568 -130.369 -3.94568 3.94568 0.94 0.000605258 0.000549924 0.0362587 0.0329305 32 3530 49 6.87369e+06 531006 586450. 2029.24 1.31 0.143367 0.125437 25474 144626 -1 2620 21 1880 2960 219971 51457 4.18326 4.18326 -154.256 -4.18326 0 0 744469. 2576.02 0.29 0.08 0.13 -1 -1 0.29 0.0279296 0.0246702 173 84 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 8.44 vpr 64.42 MiB -1 -1 0.16 20432 1 0.03 -1 -1 33588 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 31 32 261 225 1 148 85 17 17 289 -1 unnamed_device 26.1 MiB 2.06 885 13291 3761 8364 1166 64.4 MiB 0.10 0.00 2.78925 -88.8806 -2.78925 2.78925 0.97 0.000407684 0.000371656 0.030884 0.028092 34 1941 49 6.87369e+06 307425 618332. 2139.56 3.18 0.152237 0.131718 25762 151098 -1 1697 16 900 1502 105210 24220 2.94126 2.94126 -105.794 -2.94126 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0150911 0.0133616 96 24 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 7.98 vpr 64.88 MiB -1 -1 0.17 20488 1 0.03 -1 -1 33848 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 26.4 MiB 2.81 1163 15017 4305 8854 1858 64.9 MiB 0.13 0.00 3.78918 -119.277 -3.78918 3.78918 0.97 0.000502147 0.000456389 0.0419302 0.0382363 34 3071 25 6.87369e+06 321398 618332. 2139.56 1.84 0.156473 0.13691 25762 151098 -1 2480 21 1800 2711 225667 51596 4.12426 4.12426 -143.841 -4.12426 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0229527 0.0202377 140 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 6.78 vpr 64.65 MiB -1 -1 0.17 20600 1 0.03 -1 -1 33656 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 349 284 1 183 96 17 17 289 -1 unnamed_device 26.3 MiB 2.32 960 16959 5005 8975 2979 64.6 MiB 0.14 0.00 2.9146 -93.1402 -2.9146 2.9146 0.96 0.000519924 0.000473697 0.0425879 0.0388142 30 2407 29 6.87369e+06 447163 556674. 1926.21 1.18 0.118573 0.104568 25186 138497 -1 1852 22 1141 1984 112146 26846 2.86001 2.86001 -108.023 -2.86001 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0240247 0.0211493 132 50 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 4.95 vpr 64.96 MiB -1 -1 0.15 20004 1 0.03 -1 -1 33976 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 26.4 MiB 0.78 1057 14562 4411 8073 2078 65.0 MiB 0.11 0.00 3.35979 -108.146 -3.35979 3.35979 0.93 0.000404877 0.000363783 0.0323277 0.0291994 28 2584 26 6.87369e+06 363320 531479. 1839.03 1.06 0.0954066 0.0837081 24610 126494 -1 2254 20 1455 2777 202758 46339 3.6041 3.6041 -128.763 -3.6041 0 0 648988. 2245.63 0.27 0.07 0.11 -1 -1 0.27 0.0199 0.0175515 123 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 7.80 vpr 65.02 MiB -1 -1 0.16 20420 1 0.03 -1 -1 33900 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 353 287 1 203 86 17 17 289 -1 unnamed_device 26.7 MiB 3.57 1082 9725 2417 6372 936 65.0 MiB 0.09 0.00 3.93315 -121.002 -3.93315 3.93315 0.94 0.000539008 0.000487153 0.0290561 0.0264652 30 2602 31 6.87369e+06 307425 556674. 1926.21 1.05 0.103735 0.0908468 25186 138497 -1 2032 19 1105 1558 93768 23218 3.3592 3.3592 -126.593 -3.3592 0 0 706193. 2443.58 0.29 0.05 0.13 -1 -1 0.29 0.0220622 0.0194974 136 52 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 8.58 vpr 65.05 MiB -1 -1 0.17 20612 1 0.03 -1 -1 34012 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 361 291 1 189 96 17 17 289 -1 unnamed_device 26.7 MiB 3.59 1027 15864 4682 8227 2955 65.0 MiB 0.13 0.00 2.9366 -98.844 -2.9366 2.9366 0.98 0.000501592 0.000456178 0.0396511 0.0361264 34 2577 27 6.87369e+06 447163 618332. 2139.56 1.67 0.161009 0.141034 25762 151098 -1 2066 24 1534 2778 183704 43950 3.02331 3.02331 -119.254 -3.02331 0 0 787024. 2723.27 0.32 0.08 0.13 -1 -1 0.32 0.0274699 0.0241041 136 52 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 10.65 vpr 64.90 MiB -1 -1 0.15 20540 1 0.03 -1 -1 33968 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 382 305 1 193 99 17 17 289 -1 unnamed_device 26.5 MiB 3.36 920 18567 5784 8597 4186 64.9 MiB 0.13 0.00 3.24063 -105.558 -3.24063 3.24063 0.91 0.000513979 0.000468232 0.0446636 0.040658 38 2332 21 6.87369e+06 489084 678818. 2348.85 4.09 0.217921 0.190817 26626 170182 -1 1880 21 1518 2576 168568 39475 2.87101 2.87101 -111.87 -2.87101 0 0 902133. 3121.57 0.33 0.07 0.14 -1 -1 0.33 0.0247736 0.0219321 144 59 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 5.70 vpr 64.83 MiB -1 -1 0.15 20524 1 0.03 -1 -1 33540 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 306 248 1 170 97 17 17 289 -1 unnamed_device 26.3 MiB 1.00 900 10975 2629 7859 487 64.8 MiB 0.08 0.00 3.39279 -101.124 -3.39279 3.39279 0.94 0.000441919 0.000405899 0.0231985 0.0209736 26 2541 44 6.87369e+06 461137 503264. 1741.40 1.65 0.10589 0.0925643 24322 120374 -1 2169 21 1473 2597 224969 50994 3.7421 3.7421 -126.793 -3.7421 0 0 618332. 2139.56 0.25 0.07 0.10 -1 -1 0.25 0.0200996 0.0176449 124 21 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 7.46 vpr 65.08 MiB -1 -1 0.16 20312 1 0.03 -1 -1 33796 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 26.7 MiB 2.58 1105 9347 2191 6389 767 65.1 MiB 0.09 0.00 3.84368 -115.344 -3.84368 3.84368 0.96 0.000477745 0.000428015 0.0257494 0.0234609 34 2848 33 6.87369e+06 307425 618332. 2139.56 1.65 0.136671 0.118916 25762 151098 -1 2302 23 1636 2360 168534 38916 3.93776 3.93776 -135.388 -3.93776 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0233408 0.0205443 135 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 7.53 vpr 65.21 MiB -1 -1 0.15 20832 1 0.03 -1 -1 33972 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 31 32 373 299 1 204 85 17 17 289 -1 unnamed_device 26.7 MiB 2.37 1153 16081 5272 8365 2444 65.2 MiB 0.14 0.00 3.72318 -119.527 -3.72318 3.72318 0.94 0.00052355 0.0004774 0.0468075 0.0426396 34 2910 24 6.87369e+06 307425 618332. 2139.56 1.92 0.161763 0.141632 25762 151098 -1 2541 23 1689 2660 235193 51628 4.17236 4.17236 -144.061 -4.17236 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0254213 0.022311 141 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 10.64 vpr 65.09 MiB -1 -1 0.16 20880 1 0.03 -1 -1 33924 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 387 315 1 194 85 17 17 289 -1 unnamed_device 26.7 MiB 3.28 1055 11803 3443 7648 712 65.1 MiB 0.11 0.00 3.65075 -115.066 -3.65075 3.65075 0.97 0.000555756 0.000507135 0.037157 0.0339026 36 2770 29 6.87369e+06 293451 648988. 2245.63 4.03 0.217044 0.188411 26050 158493 -1 2336 22 1528 2746 204033 47052 3.84676 3.84676 -135.608 -3.84676 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.025885 0.0227214 135 74 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 4.89 vpr 64.54 MiB -1 -1 0.14 20620 1 0.03 -1 -1 33720 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 251 219 1 141 86 17 17 289 -1 unnamed_device 26.0 MiB 0.87 730 8780 2126 6228 426 64.5 MiB 0.07 0.00 2.7886 -85.3614 -2.7886 2.7886 0.93 0.00040654 0.000370019 0.0202677 0.0184844 30 1824 22 6.87369e+06 307425 556674. 1926.21 0.93 0.0700839 0.0613498 25186 138497 -1 1532 20 815 1372 84323 20474 2.67866 2.67866 -98.9811 -2.67866 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0173836 0.0153004 93 20 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 6.92 vpr 64.92 MiB -1 -1 0.16 20584 1 0.03 -1 -1 33744 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 341 285 1 188 82 17 17 289 -1 unnamed_device 26.3 MiB 1.96 981 15568 5714 7507 2347 64.9 MiB 0.12 0.00 3.03076 -110.051 -3.03076 3.03076 0.91 0.000464721 0.000422446 0.0431427 0.039278 34 2609 24 6.87369e+06 251529 618332. 2139.56 1.73 0.149682 0.13057 25762 151098 -1 2294 22 1727 2481 224167 50561 3.41421 3.41421 -136.54 -3.41421 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0228664 0.0200589 124 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 11.22 vpr 65.11 MiB -1 -1 0.17 20816 1 0.03 -1 -1 34136 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 32 32 387 293 1 236 88 17 17 289 -1 unnamed_device 26.5 MiB 3.46 1259 15688 5171 8446 2071 65.1 MiB 0.16 0.00 4.25892 -128.132 -4.25892 4.25892 0.94 0.00057676 0.00052625 0.0474182 0.0431688 36 3098 37 6.87369e+06 335372 648988. 2245.63 4.43 0.239546 0.208325 26050 158493 -1 2496 20 1738 2773 191309 45586 4.6669 4.6669 -151.556 -4.6669 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0247563 0.0219151 166 28 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 8.40 vpr 65.10 MiB -1 -1 0.16 20196 1 0.03 -1 -1 33504 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 32 32 340 270 1 185 98 17 17 289 -1 unnamed_device 26.7 MiB 3.53 1017 18998 6042 10452 2504 65.1 MiB 0.15 0.00 3.45661 -114.141 -3.45661 3.45661 0.97 0.00049769 0.000454324 0.0480193 0.0440021 34 2322 18 6.87369e+06 475111 618332. 2139.56 1.52 0.152535 0.134006 25762 151098 -1 1930 21 1282 2051 143937 32799 2.79286 2.79286 -115.751 -2.79286 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0234022 0.0206908 137 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 5.47 vpr 64.58 MiB -1 -1 0.15 20152 1 0.03 -1 -1 33544 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 30 32 278 235 1 150 87 17 17 289 -1 unnamed_device 26.2 MiB 0.88 861 12567 3393 7231 1943 64.6 MiB 0.09 0.00 2.9769 -95.6358 -2.9769 2.9769 0.93 0.000398068 0.000362168 0.0283236 0.0257312 34 1933 21 6.87369e+06 349346 618332. 2139.56 1.46 0.116602 0.101678 25762 151098 -1 1676 22 1191 1948 145735 33816 3.07561 3.07561 -110.983 -3.07561 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0191922 0.0168107 104 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 11.67 vpr 65.32 MiB -1 -1 0.17 20828 1 0.03 -1 -1 33812 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 431 332 1 239 89 17 17 289 -1 unnamed_device 26.8 MiB 6.06 1397 15335 4325 9308 1702 65.3 MiB 0.15 0.00 4.57575 -141.302 -4.57575 4.57575 0.95 0.000593268 0.000539106 0.0498317 0.0454016 34 3374 22 6.87369e+06 349346 618332. 2139.56 2.26 0.192191 0.168988 25762 151098 -1 2732 23 2425 3552 286351 63171 5.1025 5.1025 -171.346 -5.1025 0 0 787024. 2723.27 0.31 0.09 0.14 -1 -1 0.31 0.0294469 0.0259397 171 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 8.03 vpr 65.08 MiB -1 -1 0.16 20368 1 0.03 -1 -1 33844 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 336 268 1 182 99 17 17 289 -1 unnamed_device 26.6 MiB 3.82 1027 11043 2706 7378 959 65.1 MiB 0.10 0.00 3.70602 -113.448 -3.70602 3.70602 0.94 0.000506052 0.000460588 0.0262685 0.0239063 32 2367 22 6.87369e+06 489084 586450. 2029.24 1.00 0.0893972 0.0783688 25474 144626 -1 2027 22 1610 2646 231441 50696 3.8824 3.8824 -132.113 -3.8824 0 0 744469. 2576.02 0.29 0.08 0.14 -1 -1 0.29 0.0232413 0.0204454 135 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 5.54 vpr 64.48 MiB -1 -1 0.15 20292 1 0.03 -1 -1 33704 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.9 MiB 0.83 774 14713 4478 8191 2044 64.5 MiB 0.10 0.00 2.8436 -83.7272 -2.8436 2.8436 0.97 0.000383035 0.000347915 0.0305998 0.0278041 26 2050 34 6.87369e+06 335372 503264. 1741.40 1.56 0.0932447 0.0819789 24322 120374 -1 1792 22 1189 2015 170227 41007 3.33146 3.33146 -107.706 -3.33146 0 0 618332. 2139.56 0.25 0.06 0.11 -1 -1 0.25 0.0183023 0.0160142 94 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 8.80 vpr 65.11 MiB -1 -1 0.17 20480 1 0.03 -1 -1 33768 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 349 273 1 191 101 17 17 289 -1 unnamed_device 26.7 MiB 2.42 1148 18196 5448 10152 2596 65.1 MiB 0.15 0.00 4.06437 -112.431 -4.06437 4.06437 0.91 0.000494259 0.00044022 0.0409588 0.0368965 28 2666 26 6.87369e+06 517032 531479. 1839.03 3.25 0.197286 0.172309 24610 126494 -1 2431 22 1685 3102 222029 51355 4.41425 4.41425 -142.211 -4.41425 0 0 648988. 2245.63 0.26 0.08 0.11 -1 -1 0.26 0.0243242 0.0214437 145 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 4.97 vpr 64.47 MiB -1 -1 0.14 20124 1 0.03 -1 -1 33728 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66016 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.9 MiB 0.90 671 8003 1757 5740 506 64.5 MiB 0.06 0.00 2.8626 -89.6716 -2.8626 2.8626 0.93 0.000385446 0.000353492 0.0184136 0.0166797 30 1909 31 6.87369e+06 265503 556674. 1926.21 1.03 0.0766418 0.0666733 25186 138497 -1 1511 20 835 1451 84067 21244 2.78466 2.78466 -108.573 -2.78466 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0163369 0.0144045 98 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 7.98 vpr 64.78 MiB -1 -1 0.15 20364 1 0.03 -1 -1 33496 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 30 32 278 235 1 151 96 17 17 289 -1 unnamed_device 26.3 MiB 2.97 876 16302 5171 8678 2453 64.8 MiB 0.12 0.00 2.97898 -93.3988 -2.97898 2.97898 0.93 0.000414857 0.000378205 0.0338865 0.030833 26 2168 35 6.87369e+06 475111 503264. 1741.40 1.89 0.102556 0.0901181 24322 120374 -1 1861 19 1193 2137 155747 35428 2.96596 2.96596 -110.765 -2.96596 0 0 618332. 2139.56 0.25 0.06 0.11 -1 -1 0.25 0.0177053 0.0155505 109 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 9.78 vpr 64.75 MiB -1 -1 0.16 20628 1 0.03 -1 -1 33812 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 29 32 355 287 1 200 85 17 17 289 -1 unnamed_device 26.4 MiB 4.60 939 10315 2373 7375 567 64.8 MiB 0.10 0.00 3.21063 -97.8768 -3.21063 3.21063 0.95 0.000502438 0.000457039 0.0298817 0.0271595 34 3031 27 6.87369e+06 335372 618332. 2139.56 1.95 0.144056 0.125291 25762 151098 -1 2197 21 1807 2722 195288 48465 3.35911 3.35911 -121.42 -3.35911 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0230819 0.0203152 138 56 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 7.60 vpr 64.55 MiB -1 -1 0.17 20548 1 0.03 -1 -1 33780 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 358 289 1 183 90 17 17 289 -1 unnamed_device 26.2 MiB 2.64 1098 14964 4275 8653 2036 64.5 MiB 0.12 0.00 3.48515 -116.696 -3.48515 3.48515 0.94 0.000526117 0.000479964 0.0405708 0.0369304 34 2497 23 6.87369e+06 363320 618332. 2139.56 1.59 0.152167 0.132617 25762 151098 -1 2108 22 1661 2578 183010 42137 3.6964 3.6964 -135.545 -3.6964 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0256833 0.0226479 132 51 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 6.97 vpr 65.13 MiB -1 -1 0.15 20908 1 0.03 -1 -1 33600 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 32 32 353 285 1 188 91 17 17 289 -1 unnamed_device 26.6 MiB 2.63 1149 11923 3179 7779 965 65.1 MiB 0.10 0.00 3.69318 -116.202 -3.69318 3.69318 0.97 0.000503688 0.000457279 0.0317652 0.0289161 32 2969 28 6.87369e+06 377294 586450. 2029.24 1.08 0.107236 0.0943431 25474 144626 -1 2361 21 1537 2568 212777 49610 4.29666 4.29666 -146.718 -4.29666 0 0 744469. 2576.02 0.30 0.08 0.13 -1 -1 0.30 0.0246765 0.0218211 133 48 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 8.75 vpr 64.68 MiB -1 -1 0.15 20488 1 0.03 -1 -1 33536 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 26.3 MiB 3.97 1003 12585 3734 7404 1447 64.7 MiB 0.10 0.00 3.74452 -113.984 -3.74452 3.74452 0.93 0.000410782 0.000372992 0.0327498 0.0298829 34 2234 22 6.87369e+06 209608 618332. 2139.56 1.59 0.124557 0.108848 25762 151098 -1 2061 20 1114 1549 129935 29211 3.4398 3.4398 -121.871 -3.4398 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0186147 0.0164263 103 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 7.81 vpr 64.73 MiB -1 -1 0.17 20352 1 0.03 -1 -1 33892 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 31 32 319 272 1 176 80 17 17 289 -1 unnamed_device 26.2 MiB 3.03 998 13152 3741 7657 1754 64.7 MiB 0.10 0.00 2.99776 -103.303 -2.99776 2.99776 0.94 0.000445245 0.00040515 0.0363163 0.0330697 34 2417 21 6.87369e+06 237555 618332. 2139.56 1.56 0.132283 0.115264 25762 151098 -1 2114 21 1319 1954 151422 36189 3.1782 3.1782 -125.163 -3.1782 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0210481 0.0185052 114 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 7.35 vpr 65.03 MiB -1 -1 0.16 20356 1 0.03 -1 -1 34088 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 30 32 329 273 1 167 96 17 17 289 -1 unnamed_device 26.4 MiB 3.06 1003 17178 4924 10225 2029 65.0 MiB 0.12 0.00 2.84355 -85.591 -2.84355 2.84355 0.95 0.000470483 0.000427438 0.0391841 0.0355661 32 2457 27 6.87369e+06 475111 586450. 2029.24 1.03 0.106719 0.0938765 25474 144626 -1 1980 20 1151 2121 151333 35049 2.67966 2.67966 -99.7046 -2.67966 0 0 744469. 2576.02 0.30 0.06 0.13 -1 -1 0.30 0.0204465 0.0179924 124 52 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 6.41 vpr 64.92 MiB -1 -1 0.14 20124 1 0.03 -1 -1 34056 -1 -1 35 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 28 32 277 229 1 156 95 17 17 289 -1 unnamed_device 26.4 MiB 2.14 904 10679 2591 7120 968 64.9 MiB 0.08 0.00 3.27479 -88.419 -3.27479 3.27479 0.95 0.000452793 0.000411427 0.0216025 0.01958 26 2184 21 6.87369e+06 489084 503264. 1741.40 1.15 0.0819372 0.0719462 24322 120374 -1 2059 23 1467 2722 232194 51168 3.8707 3.8707 -117.227 -3.8707 0 0 618332. 2139.56 0.26 0.07 0.11 -1 -1 0.26 0.0202581 0.0177243 117 20 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 8.75 vpr 64.80 MiB -1 -1 0.15 20392 1 0.03 -1 -1 33784 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 30 32 317 269 1 155 79 17 17 289 -1 unnamed_device 26.4 MiB 3.30 728 11402 4740 5897 765 64.8 MiB 0.09 0.00 3.16363 -95.7832 -3.16363 3.16363 0.95 0.000451443 0.000411342 0.0326225 0.0297411 34 2424 43 6.87369e+06 237555 618332. 2139.56 2.26 0.132959 0.115872 25762 151098 -1 1779 21 1391 2387 197551 48694 3.39216 3.39216 -122.884 -3.39216 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0208013 0.0183039 105 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 8.31 vpr 64.96 MiB -1 -1 0.16 20888 1 0.03 -1 -1 33772 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 335 282 1 189 81 17 17 289 -1 unnamed_device 26.4 MiB 3.28 1013 14256 4326 7839 2091 65.0 MiB 0.12 0.00 2.9238 -103.215 -2.9238 2.9238 0.98 0.000485041 0.000443128 0.0413837 0.0377475 34 2613 24 6.87369e+06 237555 618332. 2139.56 1.71 0.156453 0.137244 25762 151098 -1 2287 20 1414 2127 182122 41253 3.50651 3.50651 -130.188 -3.50651 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0218841 0.0192342 122 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 5.81 vpr 64.50 MiB -1 -1 0.16 20156 1 0.03 -1 -1 33832 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 25.9 MiB 0.93 946 9466 2399 6334 733 64.5 MiB 0.09 0.00 3.58982 -105.213 -3.58982 3.58982 0.96 0.000460683 0.000420433 0.0223358 0.0203493 34 2375 24 6.87369e+06 433189 618332. 2139.56 1.64 0.120859 0.104796 25762 151098 -1 2013 23 1351 2366 157369 37320 3.6931 3.6931 -122.103 -3.6931 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0219472 0.0192709 129 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 9.61 vpr 65.02 MiB -1 -1 0.16 20660 1 0.03 -1 -1 33868 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 26.6 MiB 3.90 1027 12375 3563 7137 1675 65.0 MiB 0.12 0.00 3.78918 -118.751 -3.78918 3.78918 0.95 0.000520482 0.000473642 0.0355831 0.0324122 34 3252 24 6.87369e+06 321398 618332. 2139.56 2.40 0.161652 0.142231 25762 151098 -1 2475 22 1962 2909 219696 53494 4.12106 4.12106 -143.095 -4.12106 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0248782 0.0220244 147 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 10.37 vpr 65.01 MiB -1 -1 0.17 20468 1 0.03 -1 -1 33700 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66572 32 32 385 308 1 196 100 17 17 289 -1 unnamed_device 26.6 MiB 4.62 985 13556 3401 8710 1445 65.0 MiB 0.10 0.00 4.24987 -125.238 -4.24987 4.24987 0.93 0.000532878 0.000485437 0.0336696 0.0305563 34 2955 23 6.87369e+06 503058 618332. 2139.56 2.49 0.153612 0.13407 25762 151098 -1 2312 24 1760 3037 228366 56089 4.47055 4.47055 -149.687 -4.47055 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0270067 0.0237301 147 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 11.78 vpr 65.18 MiB -1 -1 0.15 20576 1 0.03 -1 -1 33748 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 387 309 1 192 105 17 17 289 -1 unnamed_device 26.7 MiB 3.53 1135 20359 6258 10748 3353 65.2 MiB 0.16 0.00 3.61982 -117.192 -3.61982 3.61982 0.91 0.000532559 0.000484564 0.0463581 0.0419697 30 2831 33 6.87369e+06 572927 556674. 1926.21 5.07 0.227688 0.200051 25186 138497 -1 2115 23 1474 2774 181826 41674 3.4945 3.4945 -130.413 -3.4945 0 0 706193. 2443.58 0.28 0.07 0.12 -1 -1 0.28 0.0257807 0.022738 148 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 7.05 vpr 64.37 MiB -1 -1 0.15 20180 1 0.03 -1 -1 33856 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 30 32 272 232 1 151 79 17 17 289 -1 unnamed_device 26.0 MiB 2.94 875 14613 4926 7902 1785 64.4 MiB 0.11 0.00 3.28893 -103.003 -3.28893 3.28893 0.94 0.00040717 0.000370974 0.036944 0.0337209 28 2175 32 6.87369e+06 237555 531479. 1839.03 0.98 0.0969508 0.0854076 24610 126494 -1 1870 21 1223 2068 134638 32162 3.32821 3.32821 -118.433 -3.32821 0 0 648988. 2245.63 0.26 0.06 0.12 -1 -1 0.26 0.0190057 0.0166869 99 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 8.42 vpr 65.09 MiB -1 -1 0.17 20544 1 0.03 -1 -1 34048 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 30 32 375 299 1 188 84 17 17 289 -1 unnamed_device 26.6 MiB 4.13 1020 10515 2939 6379 1197 65.1 MiB 0.10 0.00 3.68402 -118.486 -3.68402 3.68402 0.94 0.00053208 0.000485367 0.0332836 0.0303892 30 2232 29 6.87369e+06 307425 556674. 1926.21 1.05 0.110656 0.0972707 25186 138497 -1 1889 21 1399 2347 149285 33981 3.4927 3.4927 -131.482 -3.4927 0 0 706193. 2443.58 0.28 0.06 0.13 -1 -1 0.28 0.0246834 0.0217895 136 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 8.15 vpr 65.04 MiB -1 -1 0.17 20652 1 0.03 -1 -1 33916 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 26.6 MiB 3.08 1128 11415 3028 7564 823 65.0 MiB 0.10 0.00 4.00821 -123.654 -4.00821 4.00821 0.94 0.000522763 0.000478939 0.0314377 0.0286675 34 2766 24 6.87369e+06 321398 618332. 2139.56 1.84 0.142078 0.123768 25762 151098 -1 2293 22 1731 2910 212855 50304 4.20336 4.20336 -142.998 -4.20336 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0236843 0.0208294 140 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 7.85 vpr 65.18 MiB -1 -1 0.17 20536 1 0.03 -1 -1 33936 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 31 32 340 275 1 201 91 17 17 289 -1 unnamed_device 26.7 MiB 2.82 1112 17023 4936 10304 1783 65.2 MiB 0.14 0.00 4.43294 -126.224 -4.43294 4.43294 0.94 0.000489998 0.000446016 0.0434913 0.0396168 34 2956 23 6.87369e+06 391268 618332. 2139.56 1.75 0.153136 0.133661 25762 151098 -1 2359 21 1644 2654 204474 47602 4.61485 4.61485 -150.449 -4.61485 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0228998 0.0201414 141 43 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 7.99 vpr 65.13 MiB -1 -1 0.18 20628 1 0.03 -1 -1 33752 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 30 32 377 310 1 183 94 17 17 289 -1 unnamed_device 26.7 MiB 3.18 997 16921 5396 8944 2581 65.1 MiB 0.14 0.00 3.69518 -115.863 -3.69518 3.69518 0.94 0.000522469 0.000474343 0.0440576 0.0400218 32 2753 35 6.87369e+06 447163 586450. 2029.24 1.50 0.14035 0.122725 25474 144626 -1 2149 19 1362 2172 186191 42775 3.21096 3.21096 -123.154 -3.21096 0 0 744469. 2576.02 0.31 0.07 0.14 -1 -1 0.31 0.0231814 0.0204425 135 78 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 7.86 vpr 65.01 MiB -1 -1 0.16 20452 1 0.03 -1 -1 33712 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 365 294 1 187 85 17 17 289 -1 unnamed_device 26.6 MiB 2.89 1057 15709 5104 8282 2323 65.0 MiB 0.14 0.00 3.73418 -120.089 -3.73418 3.73418 0.93 0.000500751 0.000455553 0.0453139 0.0412898 34 2749 23 6.87369e+06 293451 618332. 2139.56 1.70 0.158356 0.138701 25762 151098 -1 2397 20 1639 2934 247420 54505 4.089 4.089 -146.676 -4.089 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0226774 0.0199875 132 54 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 7.61 vpr 65.10 MiB -1 -1 0.16 20468 1 0.03 -1 -1 33764 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 29 32 378 310 1 179 90 17 17 289 -1 unnamed_device 26.7 MiB 2.98 982 12954 3602 7806 1546 65.1 MiB 0.11 0.00 3.18563 -100.418 -3.18563 3.18563 0.91 0.000510128 0.000465921 0.0345471 0.0314746 34 2232 22 6.87369e+06 405241 618332. 2139.56 1.50 0.144886 0.126087 25762 151098 -1 1924 20 1451 2451 165628 39241 2.91201 2.91201 -111.049 -2.91201 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0228858 0.0202095 132 79 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 4.85 vpr 64.41 MiB -1 -1 0.15 20176 1 0.03 -1 -1 33960 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65960 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 25.9 MiB 0.79 835 9006 2438 6072 496 64.4 MiB 0.07 0.00 3.18563 -100.403 -3.18563 3.18563 0.94 0.000392745 0.000359492 0.0221433 0.0201982 28 1900 21 6.87369e+06 237555 531479. 1839.03 0.95 0.0731314 0.0640615 24610 126494 -1 1783 19 993 1452 111603 26810 3.06931 3.06931 -114.951 -3.06931 0 0 648988. 2245.63 0.27 0.05 0.12 -1 -1 0.27 0.0169251 0.0149542 96 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 10.07 vpr 65.15 MiB -1 -1 0.14 20396 1 0.03 -1 -1 34008 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 32 32 373 302 1 184 98 17 17 289 -1 unnamed_device 26.8 MiB 5.21 1060 14273 3801 9156 1316 65.1 MiB 0.12 0.00 3.66382 -116.633 -3.66382 3.66382 0.95 0.000496745 0.000454466 0.0349074 0.0316085 34 2505 22 6.87369e+06 475111 618332. 2139.56 1.60 0.147676 0.128675 25762 151098 -1 2101 21 1395 2321 154380 37630 3.8011 3.8011 -131.916 -3.8011 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0238341 0.020928 137 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 9.68 vpr 64.90 MiB -1 -1 0.17 20412 1 0.03 -1 -1 33964 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 397 314 1 197 85 17 17 289 -1 unnamed_device 26.5 MiB 4.76 1162 13663 3892 7971 1800 64.9 MiB 0.12 0.00 3.54952 -124.484 -3.54952 3.54952 0.92 0.000557118 0.000503641 0.0416893 0.0380132 34 2634 22 6.87369e+06 293451 618332. 2139.56 1.67 0.168805 0.148149 25762 151098 -1 2195 22 1857 3091 216983 48717 3.7984 3.7984 -149.032 -3.7984 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0267061 0.0235967 142 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 8.19 vpr 64.73 MiB -1 -1 0.15 20256 1 0.03 -1 -1 33844 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 32 32 269 231 1 170 80 17 17 289 -1 unnamed_device 26.3 MiB 3.59 1057 14184 4438 8343 1403 64.7 MiB 0.10 0.00 3.34852 -102.009 -3.34852 3.34852 0.91 0.000394767 0.000358867 0.0354424 0.0323994 34 2287 22 6.87369e+06 223581 618332. 2139.56 1.50 0.125532 0.109998 25762 151098 -1 1960 20 1189 1623 125972 29342 3.4708 3.4708 -120.506 -3.4708 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0183519 0.0162112 106 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 4.98 vpr 64.42 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33868 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 25.8 MiB 0.86 688 8003 1882 5278 843 64.4 MiB 0.06 0.00 3.17463 -94.6151 -3.17463 3.17463 0.94 0.000384152 0.000349518 0.0187119 0.0170692 32 1947 25 6.87369e+06 279477 586450. 2029.24 1.00 0.0711336 0.0622621 25474 144626 -1 1668 19 1094 1754 127281 31263 3.18361 3.18361 -111.757 -3.18361 0 0 744469. 2576.02 0.29 0.05 0.14 -1 -1 0.29 0.0168879 0.014885 99 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 8.69 vpr 65.12 MiB -1 -1 0.16 20596 1 0.03 -1 -1 33872 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 26.6 MiB 3.56 1048 13143 3762 8265 1116 65.1 MiB 0.12 0.00 3.74338 -121.833 -3.74338 3.74338 0.94 0.00050466 0.000459197 0.0364019 0.0332101 34 3058 47 6.87369e+06 321398 618332. 2139.56 1.88 0.166275 0.144966 25762 151098 -1 2232 21 1949 2618 185567 44871 4.02406 4.02406 -144.917 -4.02406 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0234322 0.0206718 145 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 8.15 vpr 64.93 MiB -1 -1 0.17 20668 1 0.03 -1 -1 34048 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 356 289 1 202 91 17 17 289 -1 unnamed_device 26.5 MiB 3.10 1147 9271 2181 6560 530 64.9 MiB 0.09 0.00 4.30764 -129.95 -4.30764 4.30764 0.95 0.000514456 0.000468928 0.0256082 0.0233825 34 2934 28 6.87369e+06 377294 618332. 2139.56 1.78 0.142547 0.123911 25762 151098 -1 2475 24 1827 2957 220122 50875 5.01085 5.01085 -157.81 -5.01085 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0270726 0.0237349 142 53 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 5.74 vpr 65.14 MiB -1 -1 0.15 20560 1 0.03 -1 -1 33868 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.7 MiB 0.92 1313 20052 5969 11572 2511 65.1 MiB 0.16 0.00 4.13357 -123.907 -4.13357 4.13357 0.93 0.000484005 0.000435469 0.0463892 0.0420538 32 3190 26 6.87369e+06 503058 586450. 2029.24 1.55 0.141928 0.124396 25474 144626 -1 2652 22 1917 3529 307003 67679 4.70185 4.70185 -152.097 -4.70185 0 0 744469. 2576.02 0.30 0.09 0.12 -1 -1 0.30 0.0255492 0.0225133 157 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 7.15 vpr 64.98 MiB -1 -1 0.16 20292 1 0.03 -1 -1 33652 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 30 32 316 264 1 165 96 17 17 289 -1 unnamed_device 26.5 MiB 2.84 824 15864 4441 8350 3073 65.0 MiB 0.11 0.00 2.83325 -86.0399 -2.83325 2.83325 0.91 0.000450565 0.000411783 0.0344959 0.0311818 28 2201 33 6.87369e+06 475111 531479. 1839.03 1.22 0.113225 0.100048 24610 126494 -1 1911 21 1361 2342 186297 44266 3.05726 3.05726 -107.924 -3.05726 0 0 648988. 2245.63 0.26 0.07 0.11 -1 -1 0.26 0.0209486 0.0184007 119 47 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 5.51 vpr 64.37 MiB -1 -1 0.15 20124 1 0.03 -1 -1 34232 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65912 27 32 255 219 1 139 80 17 17 289 -1 unnamed_device 25.8 MiB 1.08 599 13324 3844 8199 1281 64.4 MiB 0.09 0.00 2.8908 -78.0905 -2.8908 2.8908 0.92 0.00038237 0.000348495 0.0308447 0.0281399 34 1446 22 6.87369e+06 293451 618332. 2139.56 1.36 0.10882 0.0945292 25762 151098 -1 1228 20 882 1279 77946 19578 2.83301 2.83301 -91.374 -2.83301 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0167669 0.0147553 96 26 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 12.57 vpr 65.38 MiB -1 -1 0.18 20672 1 0.03 -1 -1 33916 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 421 327 1 233 88 17 17 289 -1 unnamed_device 26.8 MiB 4.31 1381 16468 4888 9543 2037 65.4 MiB 0.17 0.00 3.58845 -117.662 -3.58845 3.58845 0.96 0.00060939 0.000555297 0.0537989 0.0490754 36 3594 23 6.87369e+06 335372 648988. 2245.63 4.81 0.249311 0.217934 26050 158493 -1 2937 20 1888 3080 244813 52865 3.90776 3.90776 -142.094 -3.90776 0 0 828058. 2865.25 0.35 0.09 0.15 -1 -1 0.35 0.0276637 0.0245652 165 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 10.81 vpr 65.01 MiB -1 -1 0.18 20520 1 0.03 -1 -1 33664 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 31 32 365 296 1 203 85 17 17 289 -1 unnamed_device 26.6 MiB 5.06 916 12547 3429 7930 1188 65.0 MiB 0.12 0.00 4.46437 -133.819 -4.46437 4.46437 0.97 0.00051287 0.0004664 0.0374223 0.0341161 36 2396 23 6.87369e+06 307425 648988. 2245.63 2.38 0.161117 0.141604 26050 158493 -1 2018 19 1533 2408 169434 40228 4.356 4.356 -146.536 -4.356 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0233754 0.0207828 139 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 9.65 vpr 65.06 MiB -1 -1 0.15 20672 1 0.03 -1 -1 33720 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 32 32 331 280 1 185 82 17 17 289 -1 unnamed_device 26.5 MiB 4.99 995 10584 2811 6901 872 65.1 MiB 0.09 0.00 3.45235 -118.525 -3.45235 3.45235 0.92 0.00047136 0.000421161 0.0299486 0.0272857 34 2474 22 6.87369e+06 251529 618332. 2139.56 1.51 0.131769 0.114971 25762 151098 -1 2171 20 1339 1982 145396 33441 3.49286 3.49286 -141.409 -3.49286 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0204453 0.0180044 118 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 8.06 vpr 64.87 MiB -1 -1 0.16 20680 1 0.03 -1 -1 34096 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 326 263 1 176 97 17 17 289 -1 unnamed_device 26.3 MiB 1.37 1092 18079 5031 10968 2080 64.9 MiB 0.15 0.00 4.22895 -118.87 -4.22895 4.22895 0.95 0.000501901 0.000456116 0.0414588 0.0376466 34 2566 22 6.87369e+06 461137 618332. 2139.56 3.40 0.174367 0.151643 25762 151098 -1 2232 19 1190 1939 167229 36336 3.8656 3.8656 -128.978 -3.8656 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0203413 0.0179812 129 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 6.32 vpr 64.86 MiB -1 -1 0.15 20616 1 0.03 -1 -1 33640 -1 -1 34 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 31 32 373 294 1 197 97 17 17 289 -1 unnamed_device 26.5 MiB 2.22 1073 18745 5536 10630 2579 64.9 MiB 0.15 0.00 3.46135 -105.573 -3.46135 3.46135 0.91 0.000512357 0.000466701 0.0459176 0.0418597 32 2621 23 6.87369e+06 475111 586450. 2029.24 0.96 0.113971 0.10056 25474 144626 -1 2063 21 1312 2288 152944 36349 3.61536 3.61536 -122.498 -3.61536 0 0 744469. 2576.02 0.29 0.06 0.12 -1 -1 0.29 0.0246383 0.0217906 149 46 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 6.91 vpr 64.89 MiB -1 -1 0.16 20764 1 0.03 -1 -1 33664 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 30 32 325 268 1 172 93 17 17 289 -1 unnamed_device 26.4 MiB 2.39 912 12063 3171 8275 617 64.9 MiB 0.10 0.00 2.8846 -86.2435 -2.8846 2.8846 0.93 0.000440619 0.000397253 0.0283016 0.0256561 28 2502 22 6.87369e+06 433189 531479. 1839.03 1.39 0.0952014 0.0836622 24610 126494 -1 2110 23 1401 2402 186414 43103 3.18291 3.18291 -108.618 -3.18291 0 0 648988. 2245.63 0.27 0.07 0.11 -1 -1 0.27 0.0224353 0.0195897 124 46 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 10.21 vpr 64.95 MiB -1 -1 0.16 20544 1 0.03 -1 -1 33528 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 32 32 350 275 1 216 86 17 17 289 -1 unnamed_device 26.5 MiB 3.99 1107 17096 6507 8128 2461 65.0 MiB 0.15 0.00 3.95364 -125.973 -3.95364 3.95364 0.94 0.00050434 0.000459132 0.0475126 0.0432955 34 3212 26 6.87369e+06 307425 618332. 2139.56 2.92 0.166809 0.146212 25762 151098 -1 2603 21 2156 3274 278539 62261 4.17765 4.17765 -149.454 -4.17765 0 0 787024. 2723.27 0.30 0.09 0.14 -1 -1 0.30 0.0237971 0.0210149 148 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 9.70 vpr 65.20 MiB -1 -1 0.15 20628 1 0.03 -1 -1 33664 -1 -1 36 32 0 0 exited with return code 2 c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 386 307 1 196 100 17 17 289 -1 unnamed_device 26.7 MiB 3.66 1031 12628 3253 8377 998 65.2 MiB 0.11 0.00 3.24063 -108.952 -3.24063 3.24063 0.95 0.000537609 0.000488019 0.0318201 0.0289264 24 3147 45 6.87369e+06 503058 470940. 1629.55 2.28 0.12908 0.112854 24034 113901 -1 -1 -1 5799 10424 2343232 602328 -1 -1 -1 -1 -1 -1 -1 -1 0.23 0.70 0.11 -1 -1 0.23 -1 -1 147 59 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 6.31 vpr 64.45 MiB -1 -1 0.15 20396 1 0.03 -1 -1 34000 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 29 32 269 229 1 150 80 17 17 289 -1 unnamed_device 26.1 MiB 2.24 680 8852 2485 5092 1275 64.4 MiB 0.07 0.00 3.00718 -91.0226 -3.00718 3.00718 0.94 0.00042133 0.000380734 0.0230407 0.0210239 32 1643 20 6.87369e+06 265503 586450. 2029.24 0.96 0.0739909 0.0648532 25474 144626 -1 1401 21 1225 1786 115749 27170 3.10146 3.10146 -107.201 -3.10146 0 0 744469. 2576.02 0.32 0.06 0.13 -1 -1 0.32 0.0195572 0.0172587 101 28 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 6.88 vpr 64.75 MiB -1 -1 0.15 20424 1 0.03 -1 -1 33844 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 310 266 1 176 81 17 17 289 -1 unnamed_device 26.2 MiB 1.92 930 14956 4511 8442 2003 64.7 MiB 0.12 0.00 3.5666 -103.336 -3.5666 3.5666 0.97 0.000457254 0.000416126 0.041721 0.0379867 34 2322 21 6.87369e+06 237555 618332. 2139.56 1.71 0.136937 0.119629 25762 151098 -1 1995 23 1154 1602 136185 29731 3.36121 3.36121 -125.023 -3.36121 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.022115 0.0194703 112 55 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 6.41 vpr 65.04 MiB -1 -1 0.16 20132 1 0.03 -1 -1 33828 -1 -1 39 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 31 32 326 261 1 178 102 17 17 289 -1 unnamed_device 26.7 MiB 1.98 888 16048 4648 8537 2863 65.0 MiB 0.13 0.00 3.70112 -104.79 -3.70112 3.70112 0.98 0.000483565 0.000439757 0.0352122 0.0319673 32 2743 37 6.87369e+06 544980 586450. 2029.24 1.14 0.112598 0.0989473 25474 144626 -1 1978 22 1563 2742 201859 47327 3.9197 3.9197 -126.208 -3.9197 0 0 744469. 2576.02 0.30 0.07 0.13 -1 -1 0.30 0.0234428 0.0205917 135 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 8.47 vpr 64.60 MiB -1 -1 0.15 20204 1 0.03 -1 -1 33940 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 26.2 MiB 3.82 807 8336 2181 5185 970 64.6 MiB 0.07 0.00 3.70248 -100.07 -3.70248 3.70248 0.95 0.000405506 0.000370531 0.0213662 0.0195484 34 2095 28 6.87369e+06 265503 618332. 2139.56 1.52 0.108543 0.0939806 25762 151098 -1 1748 18 1064 1428 111280 26819 3.46886 3.46886 -110.713 -3.46886 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0162409 0.0143493 107 25 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 8.16 vpr 64.77 MiB -1 -1 0.14 20320 1 0.03 -1 -1 33952 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 278 238 1 158 79 17 17 289 -1 unnamed_device 26.4 MiB 3.60 870 7684 1744 5441 499 64.8 MiB 0.06 0.00 3.31093 -107.104 -3.31093 3.31093 0.91 0.000408956 0.000374264 0.0204114 0.0186564 34 2100 22 6.87369e+06 209608 618332. 2139.56 1.51 0.111728 0.097243 25762 151098 -1 1791 23 1489 2545 191568 43975 2.99596 2.99596 -117.268 -2.99596 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0203807 0.0178641 101 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 7.75 vpr 65.08 MiB -1 -1 0.15 20864 1 0.03 -1 -1 33968 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 31 32 373 300 1 185 100 17 17 289 -1 unnamed_device 26.7 MiB 3.52 989 12860 3598 7966 1296 65.1 MiB 0.11 0.00 3.11528 -104.533 -3.11528 3.11528 0.94 0.00052142 0.000474708 0.0317721 0.0289199 30 2144 20 6.87369e+06 517032 556674. 1926.21 1.00 0.0980581 0.0859984 25186 138497 -1 1777 21 1273 2085 118474 28071 2.87286 2.87286 -116.302 -2.87286 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0233981 0.0206127 141 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 7.85 vpr 64.30 MiB -1 -1 0.15 20188 1 0.03 -1 -1 33808 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65848 31 32 265 230 1 169 80 17 17 289 -1 unnamed_device 25.9 MiB 3.53 844 5756 1165 4184 407 64.3 MiB 0.05 0.00 3.0319 -94.5954 -3.0319 3.0319 0.94 0.000410437 0.000373344 0.0153951 0.0140497 28 2744 41 6.87369e+06 237555 531479. 1839.03 1.31 0.089208 0.0780324 24610 126494 -1 1961 20 1220 1709 142127 37972 3.13061 3.13061 -120.072 -3.13061 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0186385 0.0164896 105 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 11.45 vpr 64.80 MiB -1 -1 0.14 20712 1 0.03 -1 -1 34032 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 349 286 1 177 95 17 17 289 -1 unnamed_device 26.4 MiB 3.60 981 10031 2195 7405 431 64.8 MiB 0.09 0.00 2.9036 -92.5407 -2.9036 2.9036 0.93 0.000485153 0.000441026 0.0250436 0.0227837 30 2472 22 6.87369e+06 433189 556674. 1926.21 4.70 0.158309 0.137728 25186 138497 -1 1927 21 1028 1771 101432 24876 2.73171 2.73171 -107.166 -2.73171 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0221884 0.0195454 129 54 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 8.46 vpr 65.08 MiB -1 -1 0.17 20584 1 0.03 -1 -1 33944 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 31 32 396 325 1 185 95 17 17 289 -1 unnamed_device 26.7 MiB 4.07 955 16295 5564 8035 2696 65.1 MiB 0.13 0.00 2.9696 -103.404 -2.9696 2.9696 0.98 0.000559059 0.000497946 0.0441059 0.0400536 32 2648 26 6.87369e+06 447163 586450. 2029.24 1.07 0.118122 0.103713 25474 144626 -1 2111 21 1837 2698 220232 50479 3.24676 3.24676 -128.281 -3.24676 0 0 744469. 2576.02 0.29 0.08 0.14 -1 -1 0.29 0.0247474 0.0217903 137 87 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 7.65 vpr 64.41 MiB -1 -1 0.12 20348 1 0.03 -1 -1 33628 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 32 32 303 262 1 154 80 17 17 289 -1 unnamed_device 26.0 MiB 2.87 708 8336 1826 5706 804 64.4 MiB 0.06 0.00 2.8516 -87.9482 -2.8516 2.8516 0.96 0.000428631 0.000388558 0.0227876 0.0207718 34 1958 32 6.87369e+06 223581 618332. 2139.56 1.63 0.124501 0.107776 25762 151098 -1 1550 16 972 1577 108053 27710 2.66571 2.66571 -103.859 -2.66571 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.016583 0.0146872 99 54 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 6.71 vpr 64.93 MiB -1 -1 0.13 20276 1 0.03 -1 -1 33808 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 26.4 MiB 1.83 989 14322 5003 7418 1901 64.9 MiB 0.11 0.00 3.36109 -108.361 -3.36109 3.36109 0.98 0.000467466 0.00042137 0.0374669 0.0341946 34 2438 22 6.87369e+06 251529 618332. 2139.56 1.59 0.136247 0.119348 25762 151098 -1 2085 21 1527 2277 192017 42393 3.3345 3.3345 -123.807 -3.3345 0 0 787024. 2723.27 0.33 0.07 0.14 -1 -1 0.33 0.0204364 0.0179965 114 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 8.15 vpr 65.09 MiB -1 -1 0.15 20428 1 0.03 -1 -1 33856 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 318 257 1 197 86 17 17 289 -1 unnamed_device 26.4 MiB 3.19 941 7079 1617 5049 413 65.1 MiB 0.07 0.00 4.03908 -110.471 -4.03908 4.03908 0.96 0.000480984 0.000437866 0.0201003 0.0183819 34 2467 22 6.87369e+06 307425 618332. 2139.56 1.69 0.125018 0.108947 25762 151098 -1 2099 21 1530 2121 147128 35361 3.98006 3.98006 -131.826 -3.98006 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0219791 0.0193214 132 27 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 8.86 vpr 64.83 MiB -1 -1 0.16 20720 1 0.03 -1 -1 33572 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 29 32 324 268 1 169 90 17 17 289 -1 unnamed_device 26.3 MiB 3.08 910 15366 4626 8253 2487 64.8 MiB 0.12 0.00 3.20763 -92.8782 -3.20763 3.20763 0.91 0.00046478 0.00042173 0.0370081 0.0337976 30 2026 23 6.87369e+06 405241 556674. 1926.21 2.69 0.16199 0.141854 25186 138497 -1 1631 16 772 1352 71335 17792 2.82701 2.82701 -99.0818 -2.82701 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0179969 0.0159788 123 49 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 9.20 vpr 65.00 MiB -1 -1 0.17 20764 1 0.03 -1 -1 33636 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 393 312 1 215 86 17 17 289 -1 unnamed_device 26.5 MiB 3.57 1112 16151 5093 8311 2747 65.0 MiB 0.14 0.00 4.14151 -131.605 -4.14151 4.14151 0.99 0.000554612 0.000503229 0.0488332 0.0444126 34 2977 24 6.87369e+06 307425 618332. 2139.56 2.23 0.180555 0.158575 25762 151098 -1 2472 23 1925 2962 282001 60494 4.33386 4.33386 -151.112 -4.33386 0 0 787024. 2723.27 0.32 0.10 0.14 -1 -1 0.32 0.029078 0.025767 151 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 5.36 vpr 64.43 MiB -1 -1 0.15 20080 1 0.03 -1 -1 33716 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65972 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.9 MiB 0.81 840 10400 2691 6334 1375 64.4 MiB 0.07 0.00 2.9769 -91.9689 -2.9769 2.9769 0.93 0.000372109 0.000340196 0.0240568 0.021978 34 1848 24 6.87369e+06 237555 618332. 2139.56 1.42 0.102694 0.0893349 25762 151098 -1 1654 19 933 1462 108457 24819 2.69971 2.69971 -101.877 -2.69971 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0163242 0.0144396 92 -1 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 6.56 vpr 65.27 MiB -1 -1 0.17 20764 1 0.03 -1 -1 33844 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66836 32 32 412 334 1 194 99 17 17 289 -1 unnamed_device 26.8 MiB 2.30 1078 19251 6137 10457 2657 65.3 MiB 0.15 0.00 3.50715 -119.693 -3.50715 3.50715 0.94 0.000557874 0.000507533 0.0494262 0.0448808 30 2539 22 6.87369e+06 489084 556674. 1926.21 1.01 0.124475 0.109819 25186 138497 -1 2083 19 1254 1793 106871 25490 3.72316 3.72316 -136.306 -3.72316 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0235527 0.0207513 145 87 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 10.06 vpr 65.07 MiB -1 -1 0.16 20488 1 0.03 -1 -1 33604 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 5.25 961 10744 2764 7013 967 65.1 MiB 0.09 0.00 2.9898 -111.33 -2.9898 2.9898 0.96 0.000523275 0.000476003 0.0356114 0.0324515 34 2355 21 6.87369e+06 223581 618332. 2139.56 1.58 0.14415 0.125476 25762 151098 -1 1931 21 1521 2184 167217 38221 3.21381 3.21381 -135.019 -3.21381 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0233046 0.0204312 114 93 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 8.06 vpr 64.92 MiB -1 -1 0.16 20508 1 0.03 -1 -1 33960 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 360 293 1 182 96 17 17 289 -1 unnamed_device 26.6 MiB 3.37 1117 16302 4782 9127 2393 64.9 MiB 0.14 0.00 3.24063 -105.014 -3.24063 3.24063 0.91 0.000505708 0.000459155 0.0399767 0.0364024 34 2410 22 6.87369e+06 447163 618332. 2139.56 1.51 0.149931 0.13143 25762 151098 -1 2112 22 1290 2026 142416 32941 3.00701 3.00701 -113.423 -3.00701 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.024084 0.0211538 134 57 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 10.61 vpr 64.96 MiB -1 -1 0.17 20620 1 0.03 -1 -1 33956 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 396 299 1 240 89 17 17 289 -1 unnamed_device 26.5 MiB 4.81 1274 12563 3567 7498 1498 65.0 MiB 0.13 0.00 4.69005 -144.336 -4.69005 4.69005 0.98 0.000554238 0.00050356 0.0387391 0.0353642 34 3374 27 6.87369e+06 349346 618332. 2139.56 2.42 0.191806 0.169178 25762 151098 -1 2705 19 2145 3237 258765 59938 4.8851 4.8851 -161.868 -4.8851 0 0 787024. 2723.27 0.32 0.09 0.14 -1 -1 0.32 0.0262923 0.0234179 171 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 5.80 vpr 64.22 MiB -1 -1 0.13 20472 1 0.03 -1 -1 33468 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65760 30 32 224 207 1 137 77 17 17 289 -1 unnamed_device 25.8 MiB 1.44 809 9205 2544 4967 1694 64.2 MiB 0.06 0.00 2.40522 -81.1084 -2.40522 2.40522 0.91 0.000335549 0.000305289 0.0211247 0.0192232 34 1666 21 6.87369e+06 209608 618332. 2139.56 1.37 0.0919083 0.0797353 25762 151098 -1 1521 19 646 864 77984 16878 2.44836 2.44836 -99.2186 -2.44836 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.0151302 0.0134147 81 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 5.82 vpr 64.63 MiB -1 -1 0.15 20272 1 0.03 -1 -1 34020 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 30 32 286 239 1 151 81 17 17 289 -1 unnamed_device 26.2 MiB 1.28 830 11981 4007 5980 1994 64.6 MiB 0.08 0.00 3.14163 -100.812 -3.14163 3.14163 0.91 0.000409079 0.000371875 0.0302757 0.0276562 34 1868 22 6.87369e+06 265503 618332. 2139.56 1.41 0.117405 0.102106 25762 151098 -1 1644 20 1137 1672 120889 28158 2.99331 2.99331 -114.185 -2.99331 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0190778 0.0167633 105 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 5.41 vpr 64.82 MiB -1 -1 0.15 20204 1 0.03 -1 -1 33992 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 296 247 1 158 87 17 17 289 -1 unnamed_device 26.4 MiB 1.24 898 15255 5007 8419 1829 64.8 MiB 0.11 0.00 2.9879 -101.502 -2.9879 2.9879 0.93 0.000437524 0.000398007 0.0374136 0.0339925 30 2265 21 6.87369e+06 321398 556674. 1926.21 1.01 0.0955059 0.0841829 25186 138497 -1 1918 18 1024 1879 126126 28685 3.09461 3.09461 -119.72 -3.09461 0 0 706193. 2443.58 0.29 0.05 0.13 -1 -1 0.29 0.018182 0.0160201 109 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 5.05 vpr 64.23 MiB -1 -1 0.16 20144 1 0.03 -1 -1 33696 -1 -1 29 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65772 25 32 216 194 1 123 86 17 17 289 -1 unnamed_device 25.6 MiB 0.91 504 12938 4287 5737 2914 64.2 MiB 0.08 0.00 2.9029 -68.0577 -2.9029 2.9029 0.98 0.000358006 0.000327439 0.0253652 0.0231158 32 1589 26 6.87369e+06 405241 586450. 2029.24 0.97 0.0720271 0.0630957 25474 144626 -1 1157 20 839 1490 97968 24770 2.83296 2.83296 -80.1632 -2.83296 0 0 744469. 2576.02 0.31 0.04 0.14 -1 -1 0.31 0.015213 0.0133534 87 19 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 8.49 vpr 64.85 MiB -1 -1 0.16 20580 1 0.03 -1 -1 34060 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 376 307 1 193 84 17 17 289 -1 unnamed_device 26.5 MiB 3.21 1061 15090 4808 7967 2315 64.8 MiB 0.13 0.00 3.64275 -112.262 -3.64275 3.64275 0.97 0.00052737 0.000474941 0.0471716 0.0428136 34 3021 49 6.87369e+06 279477 618332. 2139.56 1.94 0.162132 0.142267 25762 151098 -1 2430 23 1564 2692 206805 49334 3.85476 3.85476 -136.73 -3.85476 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0253753 0.0223075 133 69 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 10.07 vpr 65.27 MiB -1 -1 0.17 20452 1 0.03 -1 -1 34008 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 31 32 409 331 1 193 94 17 17 289 -1 unnamed_device 26.8 MiB 3.59 1032 13939 3814 9136 989 65.3 MiB 0.13 0.00 3.48023 -114.653 -3.48023 3.48023 0.95 0.000556285 0.000505159 0.0386667 0.0351638 34 2398 21 6.87369e+06 433189 618332. 2139.56 3.20 0.213059 0.184203 25762 151098 -1 2011 19 1620 2483 160187 39582 3.06831 3.06831 -123.298 -3.06831 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0233703 0.0205988 143 86 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 7.82 vpr 65.02 MiB -1 -1 0.15 20624 1 0.03 -1 -1 34000 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 32 32 354 285 1 223 88 17 17 289 -1 unnamed_device 26.4 MiB 2.90 1214 14713 4233 9054 1426 65.0 MiB 0.14 0.00 4.26107 -127.141 -4.26107 4.26107 0.92 0.000519511 0.000475804 0.0407336 0.037231 34 2940 25 6.89349e+06 338252 618332. 2139.56 1.75 0.163145 0.143678 25762 151098 -1 2393 20 1532 2333 171083 41069 4.32415 4.32415 -147.557 -4.32415 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.022997 0.0203697 149 47 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 6.64 vpr 65.03 MiB -1 -1 0.16 20536 1 0.03 -1 -1 33848 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 30 32 363 293 1 228 88 17 17 289 -1 unnamed_device 26.7 MiB 1.83 1167 11983 2953 7809 1221 65.0 MiB 0.10 0.00 3.89968 -122.519 -3.89968 3.89968 0.92 0.000495581 0.000452628 0.0332321 0.0303443 34 2943 27 6.89349e+06 366440 618332. 2139.56 1.68 0.154811 0.135219 25762 151098 -1 2442 22 2076 3125 224734 51232 4.16144 4.16144 -147.245 -4.16144 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0251299 0.0221548 156 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 7.35 vpr 64.75 MiB -1 -1 0.15 20392 1 0.03 -1 -1 34008 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 26.3 MiB 2.26 1099 15523 4948 8573 2002 64.7 MiB 0.13 0.00 3.32519 -100.006 -3.32519 3.32519 0.97 0.000451044 0.000411583 0.0400291 0.036436 34 2532 48 6.89349e+06 295971 618332. 2139.56 1.82 0.158083 0.137976 25762 151098 -1 2108 25 1223 1799 126011 29675 3.33865 3.33865 -117.65 -3.33865 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0248917 0.0218884 125 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 6.83 vpr 64.73 MiB -1 -1 0.16 20136 1 0.03 -1 -1 33884 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 29 32 308 248 1 195 85 17 17 289 -1 unnamed_device 26.2 MiB 1.96 938 16081 6466 7389 2226 64.7 MiB 0.13 0.00 3.92328 -106.805 -3.92328 3.92328 0.94 0.000463717 0.000423645 0.0426725 0.038938 34 2486 30 6.89349e+06 338252 618332. 2139.56 1.63 0.147218 0.128558 25762 151098 -1 1848 18 1208 1920 115171 27976 3.72556 3.72556 -116.85 -3.72556 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0190792 0.0169543 134 25 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 10.40 vpr 64.79 MiB -1 -1 0.14 20244 1 0.03 -1 -1 33644 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 336 268 1 212 87 17 17 289 -1 unnamed_device 26.3 MiB 1.61 1287 11415 3479 5825 2111 64.8 MiB 0.10 0.00 4.24401 -124.601 -4.24401 4.24401 0.92 0.000474674 0.000432812 0.0308667 0.0281937 34 3402 40 6.89349e+06 324158 618332. 2139.56 5.65 0.247351 0.217273 25762 151098 -1 2752 21 1878 3365 309935 64821 4.66699 4.66699 -153.877 -4.66699 0 0 787024. 2723.27 0.30 0.09 0.13 -1 -1 0.30 0.0237766 0.0210573 142 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 7.65 vpr 65.05 MiB -1 -1 0.17 20612 1 0.03 -1 -1 33552 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 366 295 1 231 97 17 17 289 -1 unnamed_device 26.6 MiB 2.52 1308 19855 6635 10787 2433 65.1 MiB 0.17 0.00 3.42286 -111.444 -3.42286 3.42286 0.97 0.000519887 0.000470246 0.0494929 0.0449577 34 3103 25 6.89349e+06 465097 618332. 2139.56 1.74 0.152636 0.133593 25762 151098 -1 2495 20 1690 2878 194745 45020 3.35765 3.35765 -126.989 -3.35765 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0230734 0.0202935 162 55 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 6.48 vpr 64.36 MiB -1 -1 0.15 20192 1 0.03 -1 -1 34080 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 27 32 259 221 1 160 80 17 17 289 -1 unnamed_device 25.8 MiB 1.57 834 13324 3953 7741 1630 64.4 MiB 0.09 0.00 3.25123 -92.5861 -3.25123 3.25123 0.94 0.000387558 0.000351289 0.0326661 0.0298275 36 1829 21 6.89349e+06 295971 648988. 2245.63 1.74 0.119765 0.104888 26050 158493 -1 1563 19 1125 1642 125405 27836 2.97416 2.97416 -103.932 -2.97416 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.0166625 0.014693 107 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 7.20 vpr 64.68 MiB -1 -1 0.16 20172 1 0.03 -1 -1 33784 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 26.3 MiB 0.87 870 15647 5073 7866 2708 64.7 MiB 0.11 0.00 2.54074 -78.8558 -2.54074 2.54074 0.95 0.000428919 0.000390873 0.0326825 0.029682 34 2153 22 6.89349e+06 451003 618332. 2139.56 3.07 0.166079 0.143549 25762 151098 -1 1743 22 1018 1697 112177 26553 2.55831 2.55831 -94.0253 -2.55831 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0202621 0.0177456 119 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 6.96 vpr 64.44 MiB -1 -1 0.17 20360 1 0.03 -1 -1 33900 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 31 32 317 271 1 207 83 17 17 289 -1 unnamed_device 25.9 MiB 1.97 1110 13583 4929 5993 2661 64.4 MiB 0.11 0.00 2.80245 -99.26 -2.80245 2.80245 0.93 0.000446008 0.000404873 0.0355215 0.032393 34 2731 22 6.89349e+06 281877 618332. 2139.56 1.82 0.141728 0.124451 25762 151098 -1 2204 21 1574 2114 182239 38913 3.05496 3.05496 -118.574 -3.05496 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0215036 0.0189699 130 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 7.15 vpr 64.69 MiB -1 -1 0.14 20552 1 0.03 -1 -1 34008 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66240 32 32 298 248 1 185 82 17 17 289 -1 unnamed_device 26.2 MiB 2.51 941 9516 2381 6135 1000 64.7 MiB 0.08 0.00 3.15648 -104.965 -3.15648 3.15648 0.92 0.000444593 0.00040543 0.0252618 0.0230757 34 2331 20 6.89349e+06 253689 618332. 2139.56 1.54 0.119878 0.104677 25762 151098 -1 1929 17 1042 1405 96026 22422 3.2305 3.2305 -124.014 -3.2305 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0173192 0.0153675 120 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 7.24 vpr 64.64 MiB -1 -1 0.16 20208 1 0.03 -1 -1 33748 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66192 30 32 303 262 1 191 83 17 17 289 -1 unnamed_device 26.1 MiB 2.38 1118 14483 4527 7969 1987 64.6 MiB 0.11 0.00 3.58297 -108.561 -3.58297 3.58297 0.91 0.000420507 0.00038429 0.0364185 0.033259 34 2354 21 6.89349e+06 295971 618332. 2139.56 1.74 0.136405 0.119592 25762 151098 -1 2122 19 1306 1788 132678 29797 3.6325 3.6325 -127.379 -3.6325 0 0 787024. 2723.27 0.29 0.05 0.15 -1 -1 0.29 0.0187501 0.0164767 124 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 6.51 vpr 64.46 MiB -1 -1 0.14 20276 1 0.03 -1 -1 33720 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 26.1 MiB 1.74 956 14256 4096 8723 1437 64.5 MiB 0.10 0.00 2.911 -95.433 -2.911 2.911 0.91 0.000395985 0.00036063 0.0348336 0.0318287 34 2290 43 6.89349e+06 239595 618332. 2139.56 1.67 0.144513 0.126599 25762 151098 -1 1914 21 987 1375 106533 24480 2.79396 2.79396 -110.557 -2.79396 0 0 787024. 2723.27 0.33 0.05 0.14 -1 -1 0.33 0.0200465 0.017686 108 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 9.40 vpr 64.80 MiB -1 -1 0.15 20584 1 0.03 -1 -1 33736 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 26.3 MiB 2.31 997 16791 5555 8237 2999 64.8 MiB 0.14 0.00 3.19568 -104.214 -3.19568 3.19568 0.92 0.000485185 0.000443078 0.0447622 0.0408646 36 2494 24 6.89349e+06 324158 648988. 2245.63 3.92 0.208551 0.182857 26050 158493 -1 2056 18 1497 2317 165763 37476 3.19906 3.19906 -116.896 -3.19906 0 0 828058. 2865.25 0.31 0.06 0.13 -1 -1 0.31 0.0203772 0.0180226 143 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 7.12 vpr 64.86 MiB -1 -1 0.15 20860 1 0.03 -1 -1 33936 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 32 32 363 295 1 232 88 17 17 289 -1 unnamed_device 26.6 MiB 2.14 1237 16273 4603 9085 2585 64.9 MiB 0.14 0.00 4.42931 -129.469 -4.42931 4.42931 0.91 0.000483489 0.000439862 0.0440965 0.0401478 34 3069 27 6.89349e+06 338252 618332. 2139.56 1.79 0.148441 0.131432 25762 151098 -1 2487 21 1829 2525 187149 44185 4.61295 4.61295 -154.299 -4.61295 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0240911 0.0213215 153 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 7.01 vpr 64.44 MiB -1 -1 0.15 20176 1 0.03 -1 -1 33508 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 29 32 248 215 1 160 79 17 17 289 -1 unnamed_device 25.9 MiB 2.28 828 9543 2408 6323 812 64.4 MiB 0.07 0.00 2.55142 -81.9482 -2.55142 2.55142 0.95 0.000390582 0.000355746 0.0234629 0.0214464 34 1977 20 6.89349e+06 253689 618332. 2139.56 1.55 0.104838 0.0910372 25762 151098 -1 1666 19 1044 1489 108412 25698 2.64866 2.64866 -94.7448 -2.64866 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0162489 0.0143261 102 21 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 7.95 vpr 65.00 MiB -1 -1 0.16 20476 1 0.03 -1 -1 33860 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 370 297 1 234 88 17 17 289 -1 unnamed_device 26.6 MiB 2.66 1341 15298 4523 8737 2038 65.0 MiB 0.13 0.00 3.3439 -110.98 -3.3439 3.3439 0.93 0.000529202 0.000483669 0.0437947 0.039863 34 3308 25 6.89349e+06 338252 618332. 2139.56 2.00 0.171482 0.150255 25762 151098 -1 2752 22 2047 3308 281262 59839 3.57225 3.57225 -132.703 -3.57225 0 0 787024. 2723.27 0.29 0.09 0.14 -1 -1 0.29 0.0250714 0.0219765 159 55 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 7.26 vpr 64.87 MiB -1 -1 0.16 20452 1 0.03 -1 -1 34084 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 338 269 1 205 86 17 17 289 -1 unnamed_device 26.3 MiB 2.27 1142 13694 4281 7359 2054 64.9 MiB 0.11 0.00 3.18768 -107.577 -3.18768 3.18768 0.91 0.000472906 0.000430443 0.0371077 0.0338562 36 2536 22 6.89349e+06 310065 648988. 2245.63 1.85 0.157272 0.138805 26050 158493 -1 2236 16 1301 1917 158203 33449 3.19711 3.19711 -121.769 -3.19711 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0189809 0.0169209 142 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 7.17 vpr 64.85 MiB -1 -1 0.15 20584 1 0.03 -1 -1 33488 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 323 276 1 215 85 17 17 289 -1 unnamed_device 26.3 MiB 1.98 1179 16267 4856 9680 1731 64.8 MiB 0.13 0.00 2.80245 -104.61 -2.80245 2.80245 0.92 0.000437656 0.000399415 0.041631 0.0379831 34 2776 41 6.89349e+06 295971 618332. 2139.56 2.05 0.175624 0.155302 25762 151098 -1 2349 19 1449 1911 146028 32588 2.89221 2.89221 -122.703 -2.89221 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.020422 0.0180828 131 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 5.09 vpr 64.32 MiB -1 -1 0.14 20480 1 0.03 -1 -1 33640 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65864 30 32 222 206 1 141 77 17 17 289 -1 unnamed_device 25.9 MiB 1.20 715 5456 1202 3908 346 64.3 MiB 0.04 0.00 2.15123 -73.4367 -2.15123 2.15123 0.93 0.000348667 0.000318147 0.0131737 0.0120456 30 1579 19 6.89349e+06 211408 556674. 1926.21 0.89 0.0564477 0.0491161 25186 138497 -1 1363 18 564 679 45907 10995 2.11002 2.11002 -87.7289 -2.11002 0 0 706193. 2443.58 0.28 0.03 0.13 -1 -1 0.28 0.0144593 0.0127243 82 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 7.82 vpr 64.68 MiB -1 -1 0.16 20236 1 0.03 -1 -1 33844 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 31 32 291 243 1 179 82 17 17 289 -1 unnamed_device 26.3 MiB 2.57 931 14678 5107 7399 2172 64.7 MiB 0.12 0.00 3.72732 -118.161 -3.72732 3.72732 0.98 0.000440085 0.00040149 0.0380296 0.0346313 36 2163 24 6.89349e+06 267783 648988. 2245.63 1.97 0.141437 0.124144 26050 158493 -1 1798 22 1256 2001 146002 33310 3.36035 3.36035 -125.331 -3.36035 0 0 828058. 2865.25 0.32 0.06 0.13 -1 -1 0.32 0.0208374 0.0183587 117 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 6.15 vpr 64.57 MiB -1 -1 0.14 20560 1 0.03 -1 -1 33940 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 32 32 342 271 1 207 98 17 17 289 -1 unnamed_device 26.0 MiB 1.24 1121 18098 5847 9989 2262 64.6 MiB 0.14 0.00 3.68693 -121.727 -3.68693 3.68693 0.91 0.000491501 0.000449583 0.0410945 0.0374848 34 2624 22 6.89349e+06 479191 618332. 2139.56 1.78 0.158561 0.13954 25762 151098 -1 2122 22 1495 2241 153724 35133 3.91094 3.91094 -140.255 -3.91094 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0231134 0.020409 151 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 9.11 vpr 64.91 MiB -1 -1 0.16 20644 1 0.03 -1 -1 33924 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 372 300 1 229 87 17 17 289 -1 unnamed_device 26.5 MiB 1.56 1311 9111 2463 5760 888 64.9 MiB 0.09 0.00 3.53795 -113.225 -3.53795 3.53795 0.93 0.000517898 0.000471227 0.026783 0.024461 36 2999 19 6.89349e+06 324158 648988. 2245.63 4.27 0.190436 0.16506 26050 158493 -1 2518 21 1748 2739 194441 42650 3.7065 3.7065 -133.858 -3.7065 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0241141 0.0212572 155 59 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 5.90 vpr 64.15 MiB -1 -1 0.14 19984 1 0.03 -1 -1 34244 -1 -1 19 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 26 32 190 182 1 126 77 17 17 289 -1 unnamed_device 25.7 MiB 1.39 442 11813 4730 5237 1846 64.1 MiB 0.06 0.00 2.20251 -59.9837 -2.20251 2.20251 0.91 0.000293716 0.000267942 0.0224558 0.0204621 34 1397 21 6.89349e+06 267783 618332. 2139.56 1.50 0.0902635 0.0787196 25762 151098 -1 1075 19 772 917 79348 21035 2.38625 2.38625 -74.8051 -2.38625 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0128172 0.0112624 76 21 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 5.18 vpr 64.57 MiB -1 -1 0.16 20396 1 0.03 -1 -1 33952 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 26.2 MiB 1.04 992 5655 1153 4209 293 64.6 MiB 0.06 0.00 3.65437 -106.778 -3.65437 3.65437 0.93 0.000438961 0.000399951 0.0147308 0.0134218 28 2375 24 6.89349e+06 324158 531479. 1839.03 1.09 0.0759754 0.0663427 24610 126494 -1 2252 20 1425 2492 194269 44207 3.76845 3.76845 -129.081 -3.76845 0 0 648988. 2245.63 0.26 0.07 0.12 -1 -1 0.26 0.0194734 0.017154 119 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 5.04 vpr 63.96 MiB -1 -1 0.13 20028 1 0.03 -1 -1 33600 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65492 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 25.5 MiB 0.43 431 9516 3885 5180 451 64.0 MiB 0.05 0.00 1.84032 -58.7538 -1.84032 1.84032 0.96 0.000311697 0.000284895 0.0190384 0.017264 34 1283 45 6.89349e+06 169126 618332. 2139.56 1.51 0.0831767 0.0719603 25762 151098 -1 1054 19 645 827 66903 17570 2.02876 2.02876 -75.5818 -2.02876 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.0131531 0.011548 65 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 6.52 vpr 64.66 MiB -1 -1 0.16 20212 1 0.03 -1 -1 33696 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66212 32 32 300 245 1 187 84 17 17 289 -1 unnamed_device 26.2 MiB 1.86 962 14175 3766 8385 2024 64.7 MiB 0.11 0.00 3.95808 -113.056 -3.95808 3.95808 0.92 0.000445359 0.000405652 0.0371632 0.0339548 34 2461 20 6.89349e+06 281877 618332. 2139.56 1.50 0.134528 0.117901 25762 151098 -1 2034 18 1132 1660 115564 28077 4.00226 4.00226 -125.417 -4.00226 0 0 787024. 2723.27 0.33 0.05 0.14 -1 -1 0.33 0.0194303 0.017263 125 21 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 5.06 vpr 64.52 MiB -1 -1 0.15 20396 1 0.03 -1 -1 33804 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 26.1 MiB 0.88 1065 17375 5092 9935 2348 64.5 MiB 0.13 0.00 2.7033 -91.5418 -2.7033 2.7033 0.93 0.000456451 0.000417859 0.0381814 0.0346926 28 2592 30 6.89349e+06 436909 531479. 1839.03 1.05 0.10327 0.090764 24610 126494 -1 2274 22 1360 2495 185371 41365 2.67775 2.67775 -108.068 -2.67775 0 0 648988. 2245.63 0.26 0.07 0.12 -1 -1 0.26 0.0210041 0.0183952 130 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 7.60 vpr 64.89 MiB -1 -1 0.16 20804 1 0.03 -1 -1 33656 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 338 277 1 215 87 17 17 289 -1 unnamed_device 26.3 MiB 2.61 1126 11031 2881 6858 1292 64.9 MiB 0.10 0.00 3.79978 -109.038 -3.79978 3.79978 0.96 0.000499036 0.000452989 0.0311822 0.0283481 34 2782 21 6.89349e+06 324158 618332. 2139.56 1.72 0.138059 0.120148 25762 151098 -1 2358 21 1605 2409 164688 39006 3.83476 3.83476 -126.659 -3.83476 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0225646 0.019856 142 47 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 6.96 vpr 64.54 MiB -1 -1 0.14 20324 1 0.03 -1 -1 33840 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 284 241 1 177 81 17 17 289 -1 unnamed_device 26.1 MiB 2.08 995 11456 3373 6255 1828 64.5 MiB 0.09 0.00 2.9839 -102.38 -2.9839 2.9839 0.94 0.000435457 0.000395693 0.0305097 0.0278119 34 2282 31 6.89349e+06 239595 618332. 2139.56 1.68 0.134155 0.117207 25762 151098 -1 1907 19 1264 1816 129053 29357 2.82416 2.82416 -113.888 -2.82416 0 0 787024. 2723.27 0.33 0.05 0.14 -1 -1 0.33 0.018401 0.0162155 112 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 6.87 vpr 64.53 MiB -1 -1 0.15 20220 1 0.03 -1 -1 33720 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66076 30 32 262 227 1 161 79 17 17 289 -1 unnamed_device 26.0 MiB 2.11 883 13092 4667 6018 2407 64.5 MiB 0.09 0.00 3.26582 -95.078 -3.26582 3.26582 0.96 0.000392066 0.000355991 0.0327671 0.02983 34 2191 25 6.89349e+06 239595 618332. 2139.56 1.57 0.123803 0.107771 25762 151098 -1 1840 20 1025 1666 125288 28583 3.5863 3.5863 -115.942 -3.5863 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0184313 0.0163111 104 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 6.04 vpr 64.36 MiB -1 -1 0.16 20224 1 0.03 -1 -1 33800 -1 -1 20 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 28 32 260 223 1 163 80 17 17 289 -1 unnamed_device 25.8 MiB 1.92 897 13324 4235 7704 1385 64.4 MiB 0.10 0.00 3.27894 -97.2693 -3.27894 3.27894 0.92 0.000396871 0.000361544 0.0317795 0.0289331 30 2291 25 6.89349e+06 281877 556674. 1926.21 1.06 0.089978 0.0793328 25186 138497 -1 1849 18 957 1597 107369 24604 3.45875 3.45875 -117.488 -3.45875 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0160969 0.0142538 107 27 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 4.86 vpr 64.27 MiB -1 -1 0.14 20460 1 0.03 -1 -1 33636 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65808 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 25.8 MiB 0.71 799 12156 3105 8175 876 64.3 MiB 0.10 0.00 3.24508 -101.69 -3.24508 3.24508 0.98 0.000404013 0.000370459 0.0310671 0.0283457 30 2111 19 6.89349e+06 239595 556674. 1926.21 0.97 0.0834402 0.0735788 25186 138497 -1 1749 21 1057 1811 122196 27245 2.79386 2.79386 -111.876 -2.79386 0 0 706193. 2443.58 0.29 0.05 0.13 -1 -1 0.29 0.0187111 0.0164912 101 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 7.01 vpr 64.53 MiB -1 -1 0.15 20608 1 0.03 -1 -1 33448 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 31 32 271 231 1 172 81 17 17 289 -1 unnamed_device 25.9 MiB 1.87 891 14431 4881 6988 2562 64.5 MiB 0.11 0.00 2.82865 -91.106 -2.82865 2.82865 0.93 0.000404218 0.000367446 0.0361453 0.0329066 34 2243 49 6.89349e+06 253689 618332. 2139.56 1.97 0.14967 0.130459 25762 151098 -1 1861 21 1039 1539 114731 26737 2.79006 2.79006 -107.109 -2.79006 0 0 787024. 2723.27 0.33 0.05 0.14 -1 -1 0.33 0.0195305 0.0172319 108 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 7.25 vpr 64.63 MiB -1 -1 0.16 20364 1 0.03 -1 -1 33736 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66180 29 32 291 250 1 185 83 17 17 289 -1 unnamed_device 26.2 MiB 2.18 871 14123 3525 9365 1233 64.6 MiB 0.10 0.00 2.84275 -83.5485 -2.84275 2.84275 0.97 0.000436278 0.000390566 0.0363799 0.0331567 36 2050 22 6.89349e+06 310065 648988. 2245.63 1.81 0.13391 0.117179 26050 158493 -1 1699 18 986 1343 83541 21086 2.72096 2.72096 -96.9431 -2.72096 0 0 828058. 2865.25 0.32 0.04 0.15 -1 -1 0.32 0.0174321 0.0154169 120 48 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 7.18 vpr 65.00 MiB -1 -1 0.16 20376 1 0.03 -1 -1 33572 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 367 282 1 224 89 17 17 289 -1 unnamed_device 26.7 MiB 1.91 1321 14345 3951 8541 1853 65.0 MiB 0.14 0.00 3.60205 -109.719 -3.60205 3.60205 0.96 0.000532056 0.000485675 0.0401283 0.0365646 34 3204 25 6.89349e+06 352346 618332. 2139.56 1.99 0.179931 0.158412 25762 151098 -1 2583 21 1457 2439 192212 41693 3.60416 3.60416 -124.443 -3.60416 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0258964 0.0229676 159 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 8.49 vpr 64.80 MiB -1 -1 0.17 20804 1 0.03 -1 -1 33784 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 391 311 1 250 88 17 17 289 -1 unnamed_device 26.4 MiB 2.46 1342 16078 5333 8863 1882 64.8 MiB 0.14 0.00 3.57677 -122.298 -3.57677 3.57677 0.95 0.000540233 0.000490725 0.0474274 0.043135 34 3631 31 6.89349e+06 338252 618332. 2139.56 2.70 0.185939 0.162883 25762 151098 -1 2853 21 2352 3233 315530 66528 3.75255 3.75255 -145.95 -3.75255 0 0 787024. 2723.27 0.30 0.10 0.14 -1 -1 0.30 0.0265541 0.0234512 168 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 6.05 vpr 64.64 MiB -1 -1 0.14 20592 1 0.03 -1 -1 34032 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 26.3 MiB 1.37 933 9706 2569 6078 1059 64.6 MiB 0.08 0.00 3.21878 -100.089 -3.21878 3.21878 0.91 0.000428977 0.000382741 0.0248366 0.022676 34 2122 20 6.89349e+06 253689 618332. 2139.56 1.63 0.120089 0.105287 25762 151098 -1 1847 23 1171 1843 176030 36127 3.05485 3.05485 -114.037 -3.05485 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0202954 0.0177433 109 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 7.88 vpr 65.00 MiB -1 -1 0.17 20584 1 0.03 -1 -1 34020 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 31 32 370 297 1 235 88 17 17 289 -1 unnamed_device 26.6 MiB 2.57 1278 11593 3170 7401 1022 65.0 MiB 0.11 0.00 3.42249 -111.085 -3.42249 3.42249 0.93 0.000523598 0.000466452 0.0336009 0.0304175 34 3269 23 6.89349e+06 352346 618332. 2139.56 2.04 0.156743 0.136876 25762 151098 -1 2758 20 1712 2544 193286 42979 3.7144 3.7144 -137.223 -3.7144 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0243319 0.0214832 160 57 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 10.79 vpr 65.12 MiB -1 -1 0.17 20688 1 0.03 -1 -1 33740 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 31 32 377 302 1 241 88 17 17 289 -1 unnamed_device 26.7 MiB 3.08 1178 13933 4197 7735 2001 65.1 MiB 0.14 0.00 4.34127 -130.272 -4.34127 4.34127 0.97 0.00056193 0.000512868 0.041116 0.0374947 38 2852 24 6.89349e+06 352346 678818. 2348.85 4.28 0.218808 0.190764 26626 170182 -1 2448 18 1758 2559 190924 40178 4.66428 4.66428 -155.12 -4.66428 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0230058 0.020461 163 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 7.83 vpr 64.81 MiB -1 -1 0.17 20548 1 0.03 -1 -1 33720 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 31 32 383 305 1 240 88 17 17 289 -1 unnamed_device 26.4 MiB 2.61 1138 15688 4816 8173 2699 64.8 MiB 0.14 0.00 4.74618 -136.117 -4.74618 4.74618 1.00 0.000540554 0.00049406 0.0461577 0.0419961 34 2972 25 6.89349e+06 352346 618332. 2139.56 1.86 0.171335 0.149912 25762 151098 -1 2320 22 1874 2811 192911 44258 4.73554 4.73554 -163.324 -4.73554 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0269936 0.0238681 166 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 7.26 vpr 64.83 MiB -1 -1 0.16 20668 1 0.03 -1 -1 33968 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 31 32 352 285 1 223 87 17 17 289 -1 unnamed_device 26.2 MiB 2.26 1126 12759 3721 7822 1216 64.8 MiB 0.12 0.00 3.17668 -101.657 -3.17668 3.17668 0.96 0.000555448 0.000510034 0.0363065 0.0331522 34 2939 23 6.89349e+06 338252 618332. 2139.56 1.73 0.157418 0.137886 25762 151098 -1 2256 18 1554 2292 142706 35261 2.95831 2.95831 -113.195 -2.95831 0 0 787024. 2723.27 0.32 0.06 0.13 -1 -1 0.32 0.021461 0.0190177 148 51 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 6.92 vpr 64.50 MiB -1 -1 0.16 20460 1 0.03 -1 -1 33712 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 32 32 291 242 1 188 84 17 17 289 -1 unnamed_device 26.1 MiB 2.19 989 14175 5590 7349 1236 64.5 MiB 0.11 0.00 3.64925 -99.1057 -3.64925 3.64925 0.91 0.000422547 0.000384029 0.0345252 0.0314547 34 2559 26 6.89349e+06 281877 618332. 2139.56 1.60 0.136169 0.119127 25762 151098 -1 2082 21 1195 1728 126769 29863 3.70276 3.70276 -117.849 -3.70276 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0199562 0.0176377 120 24 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 8.99 vpr 65.48 MiB -1 -1 0.16 21176 1 0.03 -1 -1 33740 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67056 32 32 457 356 1 296 95 17 17 289 -1 unnamed_device 27.0 MiB 2.68 1522 11543 2757 8138 648 65.5 MiB 0.13 0.00 4.19571 -136.492 -4.19571 4.19571 0.96 0.000621024 0.000564257 0.0360505 0.0327646 34 4736 48 6.89349e+06 436909 618332. 2139.56 2.93 0.18424 0.161022 25762 151098 -1 3237 25 2604 3916 312438 71846 4.99139 4.99139 -176.994 -4.99139 0 0 787024. 2723.27 0.30 0.11 0.14 -1 -1 0.30 0.0323735 0.0284669 203 84 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 6.61 vpr 64.36 MiB -1 -1 0.15 20284 1 0.03 -1 -1 33804 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 31 32 261 225 1 171 81 17 17 289 -1 unnamed_device 25.8 MiB 1.97 1018 10056 2694 6355 1007 64.4 MiB 0.07 0.00 2.974 -92.1126 -2.974 2.974 0.94 0.000399657 0.000364878 0.024508 0.0223658 34 2204 23 6.89349e+06 253689 618332. 2139.56 1.49 0.10941 0.0950114 25762 151098 -1 1933 17 1145 1554 117683 26662 3.03351 3.03351 -108.752 -3.03351 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0161304 0.014249 106 24 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 8.84 vpr 64.81 MiB -1 -1 0.16 20736 1 0.03 -1 -1 33752 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 26.2 MiB 1.77 1236 10481 2814 6547 1120 64.8 MiB 0.10 0.00 3.75642 -119.135 -3.75642 3.75642 0.95 0.000502581 0.000450352 0.029568 0.0269731 30 2808 32 6.89349e+06 324158 556674. 1926.21 3.84 0.18554 0.161059 25186 138497 -1 2216 22 1409 2078 116007 27803 3.9346 3.9346 -137.838 -3.9346 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0238599 0.0211326 140 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 7.78 vpr 64.98 MiB -1 -1 0.15 20532 1 0.03 -1 -1 33964 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 349 284 1 222 87 17 17 289 -1 unnamed_device 26.6 MiB 2.53 1301 16023 5316 8071 2636 65.0 MiB 0.14 0.00 3.41329 -109.461 -3.41329 3.41329 0.96 0.000482653 0.000439413 0.0438142 0.0398272 34 3230 24 6.89349e+06 324158 618332. 2139.56 1.96 0.172899 0.151942 25762 151098 -1 2593 20 1421 2289 177595 39579 3.6724 3.6724 -129.599 -3.6724 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0225673 0.0199423 149 50 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 5.79 vpr 64.58 MiB -1 -1 0.14 20252 1 0.03 -1 -1 33952 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 26.2 MiB 0.70 1058 12552 3287 7737 1528 64.6 MiB 0.10 0.00 3.37229 -107.321 -3.37229 3.37229 0.93 0.000471267 0.00043018 0.0300709 0.027368 34 2366 20 6.89349e+06 366440 618332. 2139.56 1.86 0.130755 0.114563 25762 151098 -1 2098 22 1387 2615 185855 40765 3.6232 3.6232 -125.916 -3.6232 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0220779 0.0195113 123 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 9.27 vpr 64.78 MiB -1 -1 0.17 20668 1 0.03 -1 -1 33624 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 353 287 1 220 87 17 17 289 -1 unnamed_device 26.5 MiB 2.06 1074 13911 4654 6551 2706 64.8 MiB 0.12 0.00 3.42271 -103.027 -3.42271 3.42271 0.93 0.000506156 0.000460523 0.0390261 0.0355354 36 2555 22 6.89349e+06 324158 648988. 2245.63 3.96 0.192267 0.166744 26050 158493 -1 2223 19 1499 2115 168064 37572 3.02926 3.02926 -114.863 -3.02926 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0237567 0.0210661 148 52 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 8.46 vpr 64.91 MiB -1 -1 0.17 20684 1 0.03 -1 -1 33820 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 361 291 1 233 88 17 17 289 -1 unnamed_device 26.6 MiB 2.44 1108 14908 4824 7385 2699 64.9 MiB 0.12 0.00 3.31619 -102.977 -3.31619 3.31619 0.93 0.000506741 0.000461114 0.0408111 0.0371008 36 3281 34 6.89349e+06 338252 648988. 2245.63 2.72 0.166873 0.145395 26050 158493 -1 2470 19 1642 2455 190923 44162 3.90705 3.90705 -129.732 -3.90705 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0221305 0.0194443 154 52 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 7.37 vpr 64.80 MiB -1 -1 0.16 20648 1 0.03 -1 -1 33652 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 382 305 1 243 90 17 17 289 -1 unnamed_device 26.4 MiB 2.10 1356 13758 4192 7257 2309 64.8 MiB 0.13 0.00 3.22384 -110.279 -3.22384 3.22384 0.95 0.000480718 0.000438021 0.0380414 0.0344812 34 3283 29 6.89349e+06 366440 618332. 2139.56 1.95 0.141419 0.123996 25762 151098 -1 2666 21 1810 2537 198013 43136 3.12551 3.12551 -127.459 -3.12551 0 0 787024. 2723.27 0.33 0.08 0.14 -1 -1 0.33 0.026069 0.023094 164 59 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 6.90 vpr 64.72 MiB -1 -1 0.16 20564 1 0.03 -1 -1 33756 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66276 32 32 306 248 1 188 85 17 17 289 -1 unnamed_device 26.3 MiB 1.92 954 14221 3790 8871 1560 64.7 MiB 0.12 0.00 3.61195 -107.106 -3.61195 3.61195 0.98 0.000436795 0.000396498 0.037029 0.0337158 34 2377 31 6.89349e+06 295971 618332. 2139.56 1.69 0.149937 0.131477 25762 151098 -1 1935 20 1177 1910 128213 31756 3.66526 3.66526 -123.89 -3.66526 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.0199684 0.0176263 128 21 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 6.80 vpr 64.57 MiB -1 -1 0.14 20244 1 0.03 -1 -1 33756 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66124 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 26.1 MiB 1.94 1095 11048 2744 7222 1082 64.6 MiB 0.10 0.00 3.93308 -117.677 -3.93308 3.93308 0.94 0.000468175 0.000427164 0.0291171 0.02659 34 2822 43 6.89349e+06 310065 618332. 2139.56 1.65 0.132226 0.115653 25762 151098 -1 2312 20 1380 2031 146501 33630 3.8428 3.8428 -130.75 -3.8428 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0212992 0.0188294 135 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 6.71 vpr 65.00 MiB -1 -1 0.15 20460 1 0.03 -1 -1 34032 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 31 32 373 299 1 227 87 17 17 289 -1 unnamed_device 26.6 MiB 1.49 1438 14871 4798 8065 2008 65.0 MiB 0.14 0.00 3.81572 -120.928 -3.81572 3.81572 0.92 0.000508764 0.00046484 0.041477 0.037815 34 3496 45 6.89349e+06 338252 618332. 2139.56 2.00 0.187808 0.164703 25762 151098 -1 2801 23 1798 2879 252245 52500 3.90996 3.90996 -142.025 -3.90996 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.025779 0.0227172 156 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 10.41 vpr 65.09 MiB -1 -1 0.17 20640 1 0.03 -1 -1 33880 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 387 315 1 249 89 17 17 289 -1 unnamed_device 26.6 MiB 3.00 1313 8801 1909 6412 480 65.1 MiB 0.09 0.00 3.80725 -116.552 -3.80725 3.80725 0.93 0.000524585 0.000477304 0.0259615 0.0236177 36 3220 28 6.89349e+06 352346 648988. 2245.63 4.16 0.20007 0.173007 26050 158493 -1 2747 22 1886 2814 192181 44062 3.63536 3.63536 -133.834 -3.63536 0 0 828058. 2865.25 0.31 0.07 0.15 -1 -1 0.31 0.0251804 0.0220782 166 74 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 6.36 vpr 64.39 MiB -1 -1 0.15 20236 1 0.03 -1 -1 33520 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65936 32 32 251 219 1 156 79 17 17 289 -1 unnamed_device 25.9 MiB 1.83 846 8867 2360 6012 495 64.4 MiB 0.07 0.00 2.79059 -89.1076 -2.79059 2.79059 0.93 0.000376881 0.000342391 0.0215083 0.0195347 34 1978 24 6.89349e+06 211408 618332. 2139.56 1.44 0.102205 0.0883822 25762 151098 -1 1754 21 998 1615 103948 24750 2.89726 2.89726 -101.525 -2.89726 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0175102 0.0153958 96 20 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 6.80 vpr 64.88 MiB -1 -1 0.16 20824 1 0.03 -1 -1 33800 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 341 285 1 219 84 17 17 289 -1 unnamed_device 26.3 MiB 1.51 1015 10881 2467 7293 1121 64.9 MiB 0.09 0.00 3.45729 -116.703 -3.45729 3.45729 0.95 0.000492895 0.000442133 0.0311408 0.0283939 36 2726 22 6.89349e+06 281877 648988. 2245.63 2.04 0.122481 0.107739 26050 158493 -1 2144 22 1876 2555 188538 44365 3.65205 3.65205 -139.988 -3.65205 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0234877 0.0206998 138 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 7.10 vpr 65.00 MiB -1 -1 0.17 20516 1 0.03 -1 -1 33840 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 387 293 1 237 89 17 17 289 -1 unnamed_device 26.5 MiB 1.99 1416 17117 5679 9084 2354 65.0 MiB 0.16 0.00 4.49577 -134.492 -4.49577 4.49577 0.91 0.000536614 0.000490818 0.0494236 0.0450555 36 3175 26 6.89349e+06 352346 648988. 2245.63 1.88 0.155986 0.138074 26050 158493 -1 2748 21 1844 2988 212566 45951 4.38245 4.38245 -148.382 -4.38245 0 0 828058. 2865.25 0.32 0.08 0.13 -1 -1 0.32 0.0264275 0.0233945 168 28 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 9.55 vpr 64.91 MiB -1 -1 0.15 20296 1 0.03 -1 -1 33588 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 32 32 340 270 1 212 86 17 17 289 -1 unnamed_device 26.4 MiB 2.21 1004 14450 4790 7027 2633 64.9 MiB 0.12 0.00 3.53796 -113.493 -3.53796 3.53796 0.97 0.000484011 0.000440171 0.0409764 0.0373766 36 2660 19 6.89349e+06 310065 648988. 2245.63 4.03 0.196771 0.171311 26050 158493 -1 2186 20 1621 2381 180525 41091 3.22686 3.22686 -122.753 -3.22686 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0233578 0.0206877 144 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 6.69 vpr 64.62 MiB -1 -1 0.16 20340 1 0.03 -1 -1 33792 -1 -1 27 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 30 32 278 235 1 175 89 17 17 289 -1 unnamed_device 26.2 MiB 1.70 1008 17711 5346 10399 1966 64.6 MiB 0.13 0.00 3.17564 -102.032 -3.17564 3.17564 0.94 0.000412242 0.00037446 0.0390578 0.035545 34 2221 22 6.89349e+06 380534 618332. 2139.56 1.72 0.115141 0.101216 25762 151098 -1 1986 22 1257 2111 154249 35358 3.48495 3.48495 -124.405 -3.48495 0 0 787024. 2723.27 0.33 0.06 0.14 -1 -1 0.33 0.0202894 0.0178336 118 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 11.38 vpr 65.21 MiB -1 -1 0.17 21004 1 0.03 -1 -1 33700 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 32 32 431 332 1 270 91 17 17 289 -1 unnamed_device 26.7 MiB 3.72 1598 14983 4019 9208 1756 65.2 MiB 0.18 0.00 5.53985 -161.329 -5.53985 5.53985 0.95 0.000619456 0.000564081 0.0467677 0.0425621 38 3685 21 6.89349e+06 380534 678818. 2348.85 4.24 0.236912 0.205834 26626 170182 -1 3193 21 2232 3463 259707 55282 5.55253 5.55253 -187.141 -5.55253 0 0 902133. 3121.57 0.35 0.09 0.16 -1 -1 0.35 0.0292415 0.026039 188 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 6.26 vpr 64.79 MiB -1 -1 0.15 20604 1 0.03 -1 -1 33676 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 336 268 1 205 85 17 17 289 -1 unnamed_device 26.3 MiB 1.59 1069 15709 4543 9110 2056 64.8 MiB 0.12 0.00 3.82232 -121.404 -3.82232 3.82232 0.91 0.000466732 0.000424441 0.0428751 0.0390839 34 2588 24 6.89349e+06 295971 618332. 2139.56 1.54 0.151806 0.133292 25762 151098 -1 2238 21 1746 2446 177923 41013 3.9728 3.9728 -141.708 -3.9728 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0226602 0.0200557 139 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 6.21 vpr 64.14 MiB -1 -1 0.14 20384 1 0.03 -1 -1 33652 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65680 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.7 MiB 0.66 700 11203 2591 7175 1437 64.1 MiB 0.08 0.00 2.8828 -80.534 -2.8828 2.8828 0.95 0.000391969 0.000358306 0.0231761 0.0211679 30 1745 28 6.89349e+06 338252 556674. 1926.21 2.42 0.127794 0.11071 25186 138497 -1 1525 20 847 1528 99174 23222 2.75811 2.75811 -94.3849 -2.75811 0 0 706193. 2443.58 0.31 0.05 0.13 -1 -1 0.31 0.0184708 0.0162603 94 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 7.28 vpr 64.93 MiB -1 -1 0.16 20744 1 0.03 -1 -1 33516 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 349 273 1 214 87 17 17 289 -1 unnamed_device 26.4 MiB 2.12 1248 13527 4219 7847 1461 64.9 MiB 0.12 0.00 4.19497 -117.606 -4.19497 4.19497 0.98 0.000494773 0.000450892 0.0381212 0.0346179 34 3070 21 6.89349e+06 324158 618332. 2139.56 1.85 0.152422 0.133042 25762 151098 -1 2513 21 1466 2566 175916 39733 4.3393 4.3393 -139.268 -4.3393 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0229944 0.0202818 149 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 6.89 vpr 64.40 MiB -1 -1 0.12 20148 1 0.03 -1 -1 34104 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.9 MiB 0.73 705 7283 1575 5151 557 64.4 MiB 0.05 0.00 2.81765 -87.6139 -2.81765 2.81765 0.96 0.000393352 0.000358835 0.0171488 0.0156369 34 1860 18 6.89349e+06 267783 618332. 2139.56 3.08 0.139117 0.120173 25762 151098 -1 1459 18 1032 1776 113105 28197 2.70506 2.70506 -101.131 -2.70506 0 0 787024. 2723.27 0.32 0.05 0.13 -1 -1 0.32 0.0163255 0.0143852 98 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 6.39 vpr 64.38 MiB -1 -1 0.14 20320 1 0.03 -1 -1 33552 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 30 32 278 235 1 175 82 17 17 289 -1 unnamed_device 26.0 MiB 1.45 854 9516 2323 6740 453 64.4 MiB 0.08 0.00 3.17368 -94.5914 -3.17368 3.17368 0.95 0.000419711 0.000382706 0.0243987 0.0223467 34 2168 29 6.89349e+06 281877 618332. 2139.56 1.79 0.133959 0.118062 25762 151098 -1 1948 21 1262 1797 139742 32371 3.16966 3.16966 -112.864 -3.16966 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0197819 0.0174855 113 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 8.77 vpr 64.98 MiB -1 -1 0.14 20624 1 0.03 -1 -1 33968 -1 -1 26 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 29 32 355 287 1 224 87 17 17 289 -1 unnamed_device 26.6 MiB 3.52 1099 11991 3115 8122 754 65.0 MiB 0.11 0.00 3.60013 -107.086 -3.60013 3.60013 0.97 0.000494764 0.000451822 0.0335819 0.0305811 34 2992 39 6.89349e+06 366440 618332. 2139.56 1.96 0.175667 0.15351 25762 151098 -1 2348 20 1655 2441 188687 43471 3.53834 3.53834 -125.749 -3.53834 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0238848 0.0210845 154 56 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 7.68 vpr 65.07 MiB -1 -1 0.15 20604 1 0.03 -1 -1 33804 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 32 32 358 289 1 230 86 17 17 289 -1 unnamed_device 26.7 MiB 2.18 1279 16907 6119 8984 1804 65.1 MiB 0.15 0.00 4.11834 -130.881 -4.11834 4.11834 0.98 0.000516161 0.000469825 0.0488176 0.0445301 34 3169 32 6.89349e+06 310065 618332. 2139.56 2.20 0.19066 0.168344 25762 151098 -1 2462 22 1857 2710 183402 43528 4.20505 4.20505 -150.53 -4.20505 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0242008 0.0213203 151 51 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 7.38 vpr 65.00 MiB -1 -1 0.15 20564 1 0.03 -1 -1 34028 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 32 32 353 285 1 228 87 17 17 289 -1 unnamed_device 26.4 MiB 1.81 1306 11223 2863 7379 981 65.0 MiB 0.10 0.00 4.28447 -128.177 -4.28447 4.28447 0.96 0.000515612 0.000456219 0.0327213 0.0297851 36 3144 29 6.89349e+06 324158 648988. 2245.63 2.28 0.173512 0.152446 26050 158493 -1 2718 20 1950 2794 239587 50893 4.36935 4.36935 -150.518 -4.36935 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0241533 0.021376 150 48 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 5.98 vpr 64.52 MiB -1 -1 0.14 20364 1 0.03 -1 -1 33492 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 25.9 MiB 1.90 935 12247 4169 6567 1511 64.5 MiB 0.10 0.00 3.71247 -110.557 -3.71247 3.71247 0.96 0.000423098 0.000386604 0.0326571 0.0297873 30 2156 19 6.89349e+06 211408 556674. 1926.21 0.96 0.0886619 0.0782416 25186 138497 -1 1793 20 855 1193 82557 18816 3.18905 3.18905 -116.88 -3.18905 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0185029 0.0163965 105 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 6.84 vpr 64.81 MiB -1 -1 0.15 20180 1 0.03 -1 -1 34000 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 31 32 319 272 1 203 83 17 17 289 -1 unnamed_device 26.3 MiB 1.78 1000 15203 5165 7372 2666 64.8 MiB 0.12 0.00 2.9155 -100.463 -2.9155 2.9155 0.92 0.000486505 0.000443383 0.0410309 0.0372276 34 2702 23 6.89349e+06 281877 618332. 2139.56 1.87 0.148838 0.129774 25762 151098 -1 2189 24 1668 2295 177165 39693 3.10515 3.10515 -119.924 -3.10515 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0249192 0.021872 131 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 7.85 vpr 64.86 MiB -1 -1 0.14 20456 1 0.03 -1 -1 34012 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 30 32 329 273 1 213 88 17 17 289 -1 unnamed_device 26.3 MiB 2.39 1176 12373 3727 7538 1108 64.9 MiB 0.10 0.00 3.0363 -92.5923 -3.0363 3.0363 0.97 0.000473946 0.000432056 0.0316512 0.0288082 34 2745 45 6.89349e+06 366440 618332. 2139.56 2.25 0.170859 0.149688 25762 151098 -1 2291 20 1389 2033 159759 35231 2.97821 2.97821 -109.878 -2.97821 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0219403 0.0194123 142 52 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 6.52 vpr 64.48 MiB -1 -1 0.16 20412 1 0.03 -1 -1 33780 -1 -1 23 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 28 32 277 229 1 171 83 17 17 289 -1 unnamed_device 26.1 MiB 1.66 887 13583 5697 6722 1164 64.5 MiB 0.09 0.00 3.50369 -90.3791 -3.50369 3.50369 0.94 0.000416476 0.000377862 0.0333537 0.0303302 36 1956 18 6.89349e+06 324158 648988. 2245.63 1.72 0.122605 0.106885 26050 158493 -1 1526 20 1059 1719 96726 23337 3.70146 3.70146 -101.634 -3.70146 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.018048 0.0159176 119 20 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 10.13 vpr 64.83 MiB -1 -1 0.15 20352 1 0.03 -1 -1 33820 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 30 32 317 269 1 202 83 17 17 289 -1 unnamed_device 26.3 MiB 2.79 1085 14663 4703 7768 2192 64.8 MiB 0.12 0.00 3.54502 -110.802 -3.54502 3.54502 0.97 0.000456049 0.000415576 0.0389052 0.0354138 36 2551 21 6.89349e+06 295971 648988. 2245.63 4.03 0.191689 0.167054 26050 158493 -1 2203 20 1682 2370 195612 40702 3.68864 3.68864 -133.051 -3.68864 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0214228 0.0189379 130 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 8.34 vpr 64.71 MiB -1 -1 0.16 20844 1 0.03 -1 -1 33756 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 32 32 335 282 1 222 84 17 17 289 -1 unnamed_device 26.1 MiB 2.44 1246 9783 2582 5736 1465 64.7 MiB 0.09 0.00 3.03554 -108.938 -3.03554 3.03554 0.99 0.000466707 0.000423903 0.0274906 0.0250641 34 3052 41 6.89349e+06 281877 618332. 2139.56 2.57 0.137574 0.120504 25762 151098 -1 2577 21 1912 2633 236169 50591 3.09105 3.09105 -128.22 -3.09105 0 0 787024. 2723.27 0.31 0.08 0.14 -1 -1 0.31 0.0219815 0.0194632 138 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 5.06 vpr 64.66 MiB -1 -1 0.15 20204 1 0.03 -1 -1 33732 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66216 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 26.2 MiB 0.76 1068 17134 4658 10392 2084 64.7 MiB 0.14 0.00 3.69462 -109.783 -3.69462 3.69462 0.91 0.000459736 0.000417642 0.039119 0.0354728 32 2745 25 6.89349e+06 436909 586450. 2029.24 1.11 0.101611 0.0893276 25474 144626 -1 2182 20 1365 2592 193748 43413 3.7948 3.7948 -125.086 -3.7948 0 0 744469. 2576.02 0.29 0.07 0.14 -1 -1 0.29 0.0196465 0.0172299 129 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 7.57 vpr 64.71 MiB -1 -1 0.15 20748 1 0.03 -1 -1 34020 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 26.2 MiB 2.24 1013 14487 4470 7256 2761 64.7 MiB 0.12 0.00 3.78342 -121.532 -3.78342 3.78342 0.91 0.000502758 0.000459433 0.0398568 0.0364458 34 3268 26 6.89349e+06 324158 618332. 2139.56 2.20 0.170384 0.150119 25762 151098 -1 2437 21 1852 2810 241205 53301 4.10436 4.10436 -147.681 -4.10436 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0239865 0.0211035 148 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 8.70 vpr 65.12 MiB -1 -1 0.15 20524 1 0.03 -1 -1 33912 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 385 308 1 244 91 17 17 289 -1 unnamed_device 26.7 MiB 2.12 1402 15391 5331 8291 1769 65.1 MiB 0.15 0.00 4.36021 -136.876 -4.36021 4.36021 0.92 0.000527155 0.00047874 0.0432255 0.0395019 34 3562 48 6.89349e+06 380534 618332. 2139.56 3.29 0.204674 0.180691 25762 151098 -1 2809 23 2144 2987 379566 121941 4.44565 4.44565 -159.116 -4.44565 0 0 787024. 2723.27 0.31 0.12 0.13 -1 -1 0.31 0.0282927 0.02511 164 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 9.05 vpr 64.98 MiB -1 -1 0.16 20520 1 0.03 -1 -1 33612 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 387 309 1 248 90 17 17 289 -1 unnamed_device 26.5 MiB 2.28 1383 12753 3253 7703 1797 65.0 MiB 0.13 0.00 3.66297 -123.421 -3.66297 3.66297 0.96 0.000556675 0.000507239 0.0372182 0.0338495 36 3133 31 6.89349e+06 366440 648988. 2245.63 3.45 0.194884 0.171714 26050 158493 -1 2800 17 1645 2409 188156 40122 3.6625 3.6625 -139.69 -3.6625 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0238237 0.0212197 164 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 6.94 vpr 64.15 MiB -1 -1 0.16 20272 1 0.03 -1 -1 33736 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 30 32 272 232 1 176 83 17 17 289 -1 unnamed_device 25.8 MiB 2.16 961 15383 5315 7803 2265 64.1 MiB 0.11 0.00 3.29223 -102.912 -3.29223 3.29223 0.93 0.000431998 0.000389963 0.038421 0.0350016 34 2339 24 6.89349e+06 295971 618332. 2139.56 1.62 0.128929 0.11276 25762 151098 -1 1904 22 1371 1972 157146 35008 3.23721 3.23721 -111.729 -3.23721 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0193195 0.0169991 112 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 10.31 vpr 65.07 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33980 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 30 32 375 299 1 236 88 17 17 289 -1 unnamed_device 26.6 MiB 2.98 1248 10813 2534 7525 754 65.1 MiB 0.11 0.00 4.46357 -133.845 -4.46357 4.46357 0.94 0.000540176 0.000490626 0.032281 0.0293236 38 2685 22 6.89349e+06 366440 678818. 2348.85 4.02 0.196271 0.169559 26626 170182 -1 2304 23 1673 2398 167871 36823 4.38215 4.38215 -151.569 -4.38215 0 0 902133. 3121.57 0.36 0.07 0.15 -1 -1 0.36 0.0262533 0.0231725 162 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 7.09 vpr 64.98 MiB -1 -1 0.15 20476 1 0.03 -1 -1 33984 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 26.4 MiB 1.44 987 8535 1959 5883 693 65.0 MiB 0.08 0.00 4.12775 -120.614 -4.12775 4.12775 0.91 0.000481612 0.000438345 0.0237416 0.0215891 34 2883 26 6.89349e+06 324158 618332. 2139.56 2.55 0.126858 0.111781 25762 151098 -1 2274 21 1501 2563 237348 52678 3.90816 3.90816 -133.869 -3.90816 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0233921 0.0206391 139 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 7.09 vpr 64.96 MiB -1 -1 0.17 20540 1 0.03 -1 -1 33792 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 31 32 340 275 1 211 86 17 17 289 -1 unnamed_device 26.4 MiB 2.16 1112 7457 1593 5369 495 65.0 MiB 0.08 0.00 3.97284 -116.355 -3.97284 3.97284 0.93 0.000515161 0.000468958 0.0220662 0.0201341 34 2891 31 6.89349e+06 324158 618332. 2139.56 1.74 0.139933 0.121576 25762 151098 -1 2296 23 1705 2538 168455 40249 4.55469 4.55469 -147.076 -4.55469 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0243133 0.0213743 142 43 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 8.01 vpr 64.91 MiB -1 -1 0.17 20612 1 0.03 -1 -1 33888 -1 -1 27 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 30 32 377 310 1 241 89 17 17 289 -1 unnamed_device 26.6 MiB 2.35 1409 14741 4394 7895 2452 64.9 MiB 0.13 0.00 3.74362 -115.714 -3.74362 3.74362 0.96 0.000521988 0.000474656 0.0409219 0.0372023 34 3333 50 6.89349e+06 380534 618332. 2139.56 2.33 0.178328 0.156775 25762 151098 -1 2713 18 1798 2634 241905 59575 3.91785 3.91785 -139.433 -3.91785 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0221577 0.0196016 162 78 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 9.63 vpr 64.90 MiB -1 -1 0.17 20580 1 0.03 -1 -1 33448 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 365 294 1 230 87 17 17 289 -1 unnamed_device 26.6 MiB 3.39 1168 12567 3277 8211 1079 64.9 MiB 0.12 0.00 4.53067 -134.342 -4.53067 4.53067 0.98 0.000530955 0.000483246 0.0366762 0.033341 36 2953 42 6.89349e+06 324158 648988. 2245.63 2.87 0.190015 0.167186 26050 158493 -1 2548 21 1927 2846 206600 48193 4.40635 4.40635 -152.47 -4.40635 0 0 828058. 2865.25 0.34 0.08 0.14 -1 -1 0.34 0.0252298 0.0223355 155 54 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 9.10 vpr 65.10 MiB -1 -1 0.16 20620 1 0.03 -1 -1 33912 -1 -1 30 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 29 32 378 310 1 247 91 17 17 289 -1 unnamed_device 26.7 MiB 1.94 1324 14575 3657 8994 1924 65.1 MiB 0.13 0.00 3.68595 -113.583 -3.68595 3.68595 0.95 0.000532534 0.000477847 0.039986 0.0361876 36 2925 24 6.89349e+06 422815 648988. 2245.63 3.91 0.194549 0.168693 26050 158493 -1 2574 20 1701 2305 160193 35546 3.6264 3.6264 -127.966 -3.6264 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0234377 0.020649 166 79 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 5.38 vpr 64.37 MiB -1 -1 0.15 20168 1 0.03 -1 -1 33696 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65912 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 25.9 MiB 0.63 856 9181 2604 5846 731 64.4 MiB 0.07 0.00 3.26403 -101.059 -3.26403 3.26403 0.98 0.000383169 0.000348897 0.022671 0.0207186 34 1889 20 6.89349e+06 239595 618332. 2139.56 1.55 0.108808 0.0950698 25762 151098 -1 1697 19 883 1441 108087 24433 2.87996 2.87996 -108.661 -2.87996 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0166559 0.0146552 96 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 9.28 vpr 65.07 MiB -1 -1 0.13 20592 1 0.03 -1 -1 33932 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 373 302 1 241 89 17 17 289 -1 unnamed_device 26.6 MiB 1.90 1340 16127 4634 8947 2546 65.1 MiB 0.14 0.00 4.4438 -137.071 -4.4438 4.4438 0.92 0.000508906 0.000463992 0.0444113 0.0405477 38 2706 21 6.89349e+06 352346 678818. 2348.85 4.15 0.243955 0.213264 26626 170182 -1 2512 21 1668 2399 186102 38958 4.62115 4.62115 -157.766 -4.62115 0 0 902133. 3121.57 0.34 0.07 0.14 -1 -1 0.34 0.0247169 0.0219392 156 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 10.04 vpr 65.07 MiB -1 -1 0.16 20872 1 0.03 -1 -1 34052 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 397 314 1 256 89 17 17 289 -1 unnamed_device 26.6 MiB 3.57 1296 8999 1856 6486 657 65.1 MiB 0.10 0.00 4.41647 -143.96 -4.41647 4.41647 0.97 0.000556847 0.000505563 0.0275307 0.0250836 36 3363 29 6.89349e+06 352346 648988. 2245.63 3.09 0.18693 0.16475 26050 158493 -1 2915 22 2248 3276 277200 59277 4.81268 4.81268 -171.588 -4.81268 0 0 828058. 2865.25 0.33 0.09 0.14 -1 -1 0.33 0.0288398 0.0254638 171 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 7.59 vpr 64.49 MiB -1 -1 0.15 20420 1 0.03 -1 -1 34000 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66036 32 32 269 231 1 172 82 17 17 289 -1 unnamed_device 25.9 MiB 2.92 946 12720 3584 7651 1485 64.5 MiB 0.09 0.00 3.14102 -93.6681 -3.14102 3.14102 0.94 0.000413706 0.00037632 0.0311629 0.028334 34 2088 30 6.89349e+06 253689 618332. 2139.56 1.50 0.122745 0.106668 25762 151098 -1 1826 16 854 1159 84461 20727 2.96031 2.96031 -107.038 -2.96031 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0156205 0.0137792 108 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 4.70 vpr 64.02 MiB -1 -1 0.13 20340 1 0.03 -1 -1 33648 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65552 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 25.5 MiB 0.68 814 8723 2270 5729 724 64.0 MiB 0.07 0.00 3.20583 -100.243 -3.20583 3.20583 0.92 0.000361853 0.00032985 0.0202288 0.0184575 32 2107 23 6.89349e+06 281877 586450. 2029.24 0.96 0.0692526 0.0606462 25474 144626 -1 1818 20 1176 1921 152303 34818 3.04631 3.04631 -115.125 -3.04631 0 0 744469. 2576.02 0.29 0.05 0.13 -1 -1 0.29 0.0168682 0.0148134 99 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 7.44 vpr 64.79 MiB -1 -1 0.15 20628 1 0.03 -1 -1 33788 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66348 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 26.2 MiB 2.24 1127 10647 2738 6893 1016 64.8 MiB 0.10 0.00 3.58702 -118.784 -3.58702 3.58702 0.94 0.00047364 0.000431581 0.0290139 0.026452 34 2942 22 6.89349e+06 324158 618332. 2139.56 1.96 0.154355 0.135272 25762 151098 -1 2394 19 1778 2537 195157 43447 3.73055 3.73055 -137.709 -3.73055 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.023494 0.0208426 145 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 7.05 vpr 64.85 MiB -1 -1 0.16 20500 1 0.03 -1 -1 33884 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 356 289 1 224 87 17 17 289 -1 unnamed_device 26.3 MiB 2.05 1223 13527 3789 7324 2414 64.9 MiB 0.11 0.00 3.87394 -119.268 -3.87394 3.87394 0.92 0.000506359 0.000464809 0.0368284 0.0335894 34 3200 23 6.89349e+06 324158 618332. 2139.56 1.84 0.159856 0.140547 25762 151098 -1 2573 23 1655 2422 199408 44640 4.10759 4.10759 -140.261 -4.10759 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0260298 0.0230274 149 53 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 5.65 vpr 64.86 MiB -1 -1 0.15 20680 1 0.03 -1 -1 33856 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 26.2 MiB 0.77 1215 19356 6311 10204 2841 64.9 MiB 0.17 0.00 4.04336 -119.003 -4.04336 4.04336 0.95 0.000528387 0.000473749 0.0456573 0.041421 28 3296 31 6.89349e+06 507378 531479. 1839.03 1.63 0.140806 0.125189 24610 126494 -1 2646 23 1967 3523 284786 61075 4.27994 4.27994 -147.011 -4.27994 0 0 648988. 2245.63 0.26 0.10 0.11 -1 -1 0.26 0.0281187 0.0247291 157 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 6.92 vpr 64.40 MiB -1 -1 0.16 20392 1 0.03 -1 -1 33884 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65944 30 32 316 264 1 208 87 17 17 289 -1 unnamed_device 25.9 MiB 1.72 1094 16407 5366 8502 2539 64.4 MiB 0.13 0.00 2.95499 -90.7028 -2.95499 2.95499 0.95 0.000455124 0.000415117 0.0402022 0.0365645 34 2470 23 6.89349e+06 352346 618332. 2139.56 1.61 0.137664 0.120226 25762 151098 -1 2048 21 1575 2340 148123 35732 3.00456 3.00456 -104.686 -3.00456 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.0218414 0.0192671 136 47 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 7.89 vpr 64.57 MiB -1 -1 0.16 20324 1 0.03 -1 -1 34236 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 27 32 255 219 1 162 79 17 17 289 -1 unnamed_device 26.0 MiB 1.62 801 13261 5556 6838 867 64.6 MiB 0.09 0.00 3.41829 -92.4304 -3.41829 3.41829 0.98 0.000401327 0.000365789 0.0319765 0.0291096 30 2135 25 6.89349e+06 281877 556674. 1926.21 3.07 0.153115 0.134533 25186 138497 -1 1582 23 942 1404 107247 24248 3.1524 3.1524 -105.593 -3.1524 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0187613 0.0163967 106 26 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 11.32 vpr 65.16 MiB -1 -1 0.17 20660 1 0.03 -1 -1 33764 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 32 32 421 327 1 271 91 17 17 289 -1 unnamed_device 26.7 MiB 3.34 1526 18247 5360 10462 2425 65.2 MiB 0.17 0.00 3.70801 -120.691 -3.70801 3.70801 0.94 0.000564683 0.000508778 0.0539288 0.0491061 36 3772 47 6.89349e+06 380534 648988. 2245.63 4.60 0.275007 0.240832 26050 158493 -1 3131 21 2006 3106 233002 50570 4.16595 4.16595 -145.67 -4.16595 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0270213 0.0238792 185 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 7.72 vpr 65.07 MiB -1 -1 0.16 20564 1 0.03 -1 -1 33980 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66632 31 32 365 296 1 233 87 17 17 289 -1 unnamed_device 26.7 MiB 2.23 1045 17175 5962 8664 2549 65.1 MiB 0.15 0.00 4.58387 -130.323 -4.58387 4.58387 0.94 0.000506729 0.000463241 0.0481233 0.043928 34 3313 29 6.89349e+06 338252 618332. 2139.56 2.20 0.191272 0.169086 25762 151098 -1 2383 21 1937 2815 197030 46528 4.52998 4.52998 -145.998 -4.52998 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0251521 0.0222683 155 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 7.27 vpr 64.51 MiB -1 -1 0.15 20744 1 0.03 -1 -1 33756 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 32 32 331 280 1 221 85 17 17 289 -1 unnamed_device 26.0 MiB 2.24 1152 11617 3158 7466 993 64.5 MiB 0.10 0.00 3.42429 -116.689 -3.42429 3.42429 0.94 0.000480645 0.000439489 0.0323762 0.0295721 34 2916 21 6.89349e+06 295971 618332. 2139.56 1.79 0.146048 0.128214 25762 151098 -1 2448 23 1773 2307 192931 42238 3.7426 3.7426 -141.52 -3.7426 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0231235 0.0202441 137 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 8.16 vpr 64.89 MiB -1 -1 0.15 20596 1 0.03 -1 -1 34076 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 32 32 326 263 1 203 85 17 17 289 -1 unnamed_device 26.4 MiB 2.34 1083 13291 3890 6982 2419 64.9 MiB 0.12 0.00 4.09751 -117.709 -4.09751 4.09751 0.98 0.000541305 0.000496219 0.0376711 0.0344457 34 2925 49 6.89349e+06 295971 618332. 2139.56 2.51 0.189874 0.167879 25762 151098 -1 2273 19 1326 1968 162803 36288 3.9857 3.9857 -137.085 -3.9857 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0221378 0.0196706 135 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 7.41 vpr 64.60 MiB -1 -1 0.17 20872 1 0.03 -1 -1 33852 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 31 32 373 294 1 231 89 17 17 289 -1 unnamed_device 26.2 MiB 2.14 1187 14939 4277 8596 2066 64.6 MiB 0.14 0.00 3.52995 -104.612 -3.52995 3.52995 0.94 0.000532332 0.000484604 0.0430428 0.0391437 34 3256 35 6.89349e+06 366440 618332. 2139.56 1.99 0.162936 0.143646 25762 151098 -1 2552 19 1981 3029 218597 49196 3.8087 3.8087 -128.894 -3.8087 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0232977 0.0205036 163 46 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 7.32 vpr 64.93 MiB -1 -1 0.17 20816 1 0.03 -1 -1 33580 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 30 32 325 268 1 210 86 17 17 289 -1 unnamed_device 26.3 MiB 2.13 1234 14450 4387 8487 1576 64.9 MiB 0.13 0.00 3.48179 -99.3124 -3.48179 3.48179 0.96 0.000478947 0.000438105 0.0386764 0.0353461 34 2912 34 6.89349e+06 338252 618332. 2139.56 1.91 0.163933 0.143898 25762 151098 -1 2360 15 1255 2027 135242 31031 3.6096 3.6096 -117.325 -3.6096 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.01816 0.0161855 140 46 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 9.13 vpr 64.91 MiB -1 -1 0.17 20572 1 0.03 -1 -1 33932 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 350 275 1 215 86 17 17 289 -1 unnamed_device 26.3 MiB 2.85 1282 16151 5835 7649 2667 64.9 MiB 0.14 0.00 3.88598 -128.15 -3.88598 3.88598 0.97 0.000509025 0.000462065 0.0458124 0.0417864 36 3129 31 6.89349e+06 310065 648988. 2245.63 2.93 0.190137 0.16826 26050 158493 -1 2599 19 1639 2566 221216 47236 4.13269 4.13269 -148.311 -4.13269 0 0 828058. 2865.25 0.32 0.08 0.14 -1 -1 0.32 0.0235636 0.020927 148 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 9.83 vpr 64.96 MiB -1 -1 0.17 20556 1 0.03 -1 -1 33836 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 386 307 1 246 90 17 17 289 -1 unnamed_device 26.5 MiB 2.97 1373 15969 4551 9068 2350 65.0 MiB 0.15 0.00 3.31614 -114.102 -3.31614 3.31614 0.93 0.000522425 0.000474093 0.0444939 0.0404887 34 3325 32 6.89349e+06 366440 618332. 2139.56 3.54 0.252358 0.220272 25762 151098 -1 2588 20 1772 2527 182006 40918 3.31711 3.31711 -130.405 -3.31711 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0252116 0.0223085 167 59 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 7.52 vpr 64.50 MiB -1 -1 0.15 20104 1 0.03 -1 -1 33944 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 29 32 269 229 1 173 81 17 17 289 -1 unnamed_device 25.9 MiB 1.49 748 11456 3180 6534 1742 64.5 MiB 0.09 0.00 3.40033 -100.227 -3.40033 3.40033 0.96 0.000367852 0.000330665 0.0289684 0.0264332 28 2030 19 6.89349e+06 281877 531479. 1839.03 2.89 0.1472 0.128869 24610 126494 -1 1724 20 1388 1861 128465 30715 3.38931 3.38931 -118.625 -3.38931 0 0 648988. 2245.63 0.27 0.05 0.11 -1 -1 0.27 0.0178104 0.0157646 110 28 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 7.37 vpr 64.45 MiB -1 -1 0.14 20368 1 0.03 -1 -1 34040 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 32 32 310 266 1 200 84 17 17 289 -1 unnamed_device 26.0 MiB 1.38 1092 14541 4346 8272 1923 64.4 MiB 0.12 0.00 3.42429 -108.008 -3.42429 3.42429 0.98 0.000455121 0.000414242 0.0378091 0.0343291 36 2558 29 6.89349e+06 281877 648988. 2245.63 2.65 0.16403 0.144476 26050 158493 -1 2176 22 1646 2293 194311 41180 3.5422 3.5422 -126.588 -3.5422 0 0 828058. 2865.25 0.34 0.07 0.14 -1 -1 0.34 0.0223945 0.0196997 125 55 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 6.70 vpr 64.77 MiB -1 -1 0.16 20180 1 0.03 -1 -1 33860 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 31 32 326 261 1 204 85 17 17 289 -1 unnamed_device 26.3 MiB 1.72 1201 14221 4217 8174 1830 64.8 MiB 0.12 0.00 3.81078 -114.366 -3.81078 3.81078 0.98 0.000480549 0.000437879 0.0390503 0.0355981 34 2702 21 6.89349e+06 310065 618332. 2139.56 1.66 0.147392 0.129089 25762 151098 -1 2290 21 1557 2500 172448 38990 3.49076 3.49076 -126.443 -3.49076 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0232234 0.0205084 137 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 8.04 vpr 64.62 MiB -1 -1 0.15 20100 1 0.03 -1 -1 33736 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 26.1 MiB 3.26 760 9540 2523 5670 1347 64.6 MiB 0.07 0.00 3.36962 -90.8618 -3.36962 3.36962 0.97 0.000394709 0.000358811 0.0238074 0.0217253 34 2076 23 6.89349e+06 267783 618332. 2139.56 1.57 0.0961953 0.0840936 25762 151098 -1 1703 17 992 1339 100198 24324 2.9715 2.9715 -102.07 -2.9715 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0167383 0.0148499 108 25 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 6.89 vpr 64.55 MiB -1 -1 0.15 20260 1 0.03 -1 -1 33836 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 278 238 1 182 82 17 17 289 -1 unnamed_device 26.2 MiB 2.20 936 7736 1970 5270 496 64.5 MiB 0.06 0.00 3.26703 -103.618 -3.26703 3.26703 0.94 0.000456129 0.000415943 0.0205 0.0187102 34 2159 22 6.89349e+06 253689 618332. 2139.56 1.55 0.11487 0.0998526 25762 151098 -1 1899 21 1352 1954 155470 34896 3.04016 3.04016 -116.209 -3.04016 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0189733 0.0166931 114 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 9.37 vpr 65.07 MiB -1 -1 0.17 20380 1 0.03 -1 -1 33988 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 31 32 373 300 1 236 89 17 17 289 -1 unnamed_device 26.7 MiB 1.89 1199 10781 2506 7373 902 65.1 MiB 0.10 0.00 3.60497 -116.633 -3.60497 3.60497 0.97 0.000558284 0.000504586 0.0308531 0.0281257 36 2939 25 6.89349e+06 366440 648988. 2245.63 4.17 0.222861 0.193657 26050 158493 -1 2505 22 2219 3020 244204 53571 3.64625 3.64625 -136.582 -3.64625 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0255533 0.0225245 160 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 6.25 vpr 64.58 MiB -1 -1 0.15 20476 1 0.03 -1 -1 33556 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 31 32 265 230 1 175 80 17 17 289 -1 unnamed_device 26.0 MiB 2.12 871 10400 2220 7543 637 64.6 MiB 0.08 0.00 2.93195 -93.9974 -2.93195 2.93195 0.94 0.000416817 0.000378178 0.0265377 0.0242012 30 2201 24 6.89349e+06 239595 556674. 1926.21 1.03 0.082695 0.0725098 25186 138497 -1 1894 22 1199 1661 108169 24844 3.03066 3.03066 -110.59 -3.03066 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0195316 0.0171219 108 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 7.13 vpr 64.93 MiB -1 -1 0.16 20728 1 0.03 -1 -1 33908 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 32 32 349 286 1 221 86 17 17 289 -1 unnamed_device 26.4 MiB 2.06 1253 14261 4543 7356 2362 64.9 MiB 0.12 0.00 3.27699 -103.618 -3.27699 3.27699 0.98 0.000503353 0.000458349 0.0399821 0.0364855 34 3082 24 6.89349e+06 310065 618332. 2139.56 1.74 0.163907 0.143884 25762 151098 -1 2486 18 1375 1978 146636 33095 3.6346 3.6346 -126.36 -3.6346 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.022121 0.0196394 146 54 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 7.63 vpr 65.04 MiB -1 -1 0.16 20488 1 0.03 -1 -1 33740 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 31 32 396 325 1 259 89 17 17 289 -1 unnamed_device 26.6 MiB 2.27 1336 15137 4686 8668 1783 65.0 MiB 0.14 0.00 3.92778 -127.361 -3.92778 3.92778 0.94 0.000539367 0.000491665 0.0437692 0.0399116 36 3194 28 6.89349e+06 366440 648988. 2245.63 2.05 0.184301 0.162447 26050 158493 -1 2690 20 2260 3258 227778 51151 4.15979 4.15979 -152.236 -4.15979 0 0 828058. 2865.25 0.32 0.08 0.14 -1 -1 0.32 0.024677 0.0218154 170 87 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 9.93 vpr 64.80 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33248 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 303 262 1 200 82 17 17 289 -1 unnamed_device 26.3 MiB 2.79 1074 8804 2303 5981 520 64.8 MiB 0.08 0.00 3.0513 -97.8122 -3.0513 3.0513 0.99 0.00044469 0.000398254 0.0239302 0.0217823 36 2367 38 6.89349e+06 253689 648988. 2245.63 3.91 0.174747 0.150717 26050 158493 -1 2024 22 1575 2160 150962 33777 2.80696 2.80696 -110.178 -2.80696 0 0 828058. 2865.25 0.34 0.06 0.14 -1 -1 0.34 0.0217944 0.0192035 124 54 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 8.53 vpr 64.65 MiB -1 -1 0.15 20272 1 0.03 -1 -1 33676 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 26.3 MiB 1.31 871 8626 2091 6236 299 64.7 MiB 0.08 0.00 3.24503 -100.934 -3.24503 3.24503 0.98 0.000453379 0.000413781 0.0233702 0.0213386 36 2166 22 6.89349e+06 253689 648988. 2245.63 3.97 0.163194 0.141727 26050 158493 -1 1866 19 1156 1726 126877 30580 3.23286 3.23286 -119.86 -3.23286 0 0 828058. 2865.25 0.32 0.05 0.14 -1 -1 0.32 0.0191706 0.0169522 115 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 6.77 vpr 64.77 MiB -1 -1 0.16 20624 1 0.03 -1 -1 33628 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 318 257 1 198 86 17 17 289 -1 unnamed_device 26.2 MiB 1.96 1058 13694 3846 7638 2210 64.8 MiB 0.11 0.00 3.98738 -115.138 -3.98738 3.98738 0.94 0.00045413 0.000415172 0.0359257 0.0327882 34 2657 23 6.89349e+06 310065 618332. 2139.56 1.61 0.140431 0.12263 25762 151098 -1 2230 20 1386 1991 145983 33516 3.72976 3.72976 -127.704 -3.72976 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0206248 0.0181071 133 27 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 6.93 vpr 64.90 MiB -1 -1 0.15 20692 1 0.03 -1 -1 33736 -1 -1 25 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 29 32 324 268 1 207 86 17 17 289 -1 unnamed_device 26.3 MiB 2.20 1151 13316 3581 7864 1871 64.9 MiB 0.11 0.00 3.15468 -91.5834 -3.15468 3.15468 0.94 0.000478923 0.000439396 0.0355045 0.0324828 34 2501 22 6.89349e+06 352346 618332. 2139.56 1.53 0.139181 0.121696 25762 151098 -1 2189 21 1277 1825 134297 30600 3.19991 3.19991 -108.908 -3.19991 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0225263 0.0199148 138 49 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 8.62 vpr 65.16 MiB -1 -1 0.17 20488 1 0.03 -1 -1 33332 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 32 32 393 312 1 243 88 17 17 289 -1 unnamed_device 26.7 MiB 2.31 1226 12373 3452 8177 744 65.2 MiB 0.12 0.00 4.55604 -146.357 -4.55604 4.55604 0.98 0.000583973 0.000532775 0.0379112 0.0345587 36 3152 44 6.89349e+06 338252 648988. 2245.63 2.92 0.204545 0.179644 26050 158493 -1 2741 22 1936 3026 226289 51486 4.69519 4.69519 -168.908 -4.69519 0 0 828058. 2865.25 0.35 0.09 0.14 -1 -1 0.35 0.0278602 0.0246033 166 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 5.12 vpr 64.06 MiB -1 -1 0.14 20184 1 0.03 -1 -1 33712 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65600 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.6 MiB 0.64 760 11432 3583 5618 2231 64.1 MiB 0.08 0.00 2.85355 -89.4893 -2.85355 2.85355 0.94 0.00038833 0.000353428 0.0264942 0.0241939 30 1827 19 6.89349e+06 239595 556674. 1926.21 0.98 0.0774989 0.0683602 25186 138497 -1 1472 21 679 1045 63861 15096 2.55636 2.55636 -98.7819 -2.55636 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.017647 0.0155761 92 -1 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 7.74 vpr 64.98 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33760 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 32 32 412 334 1 269 91 17 17 289 -1 unnamed_device 26.5 MiB 2.16 1395 11719 3171 7242 1306 65.0 MiB 0.12 0.00 4.61883 -140.281 -4.61883 4.61883 0.93 0.000571314 0.000517333 0.0338417 0.0307112 36 3234 28 6.89349e+06 380534 648988. 2245.63 2.30 0.168204 0.146478 26050 158493 -1 2635 23 1967 2712 209662 45288 5.02024 5.02024 -171.248 -5.02024 0 0 828058. 2865.25 0.33 0.09 0.14 -1 -1 0.33 0.0307617 0.0271689 175 87 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 8.37 vpr 65.11 MiB -1 -1 0.15 20596 1 0.03 -1 -1 33744 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 32 32 376 318 1 259 87 17 17 289 -1 unnamed_device 26.7 MiB 2.56 1317 16023 5065 8713 2245 65.1 MiB 0.14 0.00 3.86068 -135.313 -3.86068 3.86068 0.94 0.000530038 0.000484235 0.0454628 0.0415039 36 3134 23 6.89349e+06 324158 648988. 2245.63 2.48 0.187308 0.165634 26050 158493 -1 2633 22 2407 3016 249715 53596 4.23489 4.23489 -160.086 -4.23489 0 0 828058. 2865.25 0.33 0.09 0.14 -1 -1 0.33 0.027915 0.0247842 160 93 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 7.29 vpr 64.85 MiB -1 -1 0.16 20536 1 0.03 -1 -1 33980 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 360 293 1 227 86 17 17 289 -1 unnamed_device 26.2 MiB 2.23 1278 16907 5618 9461 1828 64.8 MiB 0.15 0.00 3.34918 -108.188 -3.34918 3.34918 0.94 0.000513289 0.000466847 0.046561 0.0423952 34 3145 24 6.89349e+06 310065 618332. 2139.56 1.81 0.17184 0.1508 25762 151098 -1 2486 20 1440 1940 165903 35802 3.41336 3.41336 -126.588 -3.41336 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0241385 0.021367 152 57 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 8.47 vpr 65.16 MiB -1 -1 0.17 20532 1 0.03 -1 -1 34064 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 396 299 1 241 90 17 17 289 -1 unnamed_device 26.7 MiB 2.92 1239 12552 3723 6357 2472 65.2 MiB 0.13 0.00 4.8408 -145.59 -4.8408 4.8408 0.99 0.000543263 0.000492553 0.0378975 0.0345616 34 3426 29 6.89349e+06 366440 618332. 2139.56 2.13 0.18374 0.161361 25762 151098 -1 2706 21 2277 3549 290634 63186 4.72775 4.72775 -158.843 -4.72775 0 0 787024. 2723.27 0.32 0.10 0.14 -1 -1 0.32 0.0283461 0.0250897 172 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 5.54 vpr 64.36 MiB -1 -1 0.14 20608 1 0.03 -1 -1 33436 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 30 32 224 207 1 138 77 17 17 289 -1 unnamed_device 25.9 MiB 0.99 755 10020 3028 5884 1108 64.4 MiB 0.07 0.00 2.51156 -81.974 -2.51156 2.51156 0.95 0.000346649 0.000316076 0.0232262 0.0212137 34 1782 24 6.89349e+06 211408 618332. 2139.56 1.43 0.0827624 0.0721407 25762 151098 -1 1552 18 811 1061 90999 20069 2.36421 2.36421 -94.9409 -2.36421 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0149198 0.0131717 82 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 6.24 vpr 64.73 MiB -1 -1 0.15 20368 1 0.03 -1 -1 33732 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 30 32 286 239 1 176 82 17 17 289 -1 unnamed_device 26.3 MiB 1.36 943 12364 4183 6677 1504 64.7 MiB 0.10 0.00 3.72389 -116.987 -3.72389 3.72389 0.98 0.000441984 0.000402275 0.0318294 0.0290072 34 2196 23 6.89349e+06 281877 618332. 2139.56 1.60 0.13078 0.114201 25762 151098 -1 1914 21 1122 1660 139718 30057 3.5289 3.5289 -129.793 -3.5289 0 0 787024. 2723.27 0.33 0.06 0.14 -1 -1 0.33 0.0208245 0.0183758 119 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 9.63 vpr 64.48 MiB -1 -1 0.15 20348 1 0.03 -1 -1 33760 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 32 32 296 247 1 187 82 17 17 289 -1 unnamed_device 26.1 MiB 2.12 992 12898 4263 6273 2362 64.5 MiB 0.11 0.00 3.40529 -111.019 -3.40529 3.40529 0.95 0.000435931 0.00039642 0.0344582 0.0313074 36 2719 33 6.89349e+06 253689 648988. 2245.63 4.31 0.192433 0.167765 26050 158493 -1 2195 20 1407 2492 190629 41898 3.512 3.512 -131.705 -3.512 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0204006 0.0181103 120 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 5.86 vpr 64.30 MiB -1 -1 0.14 20144 1 0.03 -1 -1 33712 -1 -1 21 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65840 25 32 216 194 1 138 78 17 17 289 -1 unnamed_device 25.8 MiB 1.29 634 11366 4025 4802 2539 64.3 MiB 0.07 0.00 2.8908 -71.862 -2.8908 2.8908 0.93 0.000338268 0.00030769 0.0251105 0.0228529 34 1622 25 6.89349e+06 295971 618332. 2139.56 1.44 0.0986347 0.0853086 25762 151098 -1 1361 21 827 1261 86707 21080 2.97146 2.97146 -82.4196 -2.97146 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0156244 0.0136772 92 19 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 10.46 vpr 64.91 MiB -1 -1 0.16 20420 1 0.03 -1 -1 33940 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 376 307 1 242 87 17 17 289 -1 unnamed_device 26.5 MiB 2.67 1431 16407 5046 9207 2154 64.9 MiB 0.16 0.00 3.47055 -111.327 -3.47055 3.47055 0.95 0.000518285 0.000471877 0.0474259 0.0431758 36 3671 26 6.89349e+06 324158 648988. 2245.63 4.45 0.24247 0.212893 26050 158493 -1 2906 19 1915 2856 224024 47007 3.70535 3.70535 -135.787 -3.70535 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0240607 0.0213422 161 69 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 8.33 vpr 65.00 MiB -1 -1 0.16 20540 1 0.03 -1 -1 34048 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 31 32 409 331 1 264 92 17 17 289 -1 unnamed_device 26.5 MiB 2.59 1295 14168 4435 7120 2613 65.0 MiB 0.13 0.00 3.87458 -125.043 -3.87458 3.87458 1.00 0.000539437 0.000488516 0.0405004 0.0367629 34 3670 23 6.89349e+06 408721 618332. 2139.56 2.30 0.181462 0.159365 25762 151098 -1 2825 26 2420 3378 281049 60817 4.47449 4.47449 -159.389 -4.47449 0 0 787024. 2723.27 0.31 0.11 0.13 -1 -1 0.31 0.0340305 0.0299541 179 86 -1 -1 -1 -1 From 744488ead48af61559e3e18574234f252fd431b8 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 11 Jan 2024 18:41:32 -0500 Subject: [PATCH 138/608] golden_result: nightly_test_1: update power_extended_arch_list --- .../config/golden_results.txt | 62 +++++++++---------- 1 file changed, 31 insertions(+), 31 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt index defcdc98c38..019e6c9cff2 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt @@ -1,31 +1,31 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.09 vpr 55.19 MiB -1 -1 0.18 18560 3 0.06 -1 -1 32744 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56512 99 130 343 473 1 230 298 12 12 144 clb auto 16.3 MiB 0.07 572 55.2 MiB 0.14 0.00 1.63028 -108.738 -1.63028 1.63028 0.22 0.000340502 0.000307112 0.027896 0.0251334 44 1417 14 5.66058e+06 4.21279e+06 360780. 2505.42 1.09 0.189663 0.17397 13094 71552 -1 1231 11 449 718 38782 11946 0 0 38782 11946 718 614 0 0 2355 2101 0 0 2978 2360 0 0 788 667 0 0 15634 3532 0 0 16309 2672 0 0 718 0 0 269 414 445 2996 0 0 1.9084 1.9084 -139.139 -1.9084 -0.416006 -0.147762 470765. 3269.20 0.10 0.02 0.04 -1 -1 0.10 0.0136163 0.0128607 0.01049 0.2555 0.07883 0.6657 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 9.79 vpr 58.29 MiB -1 -1 0.31 22900 15 0.32 -1 -1 33264 -1 -1 38 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59684 162 96 993 934 1 696 301 16 16 256 mult_36 auto 19.9 MiB 0.18 5428 58.3 MiB 0.32 0.00 19.9596 -1648.74 -19.9596 19.9596 0.48 0.00101658 0.000903954 0.0970702 0.0873825 46 13271 37 1.21132e+07 4.02797e+06 727248. 2840.81 4.94 0.46586 0.425714 24972 144857 -1 10025 17 3164 6144 1940934 498468 0 0 1940934 498468 6144 4223 0 0 78011 76422 0 0 81313 78168 0 0 6697 4572 0 0 879964 161352 0 0 888805 173731 0 0 6144 0 0 3018 8452 8155 50099 0 0 22.8999 22.8999 -1888.31 -22.8999 0 0 934704. 3651.19 0.20 0.34 0.09 -1 -1 0.20 0.0666329 0.0627901 0.007475 0.3634 0.01692 0.6197 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 492.93 vpr 388.55 MiB -1 -1 48.62 339644 122 59.03 -1 -1 77844 -1 -1 1377 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 397880 114 102 21867 21777 1 11713 1646 50 50 2500 memory auto 180.9 MiB 15.53 162689 388.6 MiB 19.63 0.14 68.7817 -50162.9 -68.7817 68.7817 23.70 0.0347519 0.0304026 4.6802 3.81808 92 251169 44 1.47946e+08 1.02043e+08 1.52089e+07 6083.58 244.79 18.37 15.2806 338772 3221652 -1 220101 19 45751 172307 32920188 7264749 0 0 32920188 7264749 164441 57994 0 0 591691 548992 0 0 704893 597954 0 0 169930 67144 0 0 15682645 2978165 0 0 15606588 3014500 0 0 164441 0 0 121062 487151 475835 2963694 8158 5092 79.5055 79.5055 -65870.8 -79.5055 -14.9102 -0.295467 1.93279e+07 7731.17 6.90 9.50 2.30 -1 -1 6.90 2.37124 2.05828 0.08136 0.4285 0.01142 0.5601 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 2.51 vpr 55.01 MiB -1 -1 0.18 18632 3 0.06 -1 -1 32612 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56332 99 130 343 473 1 232 298 12 12 144 clb auto 16.7 MiB 0.07 572 55.0 MiB 0.13 0.00 1.62851 -108.359 -1.62851 1.62851 0.22 0.000326051 0.000293181 0.0262507 0.0236426 48 1189 19 5.66058e+06 4.21279e+06 394078. 2736.65 0.52 0.111503 0.102523 13382 75762 -1 1252 11 422 669 38874 12066 0 0 38874 12066 669 523 0 0 1920 1755 0 0 2584 1921 0 0 733 577 0 0 16320 4045 0 0 16648 3245 0 0 669 0 0 247 404 345 2663 0 0 1.97488 1.97488 -136.181 -1.97488 -0.782377 -0.296573 503207. 3494.49 0.10 0.02 0.05 -1 -1 0.10 0.0138063 0.0130659 0.01159 0.2336 0.07188 0.6946 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 9.77 vpr 58.51 MiB -1 -1 0.34 23384 15 0.34 -1 -1 33192 -1 -1 38 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59916 162 96 993 934 1 696 301 16 16 256 mult_36 auto 20.3 MiB 0.25 5428 58.5 MiB 0.31 0.00 19.9596 -1648.74 -19.9596 19.9596 0.48 0.000947709 0.00084599 0.0928615 0.0832684 46 12909 39 1.21132e+07 4.02797e+06 727248. 2840.81 4.89 0.456105 0.417072 24972 144857 -1 9960 16 3161 6072 1841496 470541 0 0 1841496 470541 6072 4110 0 0 73535 71998 0 0 76748 73650 0 0 6596 4486 0 0 836410 152472 0 0 842135 163825 0 0 6072 0 0 2947 8520 8356 52651 0 0 22.7389 22.7389 -1891.59 -22.7389 0 0 934704. 3651.19 0.20 0.31 0.08 -1 -1 0.20 0.0642102 0.0606279 0.007787 0.3485 0.01635 0.6352 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 448.83 vpr 388.89 MiB -1 -1 45.04 339716 122 60.33 -1 -1 78016 -1 -1 1266 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 398224 114 102 21867 21777 1 11313 1535 50 50 2500 memory auto 180.3 MiB 31.53 151112 388.9 MiB 17.49 0.13 67.357 -48177.1 -67.357 67.357 21.24 0.0348124 0.0304923 4.46047 3.64836 98 226104 23 1.47946e+08 9.60601e+07 1.60641e+07 6425.63 193.53 15.3956 12.7951 348768 3430976 -1 203055 19 41666 159584 42519209 11149043 0 0 42519209 11149043 153478 52594 0 0 531133 494387 0 0 635471 535883 0 0 159184 61491 0 0 20329034 4924891 0 0 20710909 5079797 0 0 153478 0 0 114200 430530 431244 2752936 6538 3635 77.9533 77.9533 -64058.9 -77.9533 -34.0227 -0.296573 2.03677e+07 8147.07 7.41 14.23 2.51 -1 -1 7.41 2.43096 2.10953 0.08408 0.4242 0.01152 0.5643 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.11 vpr 55.38 MiB -1 -1 0.16 18640 3 0.05 -1 -1 32624 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56708 99 130 343 473 1 228 298 12 12 144 clb auto 16.5 MiB 0.09 546 55.4 MiB 0.14 0.00 1.50426 -109.539 -1.50426 1.50426 0.23 0.000321786 0.000290019 0.026723 0.0240597 48 1087 13 5.66058e+06 4.21279e+06 411630. 2858.54 1.08 0.153456 0.140768 13872 80872 -1 1145 11 389 590 36976 11771 0 0 36976 11771 590 439 0 0 2012 1783 0 0 2405 2015 0 0 615 464 0 0 16619 3596 0 0 14735 3474 0 0 590 0 0 201 347 249 2251 0 0 1.93939 1.93939 -137.398 -1.93939 -0.720336 -0.298787 526257. 3654.56 0.11 0.02 0.05 -1 -1 0.11 0.0135719 0.0128201 0.01039 0.2475 0.08197 0.6705 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 10.47 vpr 58.50 MiB -1 -1 0.26 23316 15 0.34 -1 -1 33248 -1 -1 36 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59904 162 96 993 934 1 691 299 16 16 256 mult_36 auto 20.2 MiB 0.40 5242 58.5 MiB 0.31 0.00 19.856 -1634.5 -19.856 19.856 0.50 0.000933671 0.000830507 0.094687 0.0851361 52 11187 29 1.21132e+07 3.92018e+06 843768. 3295.97 5.44 0.563902 0.516092 26972 173637 -1 9405 18 3120 6376 1891735 547258 0 0 1891735 547258 6376 3957 0 0 91624 88938 0 0 95572 91775 0 0 6712 4262 0 0 852492 179740 0 0 838959 178586 0 0 6376 0 0 3288 9625 9038 50683 0 0 22.1237 22.1237 -1801.73 -22.1237 0 0 1.11026e+06 4336.95 0.24 0.33 0.10 -1 -1 0.24 0.0681991 0.0642887 0.00808 0.3627 0.01728 0.62 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 694.85 vpr 419.09 MiB -1 -1 45.28 339596 122 60.77 -1 -1 77984 -1 -1 1285 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 429152 114 102 21867 21777 1 11916 1554 50 50 2500 memory auto 179.7 MiB 229.70 162772 419.1 MiB 20.07 0.16 67.5941 -49002.7 -67.5941 67.5941 23.17 0.0400612 0.0315621 4.89658 3.94384 98 243767 43 1.47946e+08 9.70841e+07 1.67994e+07 6719.74 239.26 17.8073 14.8023 360864 3674624 -1 215233 18 40684 156406 38892741 10213543 0 0 38892741 10213543 149595 52193 0 0 576074 522161 0 0 685418 581380 0 0 154879 61991 0 0 18534406 4442126 0 0 18792369 4553692 0 0 149595 0 0 110910 420664 410078 2621052 7165 6836 78.8522 78.8522 -62098.7 -78.8522 -30.1856 -0.29436 2.12220e+07 8488.81 7.01 12.37 2.60 -1 -1 7.01 2.31393 2.0319 0.08751 0.4235 0.0115 0.565 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.27 vpr 55.15 MiB -1 -1 0.18 18684 3 0.07 -1 -1 32640 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56472 99 130 343 473 1 225 298 12 12 144 clb auto 16.5 MiB 0.09 548 55.1 MiB 0.14 0.00 1.47901 -107.394 -1.47901 1.47901 0.23 0.000318477 0.000284588 0.0261925 0.0235342 46 1178 11 5.66058e+06 4.21279e+06 396063. 2750.44 1.23 0.135026 0.123819 13728 78551 -1 1163 9 386 580 26592 8561 0 0 26592 8561 580 512 0 0 1629 1404 0 0 2114 1629 0 0 672 592 0 0 11675 2276 0 0 9922 2148 0 0 580 0 0 194 276 223 1985 0 0 1.91033 1.91033 -140.454 -1.91033 -1.37858 -0.320482 508433. 3530.78 0.10 0.02 0.05 -1 -1 0.10 0.0119744 0.0113546 0.01178 0.2146 0.07228 0.7131 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 9.51 vpr 58.63 MiB -1 -1 0.27 23484 15 0.30 -1 -1 33304 -1 -1 38 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 60036 162 96 993 934 1 694 301 16 16 256 mult_36 auto 20.4 MiB 0.45 5341 58.6 MiB 0.34 0.00 20.0691 -1671.27 -20.0691 20.0691 0.49 0.00094399 0.000839503 0.0980284 0.0877106 50 11589 31 1.21132e+07 4.02797e+06 817349. 3192.77 4.45 0.469532 0.430508 26464 163948 -1 9622 20 3346 7062 1853074 482972 0 0 1853074 482972 7062 4238 0 0 88258 85884 0 0 92514 88441 0 0 7628 4697 0 0 815648 153741 0 0 841964 145971 0 0 7062 0 0 3754 10960 10910 61346 0 0 22.7782 22.7782 -1875.43 -22.7782 0 0 1.05038e+06 4103.04 0.23 0.33 0.09 -1 -1 0.23 0.0728706 0.0684196 0.008122 0.3432 0.01562 0.6412 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 723.43 vpr 418.66 MiB -1 -1 42.40 340804 122 62.31 -1 -1 78068 -1 -1 1189 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 428712 114 102 21867 21777 1 11141 1458 50 50 2500 memory auto 179.0 MiB 232.37 153992 418.7 MiB 15.95 0.13 66.8869 -49530.9 -66.8869 66.8869 22.64 0.0343307 0.0300405 4.2818 3.52376 94 233968 44 1.47946e+08 9.19101e+07 1.62379e+07 6495.14 270.48 18.6021 15.4716 353364 3504872 -1 208614 22 39746 158437 44829525 11060816 0 0 44829525 11060816 151638 52260 0 0 630115 562975 0 0 759437 638546 0 0 157207 61696 0 0 21550951 4878435 0 0 21580177 4866904 0 0 151638 0 0 114016 440723 449870 2754101 7183 5301 79.2314 79.2314 -65292.9 -79.2314 -11.1139 -0.292146 2.03897e+07 8155.87 6.69 13.79 2.45 -1 -1 6.69 2.68373 2.34649 0.08739 0.406 0.01147 0.5826 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.11 vpr 55.39 MiB -1 -1 0.18 18532 3 0.05 -1 -1 32672 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56716 99 130 343 473 1 229 298 12 12 144 clb auto 16.8 MiB 0.09 535 55.4 MiB 0.14 0.00 1.50322 -110.367 -1.50322 1.50322 0.24 0.00031694 0.000285466 0.0278571 0.0250213 38 1372 21 5.66058e+06 4.21279e+06 347689. 2414.51 1.04 0.168992 0.154412 13432 70334 -1 1054 9 411 616 27691 9531 0 0 27691 9531 616 478 0 0 1880 1578 0 0 2227 1881 0 0 653 516 0 0 11438 2666 0 0 10877 2412 0 0 616 0 0 205 385 234 2336 0 0 2.05211 2.05211 -139.952 -2.05211 -1.13196 -0.29768 440062. 3055.98 0.10 0.02 0.04 -1 -1 0.10 0.0121001 0.0114727 0.009477 0.2238 0.07661 0.6996 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 8.66 vpr 58.82 MiB -1 -1 0.27 23292 15 0.30 -1 -1 33264 -1 -1 35 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 60232 162 96 993 934 1 704 298 16 16 256 mult_36 auto 20.7 MiB 0.50 5446 58.8 MiB 0.33 0.00 20.1297 -1647.97 -20.1297 20.1297 0.51 0.000904406 0.000802593 0.098466 0.0881199 46 12661 43 1.21132e+07 3.86629e+06 791147. 3090.42 3.47 0.409852 0.374882 26792 163197 -1 10223 21 3388 6763 1975781 487013 0 0 1975781 487013 6763 4295 0 0 80671 77935 0 0 85132 80849 0 0 7391 4858 0 0 915703 157684 0 0 880121 161392 0 0 6763 0 0 3414 10175 9976 55514 0 0 22.641 22.641 -1874.1 -22.641 0 0 1.01637e+06 3970.19 0.22 0.35 0.09 -1 -1 0.22 0.0748807 0.0704858 0.007983 0.3559 0.0162 0.6279 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 755.16 vpr 445.16 MiB -1 -1 42.59 339680 122 62.80 -1 -1 77808 -1 -1 1284 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 455844 114 102 21867 21777 1 11781 1553 50 50 2500 memory auto 178.9 MiB 287.95 163806 445.2 MiB 20.36 0.16 67.825 -49300.5 -67.825 67.825 26.55 0.040145 0.0316068 4.92974 3.94112 98 236687 21 1.47946e+08 9.70302e+07 1.74237e+07 6969.48 238.11 19.1585 15.9116 371232 3885440 -1 212661 20 37783 148892 39345972 9537156 0 0 39345972 9537156 141735 48142 0 0 580119 511992 0 0 691652 585858 0 0 145960 56603 0 0 18902814 4114484 0 0 18883692 4220077 0 0 141735 0 0 105806 409785 407162 2545339 7369 5036 79.5943 79.5943 -63624.9 -79.5943 -23.4799 -0.295467 2.19566e+07 8782.65 6.97 10.57 2.63 -1 -1 6.97 2.34806 2.07952 0.09067 0.4158 0.01165 0.5726 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.21 vpr 55.42 MiB -1 -1 0.19 18576 3 0.05 -1 -1 32724 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56748 99 130 343 473 1 229 298 12 12 144 clb auto 17.1 MiB 0.09 535 55.4 MiB 0.14 0.00 1.50322 -110.367 -1.50322 1.50322 0.24 0.000320205 0.000288127 0.0280234 0.0251782 36 1377 22 5.66058e+06 4.21279e+06 333113. 2313.29 1.14 0.149766 0.137029 13148 65652 -1 1061 11 403 624 25883 8887 0 0 25883 8887 624 480 0 0 1903 1638 0 0 2218 1903 0 0 651 521 0 0 9972 2371 0 0 10515 1974 0 0 624 0 0 221 401 288 2456 0 0 1.90592 1.90592 -135.525 -1.90592 -1.08733 -0.318417 410918. 2853.60 0.09 0.02 0.04 -1 -1 0.09 0.0136201 0.0129052 0.01132 0.1889 0.06759 0.7435 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 10.39 vpr 58.74 MiB -1 -1 0.25 23464 15 0.35 -1 -1 33608 -1 -1 35 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 60152 162 96 993 934 1 705 298 16 16 256 mult_36 auto 20.6 MiB 0.56 5344 58.7 MiB 0.32 0.00 19.8283 -1655.73 -19.8283 19.8283 0.50 0.000924698 0.000822885 0.0965477 0.0866682 48 12369 34 1.21132e+07 3.86629e+06 822491. 3212.85 5.15 0.538632 0.492044 27048 168158 -1 9968 15 3038 6000 1608560 403033 0 0 1608560 403033 6000 3812 0 0 76557 74069 0 0 79789 76702 0 0 6504 4207 0 0 722305 123879 0 0 717405 120364 0 0 6000 0 0 2995 8403 8806 49428 0 0 22.6591 22.6591 -1905.78 -22.6591 0 0 1.05295e+06 4113.10 0.24 0.28 0.10 -1 -1 0.24 0.0621008 0.0588223 0.008265 0.3422 0.01588 0.6419 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 727.49 vpr 450.72 MiB -1 -1 43.14 339736 122 64.52 -1 -1 77952 -1 -1 1172 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 461536 114 102 21867 21777 1 10748 1441 50 50 2500 memory auto 177.9 MiB 280.75 147420 450.7 MiB 17.99 0.13 67.9174 -47347.6 -67.9174 67.9174 25.92 0.0366419 0.0321162 4.71915 3.87923 92 216433 29 1.47946e+08 9.09939e+07 1.65231e+07 6609.23 220.29 22.2587 18.4814 361236 3648468 -1 195513 18 35439 146001 35950888 9052086 0 0 35950888 9052086 140069 46503 0 0 566522 494870 0 0 674657 572353 0 0 145658 53960 0 0 17221423 3923915 0 0 17202559 3960485 0 0 140069 0 0 106595 397100 389319 2525910 6363 3754 79.1326 79.1326 -64342.9 -79.1326 -15.7329 -0.292146 2.08892e+07 8355.67 6.98 11.01 2.44 -1 -1 6.98 2.29299 2.01736 0.08968 0.3926 0.01168 0.5957 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.16 vpr 55.35 MiB -1 -1 0.18 18684 3 0.05 -1 -1 32680 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56680 99 130 343 473 1 228 298 12 12 144 clb auto 16.5 MiB 0.09 541 55.4 MiB 0.13 0.00 1.47901 -109.079 -1.47901 1.47901 0.22 0.000318916 0.000287721 0.0263451 0.0236836 46 1148 15 5.66058e+06 4.21279e+06 378970. 2631.74 1.18 0.117991 0.108252 13238 73581 -1 1102 12 438 677 28265 9438 0 0 28265 9438 677 544 0 0 1829 1645 0 0 2482 1829 0 0 749 619 0 0 11616 2635 0 0 10912 2166 0 0 677 0 0 239 435 295 2676 0 0 1.91033 1.91033 -132.48 -1.91033 -0.352058 -0.105593 486261. 3376.82 0.10 0.02 0.04 -1 -1 0.10 0.0139157 0.0131353 0.01037 0.2404 0.08179 0.6778 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 8.02 vpr 58.40 MiB -1 -1 0.28 23240 15 0.33 -1 -1 33532 -1 -1 37 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59804 162 96 993 934 1 692 300 16 16 256 mult_36 auto 20.3 MiB 0.38 5234 58.4 MiB 0.31 0.00 20.0433 -1672.62 -20.0433 20.0433 0.48 0.000898425 0.000795538 0.0925576 0.0828233 52 12076 42 1.21132e+07 3.97408e+06 805949. 3148.24 3.04 0.425198 0.388619 25992 162577 -1 9495 16 3017 6031 1792092 491608 0 0 1792092 491608 6031 3866 0 0 85428 83427 0 0 89114 85629 0 0 6674 4326 0 0 826313 159469 0 0 778532 154891 0 0 6031 0 0 3046 9559 8892 50798 0 0 23.1002 23.1002 -1917.27 -23.1002 0 0 1.06067e+06 4143.25 0.23 0.31 0.11 -1 -1 0.23 0.0633234 0.059839 0.007699 0.3679 0.0173 0.6148 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 604.40 vpr 424.55 MiB -1 -1 42.59 339484 122 64.91 -1 -1 77952 -1 -1 1319 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 434740 114 102 21867 21777 1 11967 1588 50 50 2500 memory auto 178.9 MiB 165.28 169221 387.3 MiB 17.72 0.14 68.1675 -50495 -68.1675 68.1675 20.79 0.0358291 0.0315066 4.27622 3.51733 102 255497 34 1.47946e+08 9.89166e+07 1.66061e+07 6642.43 218.29 23.6846 19.579 353764 3530188 -1 229055 21 45771 171217 36461485 8182823 0 0 36461485 8182823 163796 59620 0 0 586225 546511 0 0 706124 592797 0 0 169893 69552 0 0 17565096 3464520 0 0 17270351 3449823 0 0 163796 0 0 120164 497251 477495 2938235 7677 4439 78.6633 78.6633 -68683.7 -78.6633 -45.1814 -0.295467 2.08230e+07 8329.19 7.24 10.28 2.59 -1 -1 7.24 2.51571 2.17775 0.0855 0.4398 0.01149 0.5487 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.30 vpr 54.37 MiB -1 -1 0.18 18728 3 0.07 -1 -1 32756 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 55676 99 130 343 473 1 225 298 12 12 144 clb auto 15.9 MiB 0.08 532 54.4 MiB 0.14 0.00 1.5264 -110.235 -1.5264 1.5264 0.22 0.000338118 0.000304261 0.0274229 0.024766 48 1232 14 5.66058e+06 4.21279e+06 394078. 2736.65 1.22 0.138843 0.127414 13382 75762 -1 1178 9 446 672 42208 13412 0 0 42208 13412 672 547 0 0 2247 2082 0 0 2741 2248 0 0 733 616 0 0 17790 4371 0 0 18025 3548 0 0 672 0 0 226 316 312 2338 0 0 1.95198 1.95198 -138.731 -1.95198 -0.557195 -0.29768 503207. 3494.49 0.10 0.02 0.05 -1 -1 0.10 0.0119754 0.0113447 0.01157 0.2206 0.07286 0.7065 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 8.34 vpr 58.48 MiB -1 -1 0.32 23216 15 0.30 -1 -1 33252 -1 -1 37 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59888 162 96 993 934 1 693 300 16 16 256 mult_36 auto 20.2 MiB 0.39 5592 58.5 MiB 0.33 0.00 20.185 -1650.79 -20.185 20.185 0.47 0.000967658 0.00085674 0.0973469 0.0873189 48 13452 34 1.21132e+07 3.97408e+06 756778. 2956.16 3.24 0.369884 0.338319 25228 149258 -1 10206 23 3194 6152 2280274 567804 0 0 2280274 567804 6152 3978 0 0 75477 74044 0 0 78618 75643 0 0 6641 4491 0 0 1055082 201561 0 0 1058304 208087 0 0 6152 0 0 2995 8462 7505 50154 0 0 22.6302 22.6302 -1961.4 -22.6302 0 0 968034. 3781.38 0.21 0.39 0.09 -1 -1 0.21 0.0765697 0.0718575 0.008038 0.3506 0.01615 0.6332 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 623.86 vpr 388.09 MiB -1 -1 42.95 340780 122 62.23 -1 -1 77740 -1 -1 1218 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 397408 114 102 21867 21777 1 11178 1487 50 50 2500 memory auto 179.6 MiB 177.34 152714 388.1 MiB 15.62 0.14 67.1858 -47730.8 -67.1858 67.1858 20.08 0.0397658 0.0310592 4.31209 3.50846 98 231587 24 1.47946e+08 9.34731e+07 1.60641e+07 6425.63 227.30 19.0682 15.7292 348768 3430976 -1 206991 22 42256 161258 47495211 13011074 0 0 47495211 13011074 154775 54841 0 0 578537 541420 0 0 691430 584456 0 0 160756 63906 0 0 22663509 5812565 0 0 23246204 5953886 0 0 154775 0 0 114528 435051 423075 2667186 6987 3572 78.8935 78.8935 -62708.5 -78.8935 -42.5002 -0.296573 2.03677e+07 8147.07 7.13 15.27 2.49 -1 -1 7.13 2.54157 2.19877 0.08516 0.4199 0.01153 0.5686 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.24 vpr 54.80 MiB -1 -1 0.23 18172 3 0.06 -1 -1 32528 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56112 99 130 343 473 1 229 298 12 12 144 clb auto 15.9 MiB 0.09 556 54.8 MiB 0.14 0.00 1.47813 -109.972 -1.47813 1.47813 0.22 0.000320354 0.000288299 0.0271899 0.0244086 44 1271 11 5.66058e+06 4.21279e+06 360780. 2505.42 1.13 0.11057 0.101347 13094 71552 -1 1218 12 462 709 47394 15463 0 0 47394 15463 709 569 0 0 2415 2235 0 0 2908 2417 0 0 788 651 0 0 20592 5384 0 0 19982 4207 0 0 709 0 0 247 486 362 2880 0 0 1.87646 1.87646 -140.25 -1.87646 -0.539402 -0.295467 470765. 3269.20 0.10 0.03 0.04 -1 -1 0.10 0.0142796 0.0134851 0.01061 0.2454 0.07925 0.6753 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 8.20 vpr 58.37 MiB -1 -1 0.27 22780 15 0.35 -1 -1 33384 -1 -1 36 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59772 162 96 993 934 1 706 299 16 16 256 mult_36 auto 20.3 MiB 0.50 5511 58.4 MiB 0.31 0.00 19.9061 -1687.45 -19.9061 19.9061 0.48 0.000954628 0.000846505 0.0907668 0.0810877 48 13006 40 1.21132e+07 3.92018e+06 756778. 2956.16 3.00 0.382407 0.349558 25228 149258 -1 10178 21 3597 7695 2425913 610945 0 0 2425913 610945 7695 4780 0 0 94544 92807 0 0 99100 94775 0 0 8342 5362 0 0 1111887 211315 0 0 1104345 201906 0 0 7695 0 0 4131 11854 11380 63908 0 0 22.4244 22.4244 -1941.38 -22.4244 0 0 968034. 3781.38 0.21 0.40 0.09 -1 -1 0.21 0.0747584 0.0704015 0.007889 0.3562 0.01659 0.6272 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 810.59 vpr 386.49 MiB -1 -1 43.36 339496 122 64.87 -1 -1 77984 -1 -1 1300 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 395764 114 102 21867 21777 1 11836 1569 50 50 2500 memory auto 178.0 MiB 177.92 160076 386.5 MiB 16.96 0.13 67.1242 -47132.9 -67.1242 67.1242 20.78 0.0346237 0.0303318 4.33427 3.53109 98 249946 49 1.47946e+08 9.78926e+07 1.60641e+07 6425.63 409.65 18.4526 15.3256 348768 3430976 -1 219379 20 45618 172134 43762325 10888236 0 0 43762325 10888236 164096 59521 0 0 602555 563359 0 0 724684 609793 0 0 169880 69191 0 0 20961455 4718538 0 0 21139655 4867834 0 0 164096 0 0 120432 475180 472179 2855102 8231 4124 78.5043 78.5043 -61586.2 -78.5043 -53.3078 -0.29436 2.03677e+07 8147.07 6.78 12.75 2.50 -1 -1 6.78 2.3776 2.08171 0.0854 0.4261 0.01172 0.5622 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.15 vpr 54.51 MiB -1 -1 0.18 18536 3 0.06 -1 -1 32604 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 55820 99 130 343 473 1 229 298 12 12 144 clb auto 16.1 MiB 0.09 556 54.5 MiB 0.14 0.00 1.47813 -110.014 -1.47813 1.47813 0.22 0.000326773 0.000294752 0.0277451 0.0249515 46 1249 11 5.66058e+06 4.21279e+06 378970. 2631.74 0.99 0.131252 0.120512 13238 73581 -1 1164 9 447 694 32827 10026 0 0 32827 10026 694 557 0 0 1863 1656 0 0 2434 1865 0 0 777 649 0 0 13401 3055 0 0 13658 2244 0 0 694 0 0 247 508 357 2936 0 0 1.93232 1.93232 -138.156 -1.93232 -0.954778 -0.320482 486261. 3376.82 0.10 0.02 0.04 -1 -1 0.10 0.0121542 0.01153 0.01166 0.2182 0.07187 0.71 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 11.22 vpr 58.46 MiB -1 -1 0.28 22992 15 0.33 -1 -1 33296 -1 -1 36 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59864 162 96 993 934 1 705 299 16 16 256 mult_36 auto 20.3 MiB 0.56 5514 58.5 MiB 0.30 0.00 19.6313 -1701.34 -19.6313 19.6313 0.47 0.000954233 0.00084484 0.0889769 0.0792547 56 11864 33 1.21132e+07 3.92018e+06 870502. 3400.40 5.93 0.594929 0.543587 26504 172068 -1 9889 17 3114 6180 1994800 529658 0 0 1994800 529658 6180 3921 0 0 71278 69696 0 0 74956 71458 0 0 6638 4381 0 0 904227 187507 0 0 931521 192695 0 0 6180 0 0 3102 9302 8573 50735 0 0 22.2079 22.2079 -1911.27 -22.2079 0 0 1.11200e+06 4343.75 0.23 0.35 0.11 -1 -1 0.23 0.0660134 0.062305 0.00843 0.3588 0.01628 0.6249 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 694.12 vpr 413.84 MiB -1 -1 42.56 340828 122 59.41 -1 -1 77936 -1 -1 1196 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 423776 114 102 21867 21777 1 11032 1465 50 50 2500 memory auto 178.3 MiB 174.13 151087 387.1 MiB 16.87 0.13 66.7869 -47762.1 -66.7869 66.7869 20.89 0.03394 0.0295648 4.511 3.66309 96 241038 48 1.47946e+08 9.22874e+07 1.58254e+07 6330.17 301.89 25.6824 21.1516 343768 3324272 -1 207751 22 42832 166152 51654842 12975718 0 0 51654842 12975718 159409 56567 0 0 605498 561267 0 0 728989 613381 0 0 165652 66470 0 0 24605570 5721536 0 0 25389724 5956497 0 0 159409 0 0 118536 457145 451474 2782853 7287 6458 77.9118 77.9118 -63943.6 -77.9118 -19.8028 -0.29436 1.97871e+07 7914.84 6.42 15.65 2.47 -1 -1 6.42 2.62495 2.29195 0.08581 0.4093 0.01174 0.579 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.69 vpr 66.72 MiB -1 -1 0.31 20712 3 0.11 -1 -1 36896 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68320 99 130 343 473 1 230 298 12 12 144 clb auto 27.9 MiB 0.09 572 76913 23489 39933 13491 66.7 MiB 0.21 0.00 1.63028 -108.738 -1.63028 1.63028 0.36 0.000756212 0.000690824 0.0642119 0.0587385 44 1417 14 5.66058e+06 4.21279e+06 360780. 2505.42 0.84 0.216882 0.19517 13094 71552 -1 1213 9 420 686 37001 11382 1.9084 1.9084 -141.154 -1.9084 -0.416006 -0.147762 470765. 3269.20 0.15 0.04 0.08 -1 -1 0.15 0.0233823 0.0217708 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 16.06 vpr 69.89 MiB -1 -1 0.47 25992 15 0.40 -1 -1 37616 -1 -1 38 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71568 162 96 994 935 1 693 301 16 16 256 mult_36 auto 31.7 MiB 0.28 5553 93037 31401 54835 6801 69.9 MiB 0.62 0.01 19.6435 -1535.77 -19.6435 19.6435 0.79 0.00261906 0.00243256 0.252945 0.233584 50 11403 23 1.21132e+07 4.02797e+06 780512. 3048.87 9.10 1.18321 1.08125 25484 153448 -1 9690 19 3079 6315 1827689 461626 22.3689 22.3689 -1732.76 -22.3689 0 0 1.00276e+06 3917.05 0.35 0.54 0.15 -1 -1 0.35 0.131022 0.122034 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 813.31 vpr 416.75 MiB -1 -1 65.11 337588 122 76.47 -1 -1 83000 -1 -1 1377 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 426748 114 102 21867 21777 1 11713 1646 50 50 2500 memory auto 193.6 MiB 19.28 162689 1089614 391592 677293 20729 403.0 MiB 25.01 0.20 68.7817 -50162.9 -68.7817 68.7817 28.20 0.0481828 0.0414266 6.00345 4.99477 92 250867 49 1.47946e+08 1.02043e+08 1.52089e+07 6083.58 508.28 25.5115 21.2579 338772 3221652 -1 219490 20 46199 173936 32037054 7025008 79.5231 79.5231 -64122.1 -79.5231 -14.6679 -0.295467 1.93279e+07 7731.17 9.63 13.36 3.41 -1 -1 9.63 3.7003 3.17559 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.71 vpr 66.02 MiB -1 -1 0.30 20932 3 0.11 -1 -1 36940 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67600 99 130 343 473 1 232 298 12 12 144 clb auto 27.6 MiB 0.11 600 72933 21291 38319 13323 66.0 MiB 0.20 0.00 1.62851 -111.864 -1.62851 1.62851 0.36 0.000793147 0.000729115 0.0602412 0.0555138 48 1205 12 5.66058e+06 4.21279e+06 394078. 2736.65 0.87 0.214609 0.193946 13382 75762 -1 1273 12 433 696 34379 10096 1.93197 1.93197 -141.609 -1.93197 -1.04495 -0.29768 503207. 3494.49 0.16 0.04 0.08 -1 -1 0.16 0.026214 0.0242485 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 19.91 vpr 69.70 MiB -1 -1 0.44 26056 15 0.39 -1 -1 37972 -1 -1 38 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71376 162 96 994 935 1 693 301 16 16 256 mult_36 auto 31.7 MiB 0.37 5304 70861 21705 42305 6851 69.7 MiB 0.45 0.01 19.8404 -1612.05 -19.8404 19.8404 0.73 0.00247872 0.00226966 0.185557 0.170784 50 13198 40 1.21132e+07 4.02797e+06 780512. 3048.87 13.44 1.26685 1.15732 25484 153448 -1 9762 18 3567 7404 1763577 467738 22.4126 22.4126 -1817.04 -22.4126 0 0 1.00276e+06 3917.05 0.31 0.48 0.14 -1 -1 0.31 0.116176 0.108517 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 703.06 vpr 412.45 MiB -1 -1 64.61 338532 122 78.87 -1 -1 83172 -1 -1 1266 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 422348 114 102 21867 21777 1 11313 1535 50 50 2500 memory auto 194.2 MiB 36.55 151112 966294 344210 601392 20692 403.7 MiB 22.88 0.18 67.357 -48177.1 -67.357 67.357 28.15 0.0482317 0.0415788 5.81135 4.84128 98 226162 27 1.47946e+08 9.60601e+07 1.60641e+07 6425.63 370.33 28.0808 23.4864 348768 3430976 -1 202955 23 42244 161866 44059555 11392801 77.9223 77.9223 -64352.1 -77.9223 -33.0693 -0.296573 2.03677e+07 8147.07 10.68 21.46 3.72 -1 -1 10.68 4.375 3.74412 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.85 vpr 66.59 MiB -1 -1 0.29 20984 3 0.10 -1 -1 37048 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68188 99 130 343 473 1 228 298 12 12 144 clb auto 27.9 MiB 0.14 546 74923 23130 38217 13576 66.6 MiB 0.21 0.00 1.50426 -109.539 -1.50426 1.50426 0.39 0.000796061 0.000736476 0.0638837 0.0590025 48 1087 13 5.66058e+06 4.21279e+06 411630. 2858.54 0.92 0.227468 0.206602 13872 80872 -1 1145 11 391 594 36888 11702 1.93939 1.93939 -137.398 -1.93939 -0.720336 -0.298787 526257. 3654.56 0.17 0.04 0.08 -1 -1 0.17 0.0257972 0.0239496 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 12.76 vpr 69.87 MiB -1 -1 0.44 26036 15 0.39 -1 -1 37636 -1 -1 38 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71548 162 96 994 935 1 696 301 16 16 256 mult_36 auto 31.7 MiB 1.01 5160 85981 26474 51553 7954 69.9 MiB 0.58 0.01 20.1982 -1657.55 -20.1982 20.1982 0.79 0.00265146 0.00245038 0.247541 0.228841 50 12455 43 1.21132e+07 4.02797e+06 817349. 3192.77 5.24 0.879102 0.806436 26464 163948 -1 9727 20 3117 6352 1683985 497731 22.6797 22.6797 -1894.94 -22.6797 0 0 1.05038e+06 4103.04 0.33 0.46 0.15 -1 -1 0.33 0.125583 0.117514 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 949.26 vpr 463.36 MiB -1 -1 63.87 338668 122 76.68 -1 -1 83652 -1 -1 1285 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 474484 114 102 21867 21777 1 11916 1554 50 50 2500 memory auto 191.0 MiB 347.04 162772 1018215 364449 632498 21268 431.4 MiB 32.92 0.26 67.5941 -49002.7 -67.5941 67.5941 32.24 0.0711587 0.0586215 8.43565 6.9474 100 233668 21 1.47946e+08 9.70841e+07 1.70584e+07 6823.36 296.68 34.2658 28.3827 363360 3730996 -1 213348 19 39349 151722 38372031 10271648 78.7792 78.7792 -60948.5 -78.7792 -22.65 -0.29436 2.14473e+07 8578.92 9.43 16.42 4.13 -1 -1 9.43 3.09641 2.71037 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.61 vpr 66.59 MiB -1 -1 0.28 20908 3 0.10 -1 -1 37004 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68192 99 130 343 473 1 225 298 12 12 144 clb auto 28.2 MiB 0.12 548 74923 24125 37485 13313 66.6 MiB 0.20 0.00 1.47901 -107.394 -1.47901 1.47901 0.36 0.000747799 0.000691571 0.0589702 0.0543371 46 1178 11 5.66058e+06 4.21279e+06 396063. 2750.44 0.85 0.204502 0.185113 13728 78551 -1 1169 9 386 580 26085 8334 1.91033 1.91033 -140.927 -1.91033 -1.37858 -0.320482 508433. 3530.78 0.15 0.03 0.08 -1 -1 0.15 0.0206489 0.0191437 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 15.32 vpr 70.15 MiB -1 -1 0.44 26048 15 0.40 -1 -1 37484 -1 -1 38 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71832 162 96 994 935 1 695 301 16 16 256 mult_36 auto 31.9 MiB 0.84 5338 78925 22769 48737 7419 70.1 MiB 0.49 0.01 19.722 -1669.48 -19.722 19.722 0.74 0.00242599 0.00222898 0.200653 0.184772 46 11620 25 1.21132e+07 4.02797e+06 761464. 2974.47 8.03 0.965215 0.883344 25952 154797 -1 9666 18 3039 6203 2093356 536473 22.2382 22.2382 -1921.9 -22.2382 0 0 979054. 3824.43 0.34 0.60 0.15 -1 -1 0.34 0.138883 0.129689 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1092.31 vpr 432.65 MiB -1 -1 63.73 336208 122 81.09 -1 -1 83408 -1 -1 1189 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 443032 114 102 21867 21777 1 11141 1458 50 50 2500 memory auto 192.1 MiB 348.37 153992 885706 303196 562770 19740 432.6 MiB 25.50 0.21 66.8869 -49530.9 -66.8869 66.8869 32.94 0.0513497 0.0443795 6.34845 5.3245 94 234879 36 1.47946e+08 9.19101e+07 1.62379e+07 6495.14 442.31 24.4448 20.5188 353364 3504872 -1 209335 21 40010 161158 43087728 10591940 79.2314 79.2314 -65396.6 -79.2314 -11.1836 -0.292146 2.03897e+07 8155.87 9.03 16.65 3.78 -1 -1 9.03 3.22035 2.83123 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.65 vpr 66.62 MiB -1 -1 0.25 21264 3 0.10 -1 -1 36776 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68224 99 130 343 473 1 229 298 12 12 144 clb auto 28.3 MiB 0.14 572 77908 22766 40880 14262 66.6 MiB 0.21 0.00 1.47901 -108.738 -1.47901 1.47901 0.37 0.000735917 0.000679002 0.0609858 0.0559504 42 1388 17 5.66058e+06 4.21279e+06 375846. 2610.04 1.87 0.290802 0.262471 13720 75108 -1 1254 9 371 586 42933 13370 1.9789 1.9789 -138.6 -1.9789 -0.812026 -0.29768 470559. 3267.77 0.15 0.04 0.08 -1 -1 0.15 0.0211009 0.0195486 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 13.10 vpr 70.01 MiB -1 -1 0.43 26084 15 0.39 -1 -1 37872 -1 -1 36 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71692 162 96 994 935 1 695 299 16 16 256 mult_36 auto 31.8 MiB 1.06 5534 85214 26856 51801 6557 70.0 MiB 0.54 0.01 19.5939 -1585.17 -19.5939 19.5939 0.78 0.00248629 0.00228672 0.221783 0.203267 46 12280 25 1.21132e+07 3.92018e+06 791147. 3090.42 5.57 0.680797 0.623007 26792 163197 -1 10271 19 3196 6609 1904630 459419 22.1474 22.1474 -1822.81 -22.1474 0 0 1.01637e+06 3970.19 0.32 0.50 0.15 -1 -1 0.32 0.121868 0.113387 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1099.14 vpr 458.35 MiB -1 -1 61.44 337396 122 80.73 -1 -1 82932 -1 -1 1284 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 469348 114 102 21867 21777 1 11781 1553 50 50 2500 memory auto 190.8 MiB 459.70 163806 1008321 349998 638565 19758 458.3 MiB 32.35 0.26 67.825 -49300.5 -67.825 67.825 36.43 0.0611333 0.0492676 7.98245 6.57665 98 238475 49 1.47946e+08 9.70302e+07 1.74237e+07 6969.48 328.75 30.5375 25.4471 371232 3885440 -1 212357 18 37810 147778 39995241 9831860 79.581 79.581 -64631.2 -79.581 -23.5457 -0.295467 2.19566e+07 8782.65 9.81 15.71 4.15 -1 -1 9.81 3.04727 2.6999 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.47 vpr 66.63 MiB -1 -1 0.29 21180 3 0.10 -1 -1 36848 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68232 99 130 343 473 1 229 298 12 12 144 clb auto 28.3 MiB 0.14 572 77908 22766 40880 14262 66.6 MiB 0.20 0.00 1.47901 -108.738 -1.47901 1.47901 0.37 0.000717395 0.000663687 0.0602347 0.0554104 44 1272 12 5.66058e+06 4.21279e+06 391831. 2721.05 1.60 0.24161 0.218346 14004 80442 -1 1116 11 387 589 24810 7601 1.91792 1.91792 -133 -1.91792 -1.3795 -0.321515 509951. 3541.33 0.16 0.04 0.08 -1 -1 0.16 0.024306 0.0224747 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 12.35 vpr 70.26 MiB -1 -1 0.45 25964 15 0.39 -1 -1 37936 -1 -1 36 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71944 162 96 994 935 1 695 299 16 16 256 mult_36 auto 32.0 MiB 1.15 5590 83216 24140 52050 7026 70.3 MiB 0.52 0.01 19.5529 -1611.29 -19.5529 19.5529 0.77 0.00242587 0.0022287 0.212008 0.194868 46 11831 30 1.21132e+07 3.92018e+06 791147. 3090.42 4.64 0.701678 0.643126 26792 163197 -1 9974 18 3273 6923 2289929 579109 22.4976 22.4976 -1870.35 -22.4976 0 0 1.01637e+06 3970.19 0.33 0.59 0.15 -1 -1 0.33 0.116859 0.10914 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1045.47 vpr 474.70 MiB -1 -1 61.70 338996 122 80.93 -1 -1 83200 -1 -1 1172 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 486096 114 102 21867 21777 1 10748 1441 50 50 2500 memory auto 191.8 MiB 445.28 147420 888265 306545 561309 20411 465.3 MiB 28.11 0.20 67.9174 -47347.6 -67.9174 67.9174 35.96 0.0523956 0.045579 7.68232 6.45411 96 219805 43 1.47946e+08 9.09939e+07 1.71713e+07 6868.52 293.50 37.7021 31.3563 366232 3764912 -1 196195 20 34850 143717 36734928 9562782 78.8888 78.8888 -62661.4 -78.8888 -10.7154 -0.295467 2.13622e+07 8544.87 9.53 16.23 4.05 -1 -1 9.53 3.49666 3.05294 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.58 vpr 66.47 MiB -1 -1 0.28 20964 3 0.10 -1 -1 37176 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68064 99 130 343 473 1 228 298 12 12 144 clb auto 27.7 MiB 0.12 541 73928 22213 38293 13422 66.5 MiB 0.20 0.00 1.47901 -109.079 -1.47901 1.47901 0.34 0.000768529 0.000707681 0.0583063 0.0536197 46 1137 15 5.66058e+06 4.21279e+06 378970. 2631.74 0.82 0.210144 0.190042 13238 73581 -1 1078 10 408 646 27054 9056 1.91033 1.91033 -132.161 -1.91033 -0.352058 -0.105593 486261. 3376.82 0.14 0.03 0.08 -1 -1 0.14 0.022331 0.0206868 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 12.74 vpr 69.84 MiB -1 -1 0.44 26044 15 0.38 -1 -1 37772 -1 -1 37 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71516 162 96 994 935 1 696 300 16 16 256 mult_36 auto 31.6 MiB 0.60 5304 83549 28001 48239 7309 69.8 MiB 0.53 0.01 20.0587 -1602.4 -20.0587 20.0587 0.74 0.00244036 0.00223675 0.21961 0.201875 52 12265 39 1.21132e+07 3.97408e+06 805949. 3148.24 5.86 0.8901 0.814504 25992 162577 -1 9778 18 2927 5929 1678779 445874 22.3853 22.3853 -1863.93 -22.3853 0 0 1.06067e+06 4143.25 0.33 0.47 0.17 -1 -1 0.33 0.118138 0.110348 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 941.63 vpr 410.52 MiB -1 -1 61.98 337276 122 78.79 -1 -1 83260 -1 -1 1319 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 420372 114 102 21867 21777 1 11967 1588 50 50 2500 memory auto 191.9 MiB 246.94 169221 992515 336566 634780 21169 401.5 MiB 29.59 0.25 68.1675 -50495 -68.1675 68.1675 30.08 0.0629475 0.056798 7.71565 6.51782 100 260247 46 1.47946e+08 9.89166e+07 1.63173e+07 6526.93 396.33 26.8399 22.334 351264 3480436 -1 228823 21 46113 172655 36693649 8111973 78.8552 78.8552 -66692.1 -78.8552 -44.3207 -0.296573 2.05845e+07 8233.80 9.82 13.85 3.95 -1 -1 9.82 3.34563 2.91203 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.62 vpr 66.38 MiB -1 -1 0.30 20972 3 0.11 -1 -1 37092 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67972 99 130 343 473 1 225 298 12 12 144 clb auto 28.0 MiB 0.13 553 74923 22965 38487 13471 66.4 MiB 0.19 0.00 1.47813 -107.395 -1.47813 1.47813 0.35 0.000708812 0.000653781 0.0581659 0.053285 44 1301 15 5.66058e+06 4.21279e+06 360780. 2505.42 1.84 0.361539 0.324374 13094 71552 -1 1090 10 405 635 28863 8479 1.90922 1.90922 -130.567 -1.90922 -1.38109 -0.321515 470765. 3269.20 0.14 0.03 0.08 -1 -1 0.14 0.0220702 0.0204142 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 15.04 vpr 69.84 MiB -1 -1 0.44 25960 15 0.39 -1 -1 37956 -1 -1 37 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71512 162 96 994 935 1 695 300 16 16 256 mult_36 auto 31.6 MiB 0.61 5385 92576 29181 55636 7759 69.8 MiB 0.57 0.01 19.9291 -1628 -19.9291 19.9291 0.73 0.00238055 0.00218005 0.238699 0.21926 50 12012 41 1.21132e+07 3.97408e+06 780512. 3048.87 8.13 1.15578 1.05568 25484 153448 -1 9715 18 2982 6036 1729981 467234 22.1799 22.1799 -1838.21 -22.1799 0 0 1.00276e+06 3917.05 0.31 0.47 0.15 -1 -1 0.31 0.11522 0.107633 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 907.42 vpr 409.55 MiB -1 -1 62.38 338844 122 77.65 -1 -1 82876 -1 -1 1218 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 419380 114 102 21867 21777 1 11178 1487 50 50 2500 memory auto 191.6 MiB 265.31 152714 883823 302316 563184 18323 401.3 MiB 24.89 0.21 67.1858 -47730.8 -67.1858 67.1858 29.22 0.0548785 0.0446561 6.76473 5.62292 96 244741 48 1.47946e+08 9.34731e+07 1.58254e+07 6330.17 343.94 30.8783 25.5657 343768 3324272 -1 209886 23 43124 166102 50650878 13691398 78.7959 78.7959 -62782.2 -78.7959 -26.8998 -0.29436 1.97871e+07 7914.84 8.90 23.90 3.67 -1 -1 8.90 4.18584 3.60748 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.72 vpr 66.77 MiB -1 -1 0.30 21048 3 0.11 -1 -1 36928 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68376 99 130 343 473 1 229 298 12 12 144 clb auto 28.0 MiB 0.14 541 76913 22319 40564 14030 66.8 MiB 0.21 0.00 1.47901 -110.001 -1.47901 1.47901 0.36 0.000772357 0.000700795 0.0638503 0.0586222 44 1268 13 5.66058e+06 4.21279e+06 360780. 2505.42 0.85 0.224577 0.20337 13094 71552 -1 1145 10 433 647 27670 8065 1.95498 1.95498 -137.42 -1.95498 -1.52687 -0.321515 470765. 3269.20 0.15 0.04 0.08 -1 -1 0.15 0.0241859 0.0224861 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 20.60 vpr 69.93 MiB -1 -1 0.44 25876 15 0.39 -1 -1 38012 -1 -1 36 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71612 162 96 994 935 1 692 299 16 16 256 mult_36 auto 31.7 MiB 0.79 5313 88211 28008 52620 7583 69.9 MiB 0.55 0.01 20.0904 -1626.32 -20.0904 20.0904 0.74 0.00232049 0.00210481 0.233361 0.214504 60 10466 18 1.21132e+07 3.92018e+06 934704. 3651.19 13.46 1.13218 1.03475 27268 184674 -1 8960 16 2677 5308 1604545 394354 22.3673 22.3673 -1820.23 -22.3673 0 0 1.17756e+06 4599.85 0.36 0.42 0.18 -1 -1 0.36 0.105277 0.0985184 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 886.38 vpr 459.16 MiB -1 -1 62.28 338840 122 79.80 -1 -1 83348 -1 -1 1300 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 470184 114 102 21867 21777 1 11836 1569 50 50 2500 memory auto 190.9 MiB 265.60 160076 976660 338112 616153 22395 400.6 MiB 25.03 0.22 67.1242 -47132.9 -67.1242 67.1242 29.13 0.0523805 0.0448707 6.10581 5.1078 100 241810 38 1.47946e+08 9.78926e+07 1.63173e+07 6526.93 320.66 32.429 26.961 351264 3480436 -1 217750 20 45466 171579 43268728 10828334 78.529 78.529 -60544.2 -78.529 -56.8323 -0.296573 2.05845e+07 8233.80 10.76 20.38 3.78 -1 -1 10.76 3.94378 3.40014 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.72 vpr 66.61 MiB -1 -1 0.29 20988 3 0.10 -1 -1 37152 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68204 99 130 343 473 1 229 298 12 12 144 clb auto 28.2 MiB 0.14 541 76913 22319 40564 14030 66.6 MiB 0.23 0.00 1.47901 -110.001 -1.47901 1.47901 0.37 0.000797679 0.000727122 0.0665831 0.0614853 44 1317 19 5.66058e+06 4.21279e+06 360780. 2505.42 0.81 0.187101 0.17036 13094 71552 -1 1145 11 442 658 29546 8450 1.95498 1.95498 -140.804 -1.95498 -1.52687 -0.321515 470765. 3269.20 0.15 0.04 0.08 -1 -1 0.15 0.024445 0.022597 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 12.08 vpr 69.88 MiB -1 -1 0.44 26152 15 0.39 -1 -1 37760 -1 -1 36 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71552 162 96 994 935 1 692 299 16 16 256 mult_36 auto 31.6 MiB 0.76 5567 78221 24129 47222 6870 69.9 MiB 0.50 0.01 19.5867 -1602.31 -19.5867 19.5867 0.71 0.00253771 0.00232159 0.207857 0.191436 54 11095 21 1.21132e+07 3.92018e+06 835850. 3265.04 4.95 0.86422 0.790864 26248 167850 -1 9799 17 2879 5873 2003906 513973 22.3133 22.3133 -1810.62 -22.3133 0 0 1.08614e+06 4242.72 0.35 0.51 0.17 -1 -1 0.35 0.113664 0.106099 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 908.22 vpr 446.26 MiB -1 -1 62.30 338424 122 79.55 -1 -1 83236 -1 -1 1196 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 456972 114 102 21867 21777 1 11032 1465 50 50 2500 memory auto 190.8 MiB 264.91 151087 908018 323032 565050 19936 400.7 MiB 23.41 0.20 66.7869 -47762.1 -66.7869 66.7869 28.65 0.0498715 0.0430724 6.08211 5.07177 98 227251 26 1.47946e+08 9.22874e+07 1.60641e+07 6425.63 343.30 31.6939 26.2157 348768 3430976 -1 204692 21 42686 166841 49135844 12470236 77.6436 77.6436 -62930.2 -77.6436 -30.924 -0.296573 2.03677e+07 8147.07 10.09 22.40 3.72 -1 -1 10.09 3.83803 3.29333 -1 -1 -1 -1 From 92c87dba53d956b0ab7555d86477055a539750cd Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 11 Jan 2024 18:50:39 -0500 Subject: [PATCH 139/608] golden_result: nightly_test_1_odin: update golden results --- .../FIR_filters/config/golden_results.txt | 470 ++-- .../multless_consts/config/golden_results.txt | 2050 ++++++++--------- 2 files changed, 1260 insertions(+), 1260 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters/config/golden_results.txt index f26c41397d6..b27d59f158e 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters/config/golden_results.txt @@ -1,235 +1,235 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 8.27 vpr 68.53 MiB 0.05 10716 -1 -1 8 0.48 -1 -1 40768 -1 -1 129 22 0 4 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70172 22 19 1764 1664 1 986 174 16 16 256 mult_36 auto 30.6 MiB 0.23 6569 68.5 MiB 0.21 0.00 3.85856 -1157 -3.85856 3.85856 0.53 0.00121871 0.00101089 0.0734697 0.0613292 56 11945 19 6.2557e+06 3.1391e+06 803869. 3140.11 4.66 0.653391 0.561437 10848 14 3750 7590 831425 205425 4.33936 4.33936 -1301.48 -4.33936 0 0 987003. 3855.48 0.28 0.21 0.0806853 0.0737852 966 909 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 10.41 vpr 69.67 MiB 0.05 11248 -1 -1 8 0.49 -1 -1 40260 -1 -1 139 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71340 22 19 1918 1801 1 1083 185 16 16 256 clb mult_36 auto 32.2 MiB 0.26 7976 69.7 MiB 0.23 0.00 3.97876 -1307.68 -3.97876 3.97876 0.53 0.00154493 0.00131491 0.0824272 0.068989 56 14240 43 6.2557e+06 3.65564e+06 803869. 3140.11 6.10 0.903035 0.776772 12894 54 4475 8871 2438454 833888 4.33936 4.33936 -1447.76 -4.33936 0 0 987003. 3855.48 0.28 0.68 0.208469 0.183639 1047 984 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 9.52 vpr 69.93 MiB 0.05 11220 -1 -1 8 0.55 -1 -1 40988 -1 -1 143 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71612 22 19 1976 1859 1 1114 189 17 17 289 clb auto 32.5 MiB 0.26 7535 69.9 MiB 0.24 0.00 4.09896 -1335.27 -4.09896 4.09896 0.62 0.00140303 0.00115448 0.0861818 0.0715977 54 14893 30 6.55708e+06 3.70386e+06 896083. 3100.63 5.47 0.732328 0.629818 12078 16 4244 8521 774210 186430 4.21916 4.21916 -1490.05 -4.21916 0 0 1.10294e+06 3816.40 0.32 0.22 0.098216 0.0894887 1086 1023 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 14.15 vpr 71.54 MiB 0.06 11960 -1 -1 8 0.62 -1 -1 41500 -1 -1 163 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73260 22 19 2278 2144 1 1240 209 17 17 289 clb auto 34.1 MiB 0.30 8425 71.5 MiB 0.29 0.00 4.09896 -1545.56 -4.09896 4.09896 0.62 0.00154047 0.00125142 0.103964 0.086515 52 17784 48 6.55708e+06 3.94496e+06 872365. 3018.56 9.81 1.20453 1.03418 13651 22 4902 9588 956003 224347 4.21916 4.21916 -1707.34 -4.21916 0 0 1.07454e+06 3718.13 0.32 0.28 0.129289 0.11652 1242 1171 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 11.23 vpr 71.53 MiB 0.06 12208 -1 -1 8 0.64 -1 -1 41228 -1 -1 168 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73248 22 19 2336 2202 1 1265 214 18 18 324 clb auto 34.1 MiB 0.31 8855 71.5 MiB 0.31 0.01 3.97876 -1553.12 -3.97876 3.97876 0.75 0.00171621 0.00142107 0.11099 0.0926346 58 15181 27 7.67456e+06 4.00524e+06 1.07356e+06 3313.45 6.44 0.96542 0.830381 13765 14 4533 8992 860417 195939 4.33936 4.33936 -1779.26 -4.33936 0 0 1.34501e+06 4151.27 0.42 0.24 0.111176 0.101571 1281 1210 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 8.51 vpr 72.45 MiB 0.07 12604 -1 -1 8 0.67 -1 -1 41484 -1 -1 175 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74184 22 19 2488 2337 1 1372 222 18 18 324 clb auto 35.4 MiB 0.33 10146 72.4 MiB 0.39 0.01 4.09896 -1691.42 -4.09896 4.09896 0.74 0.00191645 0.00161683 0.140224 0.117372 60 17299 24 7.67456e+06 4.48562e+06 1.09776e+06 3388.15 3.52 0.73117 0.630022 15477 14 4902 9652 881668 199274 4.45956 4.45956 -1926.44 -4.45956 0 0 1.37043e+06 4229.72 0.42 0.25 0.115883 0.105864 1360 1285 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 16.62 vpr 73.20 MiB 0.07 12656 -1 -1 8 0.73 -1 -1 41512 -1 -1 182 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74952 22 19 2546 2395 1 1407 229 18 18 324 clb auto 35.8 MiB 0.34 9836 73.2 MiB 0.36 0.01 3.97876 -1707.87 -3.97876 3.97876 0.74 0.0019568 0.00164389 0.13333 0.112291 54 18954 33 7.67456e+06 4.57001e+06 1.02660e+06 3168.53 11.56 1.14263 0.983224 15575 16 5356 10644 1015940 236680 4.33936 4.33936 -1937.97 -4.33936 0 0 1.26286e+06 3897.71 0.37 0.31 0.143229 0.130112 1399 1324 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 10.32 vpr 74.09 MiB 0.08 13212 -1 -1 8 0.81 -1 -1 41968 -1 -1 193 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75872 22 19 2735 2567 1 1516 240 19 19 361 clb auto 36.8 MiB 0.37 11290 74.1 MiB 0.45 0.01 4.33936 -1871.52 -4.33936 4.33936 0.86 0.0023854 0.00199963 0.162737 0.137338 56 20689 26 8.02416e+06 4.70262e+06 1.18337e+06 3278.02 4.65 0.92673 0.798466 18185 15 6243 12811 1391791 316019 4.45956 4.45956 -2156.37 -4.45956 0 0 1.45127e+06 4020.14 0.43 0.35 0.136375 0.124033 1497 1417 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 13.91 vpr 74.71 MiB 0.08 13260 -1 -1 8 0.87 -1 -1 43500 -1 -1 200 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76508 22 19 2793 2625 1 1545 247 19 19 361 clb auto 37.4 MiB 0.37 11967 74.7 MiB 0.41 0.01 4.21916 -1902.42 -4.21916 4.21916 0.85 0.00230057 0.0019611 0.145942 0.12295 66 19135 14 8.02416e+06 4.787e+06 1.35166e+06 3744.22 8.13 1.17408 1.01113 17555 13 5197 10953 1044201 233076 4.57976 4.57976 -2173.05 -4.57976 0 0 1.68635e+06 4671.32 0.52 0.29 0.129284 0.118109 1536 1456 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 13.95 vpr 75.53 MiB 0.08 13704 -1 -1 8 0.90 -1 -1 42316 -1 -1 211 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77340 22 19 2947 2762 1 1644 259 19 19 361 clb auto 38.4 MiB 0.39 11970 75.5 MiB 0.48 0.01 4.09896 -2059.24 -4.09896 4.09896 0.84 0.00250858 0.00213425 0.174178 0.147197 58 21344 25 8.02416e+06 5.3156e+06 1.20750e+06 3344.89 8.02 1.27087 1.09441 18612 16 6420 12530 1207649 279307 4.21916 4.21916 -2350.91 -4.21916 0 0 1.51231e+06 4189.22 0.47 0.34 0.15226 0.138364 1617 1531 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 14.31 vpr 75.94 MiB 0.09 13840 -1 -1 8 0.96 -1 -1 42588 -1 -1 216 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77760 22 19 3005 2820 1 1676 264 19 19 361 clb auto 38.5 MiB 0.42 12194 75.9 MiB 0.45 0.01 3.97876 -2052.17 -3.97876 3.97876 0.86 0.00316069 0.00278737 0.161077 0.135683 58 21624 42 8.02416e+06 5.37588e+06 1.20750e+06 3344.89 8.26 1.30842 1.13132 18867 15 6297 12685 1289934 291791 4.33936 4.33936 -2380.13 -4.33936 0 0 1.51231e+06 4189.22 0.48 0.35 0.152122 0.138637 1656 1570 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 15.41 vpr 76.82 MiB 0.09 14488 -1 -1 8 1.05 -1 -1 43040 -1 -1 231 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78660 22 19 3229 3027 1 1787 279 20 20 400 clb auto 39.7 MiB 0.43 12689 76.8 MiB 0.52 0.01 4.09896 -2244.39 -4.09896 4.09896 0.97 0.00285889 0.00241692 0.191733 0.161686 62 22361 33 1.09209e+07 5.5567e+06 1.40818e+06 3520.44 8.78 1.46509 1.26094 19123 16 6405 13219 1127335 254255 4.33936 4.33936 -2450.85 -4.33936 0 0 1.74283e+06 4357.08 0.55 0.35 0.171383 0.155719 1771 1681 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 36.48 vpr 77.03 MiB 0.10 14540 -1 -1 8 1.14 -1 -1 43212 -1 -1 237 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78880 22 19 3287 3085 1 1821 285 21 21 441 clb auto 39.7 MiB 0.44 13502 77.0 MiB 0.58 0.01 4.09896 -2332.93 -4.09896 4.09896 1.12 0.0030306 0.00259911 0.211463 0.179681 58 24179 39 1.13066e+07 5.62904e+06 1.48593e+06 3369.47 29.03 1.99309 1.7105 21399 32 7048 14157 1813799 491273 4.33936 4.33936 -2652.17 -4.33936 0 0 1.86135e+06 4220.76 0.62 0.61 0.282688 0.250631 1810 1720 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 18.63 vpr 78.46 MiB 0.10 14884 -1 -1 8 1.17 -1 -1 44880 -1 -1 251 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80348 22 19 3453 3234 1 1931 300 21 21 441 clb auto 41.2 MiB 0.47 14767 78.5 MiB 0.64 0.01 4.09896 -2432.72 -4.09896 4.09896 1.13 0.00326297 0.00280276 0.233882 0.19856 60 25393 27 1.13066e+07 6.1938e+06 1.51956e+06 3445.70 11.12 1.59658 1.3769 22374 15 7210 14509 1440603 324163 4.33936 4.33936 -2760.41 -4.33936 0 0 1.89683e+06 4301.21 0.63 0.42 0.186217 0.169319 1903 1795 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 15.30 vpr 78.60 MiB 0.10 15152 -1 -1 8 1.19 -1 -1 43680 -1 -1 256 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80488 22 19 3511 3292 1 1964 305 21 21 441 clb auto 41.3 MiB 0.50 14731 78.6 MiB 0.66 0.01 3.97876 -2427.41 -3.97876 3.97876 1.11 0.00314007 0.00267383 0.236012 0.199814 58 26084 27 1.13066e+07 6.25408e+06 1.48593e+06 3369.47 7.82 1.2013 1.03562 22908 14 7724 16075 1450673 335124 4.21916 4.21916 -2819.82 -4.21916 0 0 1.86135e+06 4220.76 0.62 0.40 0.172642 0.156832 1942 1834 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 20.59 vpr 79.62 MiB 0.11 15492 -1 -1 8 1.29 -1 -1 43876 -1 -1 268 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81536 22 19 3709 3473 1 2078 318 22 22 484 clb mult_36 auto 42.8 MiB 0.50 15892 79.6 MiB 0.71 0.01 3.97876 -2557.87 -3.97876 3.97876 1.26 0.00362726 0.00311836 0.254358 0.216367 60 27469 46 1.25085e+07 6.79474e+06 1.69059e+06 3492.95 12.39 2.04902 1.76767 24555 17 7870 16034 1575549 347597 4.45956 4.45956 -3012.44 -4.45956 0 0 2.10969e+06 4358.87 0.72 0.46 0.202885 0.183922 2049 1927 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 14.09 vpr 80.26 MiB 0.11 15624 -1 -1 8 1.29 -1 -1 45516 -1 -1 274 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82188 22 19 3767 3531 1 2107 324 22 22 484 clb mult_36 auto 43.4 MiB 0.50 16727 80.3 MiB 0.76 0.01 4.09896 -2549.72 -4.09896 4.09896 1.27 0.00338311 0.00288002 0.26763 0.225997 64 27519 29 1.25085e+07 6.86707e+06 1.79645e+06 3711.66 5.70 1.33943 1.15812 24955 13 7724 16237 1760847 387906 4.45956 4.45956 -3074.49 -4.45956 0 0 2.25323e+06 4655.43 0.76 0.47 0.184537 0.168524 2088 1966 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 16.25 vpr 80.92 MiB 0.11 16036 -1 -1 8 1.38 -1 -1 44616 -1 -1 288 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82860 22 19 3928 3675 1 2213 338 22 22 484 clb mult_36 auto 44.0 MiB 0.55 17205 80.9 MiB 0.75 0.01 3.97876 -2653.2 -3.97876 3.97876 1.29 0.00372904 0.00312592 0.260766 0.221351 60 29033 21 1.25085e+07 7.03584e+06 1.69059e+06 3492.95 7.69 1.63782 1.41747 25843 16 8321 17602 1671491 374049 4.33936 4.33936 -3192.01 -4.33936 0 0 2.10969e+06 4358.87 0.72 0.50 0.220622 0.200358 2176 2041 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 41.20 vpr 81.35 MiB 0.11 16260 -1 -1 8 1.44 -1 -1 44708 -1 -1 292 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83304 22 19 3986 3733 1 2248 342 22 22 484 clb mult_36 auto 44.6 MiB 0.54 19290 81.4 MiB 0.78 0.01 4.09896 -2808.96 -4.09896 4.09896 1.26 0.00374447 0.00317711 0.275477 0.234373 60 33957 42 1.25085e+07 7.08406e+06 1.69059e+06 3492.95 32.52 2.24761 1.93611 28843 18 9734 19887 2084541 449647 4.33936 4.33936 -3216.69 -4.33936 0 0 2.10969e+06 4358.87 0.70 0.57 0.227558 0.205515 2215 2080 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 16.85 vpr 83.02 MiB 0.13 17244 -1 -1 8 1.52 -1 -1 44772 -1 -1 314 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85016 22 19 4329 4059 1 2377 365 23 23 529 clb auto 46.4 MiB 0.59 17588 83.0 MiB 0.87 0.01 4.09896 -3015.66 -4.09896 4.09896 1.40 0.00387852 0.00326983 0.295395 0.249375 58 31374 31 1.29425e+07 7.74527e+06 1.81842e+06 3437.46 7.45 1.61952 1.39299 27542 15 8895 18844 1851777 422371 4.45956 4.45956 -3484.95 -4.45956 0 0 2.27638e+06 4303.19 0.79 0.53 0.228371 0.207179 2394 2246 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 25.18 vpr 83.36 MiB 0.13 17288 -1 -1 8 1.67 -1 -1 46440 -1 -1 320 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85356 22 19 4387 4117 1 2404 371 23 23 529 clb auto 47.0 MiB 0.59 19729 83.4 MiB 0.89 0.01 4.33936 -3062.81 -4.33936 4.33936 1.41 0.00404269 0.00345167 0.307562 0.261671 66 31486 31 1.29425e+07 7.8176e+06 2.03400e+06 3844.99 15.12 2.49956 2.16432 28707 33 8583 18626 2537694 726038 4.45956 4.45956 -3560.54 -4.45956 0 0 2.53752e+06 4796.82 0.88 0.92 0.398723 0.35507 2433 2285 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 17.13 vpr 84.42 MiB 0.14 17688 -1 -1 8 1.71 -1 -1 46080 -1 -1 332 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86444 22 19 4547 4260 1 2527 383 23 23 529 clb auto 47.8 MiB 0.61 20651 84.4 MiB 0.92 0.01 4.21916 -3223.76 -4.21916 4.21916 1.43 0.00409311 0.00350845 0.315448 0.268247 64 33574 32 1.29425e+07 7.96226e+06 1.97533e+06 3734.07 7.22 1.70796 1.48136 30322 13 9088 18694 1900393 418700 4.45956 4.45956 -3746.11 -4.45956 0 0 2.47740e+06 4683.17 0.85 0.54 0.231519 0.211383 2520 2360 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 18.93 vpr 85.02 MiB 0.14 17880 -1 -1 8 1.76 -1 -1 47432 -1 -1 338 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87060 22 19 4605 4318 1 2554 389 24 24 576 clb auto 48.3 MiB 0.62 20462 85.0 MiB 0.99 0.01 4.21916 -3320.92 -4.21916 4.21916 1.56 0.0047122 0.00401732 0.339415 0.288106 60 34483 24 1.51154e+07 8.03459e+06 2.00908e+06 3487.99 8.53 1.92211 1.66199 30576 14 9677 20337 2109156 467568 4.45956 4.45956 -3808.34 -4.45956 0 0 2.50809e+06 4354.32 0.89 0.60 0.245226 0.223307 2559 2399 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 19.60 vpr 85.91 MiB 0.15 18336 -1 -1 8 1.87 -1 -1 45848 -1 -1 351 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87968 22 19 4802 4498 1 2682 403 24 24 576 clb mult_36 auto 49.4 MiB 0.64 21531 85.9 MiB 0.99 0.02 4.21916 -3344.45 -4.21916 4.21916 1.55 0.00462744 0.00390483 0.33602 0.285266 64 35904 29 1.51154e+07 8.5873e+06 2.13454e+06 3705.80 8.89 1.87288 1.62433 32466 14 9816 20420 2537577 553199 4.45956 4.45956 -3833.08 -4.45956 0 0 2.67880e+06 4650.70 0.94 0.67 0.256738 0.234214 2665 2492 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 27.79 vpr 87.36 MiB 0.15 18440 -1 -1 8 1.90 -1 -1 44400 -1 -1 355 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89456 22 19 4860 4556 1 2713 407 25 25 625 clb auto 49.6 MiB 0.66 21735 86.2 MiB 1.06 0.02 4.33936 -3487.25 -4.33936 4.33936 1.72 0.00459429 0.00390014 0.356348 0.302925 64 36480 28 1.55855e+07 8.63552e+06 2.32897e+06 3726.35 16.50 2.74533 2.37569 32542 14 9573 20303 1979013 422413 4.45956 4.45956 -3978 -4.45956 0 0 2.92220e+06 4675.52 1.08 0.59 0.261118 0.23763 2704 2531 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 20.66 vpr 87.58 MiB 0.17 18824 -1 -1 8 2.04 -1 -1 47748 -1 -1 370 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89684 22 19 5019 4698 1 2814 422 25 25 625 clb auto 50.1 MiB 0.68 21588 87.6 MiB 1.09 0.02 4.21916 -3469.23 -4.21916 4.21916 1.67 0.00478733 0.00400329 0.370172 0.314461 60 36039 49 1.55855e+07 8.81635e+06 2.19200e+06 3507.21 9.27 2.11552 1.83125 32651 14 10300 21230 2089423 461437 4.57976 4.57976 -3972.18 -4.57976 0 0 2.73590e+06 4377.44 0.98 0.62 0.267189 0.243021 2790 2606 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 19.84 vpr 87.56 MiB 0.15 18996 -1 -1 8 2.16 -1 -1 44780 -1 -1 373 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89664 22 19 5077 4756 1 2844 425 25 25 625 clb auto 51.0 MiB 0.69 23273 87.6 MiB 1.07 0.01 4.21916 -3610.7 -4.21916 4.21916 1.71 0.00511545 0.00436623 0.366241 0.312777 64 38407 38 1.55855e+07 8.85252e+06 2.32897e+06 3726.35 8.21 1.95424 1.6934 34382 14 10537 21953 2156694 472360 4.33936 4.33936 -4113.66 -4.33936 0 0 2.92220e+06 4675.52 1.05 0.64 0.276191 0.25169 2829 2645 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 21.38 vpr 88.59 MiB 0.16 19532 -1 -1 8 2.21 -1 -1 45200 -1 -1 390 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90716 22 19 5308 4970 1 2955 443 25 25 625 clb auto 52.3 MiB 0.72 23271 88.6 MiB 1.16 0.02 4.21916 -3738.27 -4.21916 4.21916 1.72 0.00502726 0.00430194 0.391497 0.334176 60 39305 41 1.55855e+07 9.45345e+06 2.19200e+06 3507.21 9.53 2.25801 1.96075 34742 15 10827 22266 2248680 507145 4.33936 4.33936 -4234.3 -4.33936 0 0 2.73590e+06 4377.44 0.99 0.66 0.288714 0.262745 2951 2756 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 29.52 vpr 94.01 MiB 0.16 19728 -1 -1 8 2.27 -1 -1 48628 -1 -1 397 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 96268 22 19 5366 5028 1 2986 450 26 26 676 clb auto 52.9 MiB 0.73 23877 90.1 MiB 1.26 0.02 4.09896 -3732.25 -4.09896 4.09896 1.91 0.00503108 0.00433018 0.415939 0.354927 60 40350 34 1.89118e+07 9.53784e+06 2.42032e+06 3580.36 16.86 2.57965 2.23858 35898 15 11288 24323 2419084 532026 4.45956 4.45956 -4472.39 -4.45956 0 0 3.01907e+06 4466.08 1.11 0.69 0.298944 0.271406 2990 2795 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 54.59 vpr 90.25 MiB 0.17 19992 -1 -1 8 2.28 -1 -1 49088 -1 -1 404 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92420 22 19 5524 5169 1 3090 457 26 26 676 clb auto 53.6 MiB 0.76 23056 89.9 MiB 1.29 0.02 3.97876 -3910.97 -3.97876 3.97876 1.91 0.0053628 0.00449187 0.428635 0.363845 58 40813 37 1.89118e+07 9.62222e+06 2.36678e+06 3501.15 41.84 3.43631 2.96471 36091 15 11663 24339 2423852 541070 4.33936 4.33936 -4566.79 -4.33936 0 0 2.96266e+06 4382.64 1.09 0.71 0.307761 0.279488 3075 2870 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 32.57 vpr 96.29 MiB 0.17 20156 -1 -1 8 2.35 -1 -1 49204 -1 -1 410 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 98596 22 19 5582 5227 1 3122 463 26 26 676 clb auto 54.1 MiB 0.77 26047 91.0 MiB 1.37 0.02 4.09896 -3941.42 -4.09896 4.09896 1.92 0.005354 0.00462004 0.468464 0.401022 64 43827 50 1.89118e+07 9.69455e+06 2.57128e+06 3803.68 19.37 3.43394 2.97927 38642 17 11727 24541 2485868 524787 4.45956 4.45956 -4546.99 -4.45956 0 0 3.22435e+06 4769.75 1.18 0.77 0.342109 0.310116 3114 2909 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 25.83 vpr 91.79 MiB 0.18 20684 -1 -1 8 2.59 -1 -1 49760 -1 -1 425 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 93996 22 19 5779 5407 1 3235 479 26 26 676 clb auto 54.8 MiB 0.79 26573 91.8 MiB 1.39 0.02 4.33936 -4053.89 -4.33936 4.33936 1.91 0.00550755 0.004748 0.457278 0.389172 62 46429 43 1.89118e+07 1.02714e+07 2.49248e+06 3687.09 12.49 2.94299 2.55075 38502 14 11951 25474 2437687 538798 4.57976 4.57976 -4721.51 -4.57976 0 0 3.08129e+06 4558.12 1.09 0.73 0.310665 0.282602 3220 3002 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 32.14 vpr 97.86 MiB 0.18 20796 -1 -1 8 2.63 -1 -1 50216 -1 -1 430 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 100212 22 19 5837 5465 1 3273 484 26 26 676 clb auto 55.5 MiB 0.81 26100 92.4 MiB 1.45 0.02 4.21916 -4161.14 -4.21916 4.21916 1.96 0.00559767 0.00479715 0.485192 0.415623 64 43273 34 1.89118e+07 1.03316e+07 2.57128e+06 3803.68 18.46 3.39594 2.94217 38957 14 11931 24772 2486534 541518 4.45956 4.45956 -4821.03 -4.45956 0 0 3.22435e+06 4769.75 1.17 0.74 0.319394 0.290785 3259 3041 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 23.71 vpr 93.38 MiB 0.18 21192 -1 -1 8 2.76 -1 -1 49936 -1 -1 439 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 95624 22 19 5997 5608 1 3373 493 27 27 729 clb auto 56.4 MiB 0.83 26010 93.4 MiB 1.48 0.02 4.09896 -4333.95 -4.09896 4.09896 2.08 0.00629339 0.00546055 0.502301 0.431061 64 42933 32 1.94302e+07 1.04401e+07 2.78336e+06 3818.06 9.35 2.4628 2.14704 38741 14 11934 25098 2568830 556054 4.45956 4.45956 -5188.47 -4.45956 0 0 3.48985e+06 4787.17 1.30 0.75 0.330694 0.301739 3346 3116 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 33.77 vpr 111.20 MiB 0.18 21340 -1 -1 8 2.74 -1 -1 50724 -1 -1 448 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 113868 22 19 6055 5666 1 3405 502 27 27 729 clb auto 56.7 MiB 0.84 27721 93.6 MiB 1.57 0.02 4.09896 -4322.23 -4.09896 4.09896 2.08 0.00632305 0.0054769 0.51703 0.442088 66 44974 28 1.94302e+07 1.05486e+07 2.86480e+06 3929.76 19.22 3.03279 2.63877 40567 14 12034 25040 2503293 535649 4.57976 4.57976 -4909.26 -4.57976 0 0 3.57338e+06 4901.75 1.34 0.75 0.332936 0.303246 3385 3155 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 24.69 vpr 98.40 MiB 0.19 21920 -1 -1 8 2.98 -1 -1 51304 -1 -1 465 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 100764 22 19 6324 5918 1 3526 520 27 27 729 clb auto 58.4 MiB 0.89 27826 95.1 MiB 1.63 0.02 4.33936 -4575.48 -4.33936 4.33936 2.12 0.00653426 0.0056771 0.539204 0.461534 64 45627 31 1.94302e+07 1.11496e+07 2.78336e+06 3818.06 9.78 2.57078 2.23791 41054 14 12081 25941 2452985 538486 4.69996 4.69996 -5394.88 -4.69996 0 0 3.48985e+06 4787.17 1.30 0.76 0.347975 0.317877 3527 3284 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 25.97 vpr 95.29 MiB 0.19 22228 -1 -1 8 2.92 -1 -1 51664 -1 -1 466 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 97576 22 19 6382 5976 1 3558 521 27 27 729 clb auto 58.6 MiB 0.89 26617 95.3 MiB 1.57 0.02 4.09896 -4572.84 -4.09896 4.09896 2.14 0.00623874 0.00536077 0.51035 0.436447 62 46647 23 1.94302e+07 1.11616e+07 2.69830e+06 3701.37 11.13 2.80542 2.4455 39915 15 12689 26621 2427886 537307 4.45956 4.45956 -5309.8 -4.45956 0 0 3.33509e+06 4574.88 1.23 0.78 0.364022 0.331159 3566 3323 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 26.39 vpr 96.95 MiB 0.20 22508 -1 -1 8 2.95 -1 -1 51776 -1 -1 479 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 99276 22 19 6542 6119 1 3674 534 28 28 784 clb auto 59.4 MiB 0.92 28957 96.2 MiB 1.70 0.02 4.21916 -4719.1 -4.21916 4.21916 2.25 0.00645104 0.00557579 0.544419 0.463553 64 48727 35 2.18512e+07 1.13183e+07 2.96389e+06 3780.48 10.66 2.76682 2.41033 43867 15 13118 27665 2809506 608215 4.57976 4.57976 -5432.82 -4.57976 0 0 3.71765e+06 4741.90 1.42 0.87 0.384807 0.350594 3653 3398 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 28.88 vpr 97.49 MiB 0.20 22636 -1 -1 8 3.20 -1 -1 51328 -1 -1 485 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 99832 22 19 6600 6177 1 3704 540 28 28 784 clb auto 60.0 MiB 0.93 29059 96.6 MiB 1.68 0.02 4.09896 -4714.45 -4.09896 4.09896 2.25 0.00674776 0.00578641 0.531374 0.453663 62 51142 34 2.18512e+07 1.13907e+07 2.87318e+06 3664.77 13.18 3.5199 3.06374 42810 14 13268 28592 2555026 570451 4.45956 4.45956 -5483.35 -4.45956 0 0 3.55346e+06 4532.48 1.30 0.80 0.365091 0.332734 3692 3437 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 6.31 vpr 65.63 MiB 0.04 9496 -1 -1 10 0.49 -1 -1 39452 -1 -1 93 22 0 4 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67208 22 19 1149 1049 1 787 138 16 16 256 mult_36 auto 27.9 MiB 0.17 5428 65.6 MiB 0.15 0.00 11.5066 -400.76 -11.5066 11.5066 0.55 0.00094823 0.000815389 0.0560879 0.0482712 56 10648 22 6.2557e+06 2.70512e+06 803869. 3140.11 2.95 0.361318 0.316635 9505 19 4207 8811 952321 217601 12.5197 12.5197 -585.753 -12.5197 0 0 987003. 3855.48 0.28 0.20 0.0667636 0.0609237 715 658 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 13.06 vpr 66.62 MiB 0.05 9900 -1 -1 11 0.52 -1 -1 39676 -1 -1 106 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68216 22 19 1261 1144 1 879 152 16 16 256 mult_36 auto 28.9 MiB 0.18 5980 66.6 MiB 0.18 0.00 12.1744 -400.531 -12.1744 12.1744 0.55 0.00118957 0.00102084 0.064872 0.0563081 48 13147 43 6.2557e+06 3.25783e+06 714410. 2790.66 9.58 0.751562 0.65449 11230 20 5328 11015 1214514 287120 13.217 13.217 -565.421 -13.217 0 0 863353. 3372.47 0.25 0.27 0.0809144 0.0735962 790 727 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 9.00 vpr 67.14 MiB 0.05 9848 -1 -1 11 0.55 -1 -1 40432 -1 -1 112 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68752 22 19 1336 1219 1 929 158 16 16 256 mult_36 auto 29.6 MiB 0.19 6299 67.1 MiB 0.18 0.00 12.6552 -437.57 -12.6552 12.6552 0.54 0.00116935 0.000998495 0.0637731 0.0546172 54 12558 39 6.2557e+06 3.33016e+06 784202. 3063.29 5.49 0.632437 0.548843 10354 19 5020 10217 859675 205581 13.0158 13.0158 -593.511 -13.0158 0 0 965591. 3771.84 0.28 0.21 0.0786798 0.0715793 846 783 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 7.82 vpr 68.01 MiB 0.05 10200 -1 -1 11 0.60 -1 -1 40036 -1 -1 121 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69644 22 19 1446 1312 1 989 167 16 16 256 mult_36 auto 30.4 MiB 0.21 7117 68.0 MiB 0.18 0.00 12.508 -444.382 -12.508 12.508 0.54 0.00118078 0.000988933 0.0634776 0.0544013 56 14135 49 6.2557e+06 3.43866e+06 803869. 3140.11 4.06 0.560602 0.488628 12324 21 5535 11378 1281229 300761 13.4574 13.4574 -614.679 -13.4574 0 0 987003. 3855.48 0.28 0.29 0.0930746 0.0846241 919 848 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 9.53 vpr 68.12 MiB 0.05 10404 -1 -1 11 0.62 -1 -1 40272 -1 -1 128 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69756 22 19 1507 1373 1 1035 174 16 16 256 mult_36 auto 30.7 MiB 0.21 7234 68.1 MiB 0.21 0.00 13.3617 -484.476 -13.3617 13.3617 0.54 0.0012332 0.00104109 0.0709932 0.0607091 58 13859 39 6.2557e+06 3.52304e+06 820238. 3204.05 5.70 0.704156 0.612072 11938 19 5130 10798 1079692 250888 13.6779 13.6779 -675.477 -13.6779 0 0 1.02849e+06 4017.54 0.30 0.26 0.0912982 0.0830907 961 890 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 8.51 vpr 68.99 MiB 0.06 10720 -1 -1 11 0.69 -1 -1 40232 -1 -1 135 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70644 22 19 1596 1445 1 1100 182 16 16 256 clb mult_36 auto 31.4 MiB 0.23 7980 69.0 MiB 0.21 0.00 12.974 -515.706 -12.974 12.974 0.53 0.00138544 0.00117808 0.0700352 0.0596623 58 14804 31 6.2557e+06 4.00342e+06 820238. 3204.05 4.52 0.525272 0.457358 12748 18 5446 11675 1096222 257775 13.6952 13.6952 -730.594 -13.6952 0 0 1.02849e+06 4017.54 0.31 0.27 0.0982095 0.089573 1013 938 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 11.14 vpr 69.05 MiB 0.06 10816 -1 -1 11 0.70 -1 -1 40360 -1 -1 137 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70708 22 19 1656 1505 1 1146 184 16 16 256 clb mult_36 auto 31.5 MiB 0.23 8213 69.1 MiB 0.23 0.00 12.4739 -512.495 -12.4739 12.4739 0.54 0.00141468 0.00120496 0.077734 0.0666975 60 15383 43 6.2557e+06 4.02754e+06 838722. 3276.26 7.05 0.863775 0.747388 13125 20 6757 13987 1300868 303169 13.0749 13.0749 -740.108 -13.0749 0 0 1.04796e+06 4093.58 0.30 0.31 0.10682 0.0967644 1054 979 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 11.78 vpr 69.63 MiB 0.06 11116 -1 -1 12 0.77 -1 -1 40744 -1 -1 145 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71300 22 19 1754 1586 1 1213 192 17 17 289 clb auto 32.3 MiB 0.25 9557 69.6 MiB 0.27 0.01 13.2522 -521.407 -13.2522 13.2522 0.63 0.00157529 0.00132672 0.0933349 0.0807058 66 16270 42 6.55708e+06 4.12398e+06 1.04990e+06 3632.87 7.21 0.867624 0.755423 14555 18 6160 12580 1592196 347741 13.733 13.733 -730.371 -13.733 0 0 1.31094e+06 4536.12 0.39 0.36 0.106657 0.097004 1115 1035 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 12.75 vpr 69.93 MiB 0.07 11240 -1 -1 11 0.84 -1 -1 41864 -1 -1 154 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71604 22 19 1827 1659 1 1262 201 17 17 289 clb auto 32.6 MiB 0.26 9316 69.9 MiB 0.21 0.01 12.7265 -552.974 -12.7265 12.7265 0.63 0.00166179 0.00141742 0.0724771 0.0623174 62 18023 41 6.55708e+06 4.23247e+06 986792. 3414.50 8.23 0.98649 0.854406 14503 19 6358 12972 1220674 280125 13.4477 13.4477 -828.342 -13.4477 0 0 1.22151e+06 4226.69 0.36 0.30 0.111088 0.10099 1169 1089 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 12.47 vpr 70.56 MiB 0.07 11524 -1 -1 12 0.91 -1 -1 42220 -1 -1 157 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72256 22 19 1905 1720 1 1323 205 18 18 324 mult_36 auto 33.3 MiB 0.27 9429 70.6 MiB 0.33 0.01 13.1294 -575.408 -13.1294 13.1294 0.76 0.00186999 0.00161601 0.116842 0.100822 58 19319 47 7.67456e+06 4.66464e+06 1.07356e+06 3313.45 7.36 0.851732 0.739213 16090 19 7068 14559 1610091 356090 14.2015 14.2015 -796.788 -14.2015 0 0 1.34501e+06 4151.27 0.42 0.36 0.116845 0.106303 1210 1124 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 10.43 vpr 71.28 MiB 0.07 11724 -1 -1 12 0.93 -1 -1 40976 -1 -1 163 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72988 22 19 1979 1794 1 1362 211 18 18 324 mult_36 auto 33.8 MiB 0.28 9454 71.3 MiB 0.29 0.01 13.0118 -588.909 -13.0118 13.0118 0.75 0.00187967 0.00160902 0.104161 0.0900692 58 17920 48 7.67456e+06 4.73696e+06 1.07356e+06 3313.45 5.24 0.855043 0.744058 15869 25 6973 14964 1513929 358311 13.9342 13.9342 -876.796 -13.9342 0 0 1.34501e+06 4151.27 0.42 0.40 0.147616 0.132582 1265 1179 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 12.88 vpr 72.05 MiB 0.08 11996 -1 -1 12 0.96 -1 -1 42012 -1 -1 174 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73780 22 19 2073 1871 1 1415 222 18 18 324 clb mult_36 auto 34.7 MiB 0.30 10044 72.1 MiB 0.37 0.01 12.9211 -625.058 -12.9211 12.9211 0.75 0.00207621 0.00178162 0.131584 0.113874 64 18064 26 7.67456e+06 4.86957e+06 1.16663e+06 3600.72 7.49 0.945046 0.823065 16260 19 6739 14254 1381314 315710 13.8751 13.8751 -1016.89 -13.8751 0 0 1.46385e+06 4518.05 0.44 0.35 0.133917 0.121688 1322 1232 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 14.09 vpr 72.46 MiB 0.08 12100 -1 -1 12 1.06 -1 -1 41812 -1 -1 180 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74196 22 19 2130 1928 1 1466 228 18 18 324 clb mult_36 auto 35.0 MiB 0.30 10717 72.5 MiB 0.32 0.01 13.4992 -644.153 -13.4992 13.4992 0.77 0.00210714 0.00183025 0.113795 0.0986102 62 20971 46 7.67456e+06 4.9419e+06 1.13028e+06 3488.51 8.62 1.12985 0.97953 17059 22 8126 16772 1538742 351070 14.2204 14.2204 -979.308 -14.2204 0 0 1.39838e+06 4315.99 0.42 0.39 0.146585 0.132504 1360 1270 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 14.98 vpr 72.80 MiB 0.08 12400 -1 -1 12 1.11 -1 -1 42332 -1 -1 187 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74544 22 19 2238 2019 1 1561 236 18 18 324 clb mult_36 auto 35.4 MiB 0.31 11864 72.8 MiB 0.35 0.01 13.2226 -649.211 -13.2226 13.2226 0.74 0.00204398 0.00171089 0.116863 0.100509 64 22511 45 7.67456e+06 5.42228e+06 1.16663e+06 3600.72 9.33 1.23173 1.0678 19266 19 8188 17143 1852358 406747 14.1842 14.1842 -995.973 -14.1842 0 0 1.46385e+06 4518.05 0.45 0.43 0.140038 0.126825 1431 1323 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 15.24 vpr 73.34 MiB 0.08 12532 -1 -1 12 1.12 -1 -1 41844 -1 -1 195 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75100 22 19 2299 2080 1 1608 244 19 19 361 clb auto 35.9 MiB 0.34 11471 73.3 MiB 0.36 0.01 13.5344 -686.598 -13.5344 13.5344 0.87 0.0021266 0.00181415 0.122375 0.105626 64 21041 33 8.02416e+06 5.51872e+06 1.31179e+06 3633.76 9.19 1.09819 0.9515 18643 20 7873 16567 1658354 359154 14.3758 14.3758 -933.12 -14.3758 0 0 1.64578e+06 4558.95 0.53 0.42 0.149495 0.134878 1473 1365 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 15.45 vpr 74.11 MiB 0.09 12856 -1 -1 12 1.25 -1 -1 41816 -1 -1 198 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75888 22 19 2400 2164 1 1689 248 22 22 484 mult_36 auto 36.8 MiB 0.34 13269 74.1 MiB 0.44 0.01 12.9328 -629.023 -12.9328 12.9328 1.25 0.00228993 0.00196366 0.146236 0.125859 64 24243 26 1.25085e+07 5.95089e+06 1.79645e+06 3711.66 7.93 1.00879 0.873962 21443 21 9299 19015 2515172 551806 13.654 13.654 -935.847 -13.654 0 0 2.25323e+06 4655.43 0.77 0.57 0.1604 0.144617 1537 1415 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 19.43 vpr 74.03 MiB 0.09 13036 -1 -1 12 1.24 -1 -1 42140 -1 -1 209 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75804 22 19 2474 2238 1 1711 259 22 22 484 mult_36 auto 36.6 MiB 0.35 12985 74.0 MiB 0.39 0.01 12.9822 -680.158 -12.9822 12.9822 1.25 0.00225559 0.0019532 0.133954 0.116102 56 26170 49 1.25085e+07 6.0835e+06 1.62053e+06 3348.21 12.08 1.14949 0.990526 22592 24 10077 20763 2382902 551260 13.8236 13.8236 -1181.82 -13.8236 0 0 1.98725e+06 4105.89 0.65 0.56 0.180642 0.162056 1592 1470 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 20.33 vpr 75.18 MiB 0.09 13240 -1 -1 12 1.37 -1 -1 43700 -1 -1 218 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76980 22 19 2603 2350 1 1810 268 22 22 484 mult_36 auto 38.0 MiB 0.37 14194 75.2 MiB 0.49 0.01 13.0755 -683.197 -13.0755 13.0755 1.26 0.00253674 0.00219573 0.163236 0.140808 66 24745 30 1.25085e+07 6.19199e+06 1.84972e+06 3821.73 12.52 1.26368 1.09334 22105 18 8937 18182 2491267 549834 14.4934 14.4934 -1069.31 -14.4934 0 0 2.30827e+06 4769.15 0.78 0.57 0.16257 0.147751 1684 1549 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 20.36 vpr 75.85 MiB 0.10 13312 -1 -1 12 1.36 -1 -1 43884 -1 -1 235 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77668 22 19 2694 2441 1 1869 285 22 22 484 mult_36 auto 38.5 MiB 0.38 14205 75.8 MiB 0.53 0.01 13.1834 -754.156 -13.1834 13.1834 1.26 0.0025527 0.00220521 0.172459 0.148592 62 27290 37 1.25085e+07 6.39692e+06 1.74100e+06 3597.11 12.61 1.48059 1.27377 22477 19 10815 22944 1937595 437863 14.4638 14.4638 -1176.16 -14.4638 0 0 2.15309e+06 4448.52 0.70 0.49 0.173245 0.155325 1756 1621 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 45.99 vpr 76.49 MiB 0.10 13868 -1 -1 13 1.48 -1 -1 42980 -1 -1 238 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78324 22 19 2787 2517 1 1950 289 22 22 484 mult_36 auto 39.0 MiB 0.40 14105 76.5 MiB 0.52 0.01 13.6719 -734.14 -13.6719 13.6719 1.28 0.00262811 0.00225059 0.172374 0.148806 60 25916 28 1.25085e+07 6.82909e+06 1.69059e+06 3492.95 38.00 1.76657 1.51981 22979 19 10024 21074 1973224 449557 14.744 14.744 -1327.9 -14.744 0 0 2.10969e+06 4358.87 0.71 0.50 0.180139 0.162343 1812 1664 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 15.79 vpr 76.58 MiB 0.11 13960 -1 -1 13 1.50 -1 -1 44516 -1 -1 240 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78420 22 19 2834 2564 1 1962 291 22 22 484 mult_36 auto 39.4 MiB 0.40 14911 76.6 MiB 0.57 0.01 14.1109 -818.559 -14.1109 14.1109 1.25 0.00306438 0.00267225 0.190839 0.16486 60 27019 35 1.25085e+07 6.8532e+06 1.69059e+06 3492.95 7.68 1.08654 0.942248 23898 20 10019 20716 2197846 481820 15.2737 15.2737 -1186.03 -15.2737 0 0 2.10969e+06 4358.87 0.74 0.55 0.186437 0.168108 1840 1692 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 22.03 vpr 77.18 MiB 0.11 14280 -1 -1 13 1.51 -1 -1 44604 -1 -1 248 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79036 22 19 2941 2654 1 2054 299 22 22 484 mult_36 auto 40.0 MiB 0.42 15851 77.2 MiB 0.59 0.01 13.4122 -830.237 -13.4122 13.4122 1.25 0.00276637 0.00239326 0.195239 0.167785 66 28049 36 1.25085e+07 6.94964e+06 1.84972e+06 3821.73 13.74 1.69005 1.45575 25107 19 10205 21501 2307934 503770 14.827 14.827 -1306.76 -14.827 0 0 2.30827e+06 4769.15 0.79 0.58 0.192993 0.174285 1910 1750 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 17.43 vpr 77.60 MiB 0.11 14592 -1 -1 13 1.61 -1 -1 44668 -1 -1 255 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79464 22 19 3011 2724 1 2092 306 22 22 484 mult_36 auto 40.8 MiB 0.43 15840 77.6 MiB 0.61 0.01 13.4192 -783.439 -13.4192 13.4192 1.25 0.00289604 0.00249483 0.198937 0.171314 62 29903 37 1.25085e+07 7.03402e+06 1.74100e+06 3597.11 8.99 1.3561 1.17267 24284 21 10864 22487 2092449 475124 14.5036 14.5036 -1235.31 -14.5036 0 0 2.15309e+06 4448.52 0.74 0.56 0.206441 0.185291 1961 1801 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 19.75 vpr 78.62 MiB 0.12 14648 -1 -1 13 1.74 -1 -1 43300 -1 -1 267 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80508 22 19 3132 2828 1 2184 319 24 24 576 mult_36 auto 41.5 MiB 0.45 16858 78.6 MiB 0.65 0.01 14.062 -862.916 -14.062 14.062 1.52 0.00316849 0.00273519 0.21384 0.18389 62 31839 40 1.51154e+07 7.57468e+06 2.06880e+06 3591.66 10.24 1.42371 1.22891 26689 25 11586 23554 2417582 543214 14.5358 14.5358 -1360.97 -14.5358 0 0 2.55996e+06 4444.37 0.89 0.66 0.241673 0.215933 2045 1872 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 70.74 vpr 78.61 MiB 0.12 14960 -1 -1 13 1.79 -1 -1 43584 -1 -1 265 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80496 22 19 3159 2855 1 2196 317 24 24 576 mult_36 auto 41.7 MiB 0.45 16523 78.6 MiB 0.64 0.01 14.7832 -897.509 -14.7832 14.7832 1.52 0.00338476 0.00292426 0.213499 0.183475 60 30079 45 1.51154e+07 7.55058e+06 2.00908e+06 3487.99 61.32 2.15301 1.84444 26096 21 11183 22843 2302671 506198 15.1438 15.1438 -1316.08 -15.1438 0 0 2.50809e+06 4354.32 0.88 0.59 0.210411 0.189344 2053 1880 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 25.97 vpr 79.55 MiB 0.12 15096 -1 -1 13 1.89 -1 -1 44000 -1 -1 277 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81460 22 19 3284 2963 1 2301 329 24 24 576 mult_36 auto 42.4 MiB 0.47 17411 79.3 MiB 0.72 0.01 14.9523 -919.03 -14.9523 14.9523 1.55 0.00372016 0.00309355 0.23776 0.203949 66 31087 49 1.51154e+07 7.69524e+06 2.19797e+06 3815.93 16.02 1.88822 1.62825 27825 20 10860 21991 2766756 585428 16.0341 16.0341 -1273.86 -16.0341 0 0 2.74415e+06 4764.15 0.98 0.68 0.225733 0.20355 2141 1957 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 31.88 vpr 79.82 MiB 0.12 15224 -1 -1 13 1.86 -1 -1 45604 -1 -1 285 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81736 22 19 3343 3022 1 2312 337 24 24 576 mult_36 auto 43.0 MiB 0.48 18635 79.8 MiB 0.78 0.01 14.0228 -960.456 -14.0228 14.0228 1.57 0.00353732 0.00300396 0.249052 0.213848 70 32205 28 1.51154e+07 7.79168e+06 2.31032e+06 4010.97 21.76 2.10055 1.80948 29298 21 11322 24227 2778160 585269 14.9844 14.9844 -1424.48 -14.9844 0 0 2.90211e+06 5038.38 1.04 0.70 0.240086 0.216324 2181 1997 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 19.74 vpr 80.39 MiB 0.13 15672 -1 -1 13 2.06 -1 -1 45652 -1 -1 297 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82324 22 19 3448 3110 1 2410 350 24 24 576 mult_36 auto 43.5 MiB 0.50 18533 80.4 MiB 0.71 0.01 14.1374 -977.746 -14.1374 14.1374 1.55 0.00367916 0.00318381 0.22667 0.195848 64 32716 28 1.51154e+07 8.33234e+06 2.13454e+06 3705.80 9.54 1.30359 1.12588 29266 20 11904 24716 2676586 589890 15.205 15.205 -1725.37 -15.205 0 0 2.67880e+06 4650.70 0.96 0.70 0.241345 0.2179 2249 2054 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 21.13 vpr 80.65 MiB 0.13 15776 -1 -1 13 2.07 -1 -1 44528 -1 -1 296 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82588 22 19 3510 3172 1 2428 349 24 24 576 mult_36 auto 43.7 MiB 0.50 18430 80.7 MiB 0.77 0.01 14.251 -1022.1 -14.251 14.251 1.54 0.00365676 0.00308726 0.248468 0.213731 64 33394 45 1.51154e+07 8.32028e+06 2.13454e+06 3705.80 10.75 1.46823 1.26622 29541 19 12843 27325 2940807 617115 14.9722 14.9722 -1647.72 -14.9722 0 0 2.67880e+06 4650.70 0.97 0.72 0.236679 0.212515 2292 2097 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 20.96 vpr 81.16 MiB 0.13 16100 -1 -1 13 2.13 -1 -1 42508 -1 -1 307 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83112 22 19 3598 3243 1 2500 360 24 24 576 mult_36 auto 44.4 MiB 0.52 19199 81.2 MiB 0.81 0.01 14.6136 -1008.8 -14.6136 14.6136 1.54 0.00387395 0.0033215 0.258367 0.222372 64 34193 32 1.51154e+07 8.45288e+06 2.13454e+06 3705.80 10.56 1.66023 1.43372 30533 19 11825 24574 2549570 560078 15.5752 15.5752 -1607.58 -15.5752 0 0 2.67880e+06 4650.70 0.96 0.67 0.243366 0.218925 2343 2138 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 21.79 vpr 82.11 MiB 0.13 16080 -1 -1 13 2.29 -1 -1 43048 -1 -1 317 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84076 22 19 3689 3334 1 2572 370 24 24 576 mult_36 auto 45.4 MiB 0.53 19868 82.1 MiB 0.79 0.01 14.2954 -1052.89 -14.2954 14.2954 1.55 0.00390483 0.00339754 0.255323 0.22065 66 34607 32 1.51154e+07 8.57344e+06 2.19797e+06 3815.93 11.20 1.67893 1.44756 30377 22 12178 25557 2595247 595557 15.1368 15.1368 -1637.31 -15.1368 0 0 2.74415e+06 4764.15 0.95 0.72 0.275641 0.2471 2415 2210 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 27.56 vpr 82.85 MiB 0.14 16332 -1 -1 13 2.36 -1 -1 46568 -1 -1 321 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84836 22 19 3763 3391 1 2638 375 24 24 576 mult_36 auto 45.4 MiB 0.54 20659 82.4 MiB 0.81 0.01 14.3024 -1034.08 -14.3024 14.3024 1.59 0.00393237 0.00340504 0.264037 0.22786 68 35601 30 1.51154e+07 9.01766e+06 2.25030e+06 3906.77 16.65 2.23103 1.91085 31840 20 12509 26071 2729490 592742 15.264 15.264 -1458.6 -15.264 0 0 2.80126e+06 4863.30 0.99 0.73 0.270211 0.242951 2452 2234 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 20.30 vpr 83.09 MiB 0.14 16456 -1 -1 13 2.36 -1 -1 46276 -1 -1 323 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85088 22 19 3845 3473 1 2666 377 24 24 576 mult_36 auto 46.0 MiB 0.55 19685 83.1 MiB 0.90 0.01 14.0646 -1129.8 -14.0646 14.0646 1.57 0.00411642 0.00350356 0.282961 0.242501 64 35296 45 1.51154e+07 9.04176e+06 2.13454e+06 3705.80 9.36 1.63073 1.39677 31325 20 13952 29673 2768575 612806 14.7858 14.7858 -1903.74 -14.7858 0 0 2.67880e+06 4650.70 0.96 0.73 0.266828 0.238246 2515 2297 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 24.51 vpr 84.21 MiB 0.15 16888 -1 -1 13 2.43 -1 -1 47004 -1 -1 337 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86236 22 19 3983 3594 1 2790 391 24 24 576 clb mult_36 auto 47.0 MiB 0.57 21439 84.2 MiB 0.94 0.01 14.2948 -1125.66 -14.2948 14.2948 1.54 0.00423491 0.00368703 0.300822 0.258251 66 38263 37 1.51154e+07 9.21054e+06 2.19797e+06 3815.93 13.22 1.92087 1.6502 33593 20 13909 30141 3140684 671787 15.2564 15.2564 -1827.8 -15.2564 0 0 2.74415e+06 4764.15 0.99 0.82 0.285343 0.256178 2616 2386 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 30.06 vpr 85.20 MiB 0.16 17024 -1 -1 13 2.52 -1 -1 47260 -1 -1 341 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87248 22 19 4025 3636 1 2807 395 24 24 576 clb mult_36 auto 47.2 MiB 0.57 21608 84.1 MiB 0.92 0.02 14.1822 -1198.24 -14.1822 14.1822 1.54 0.00425212 0.00359241 0.286021 0.245862 68 36744 28 1.51154e+07 9.25876e+06 2.25030e+06 3906.77 18.71 2.39514 2.05667 33201 19 14031 29354 3035231 661762 14.9034 14.9034 -1804.29 -14.9034 0 0 2.80126e+06 4863.30 0.99 0.78 0.275893 0.248126 2639 2409 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 32.12 vpr 88.32 MiB 0.16 17292 -1 -1 13 2.70 -1 -1 47276 -1 -1 355 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90440 22 19 4164 3758 1 2908 410 25 25 625 clb auto 48.1 MiB 0.60 22498 85.3 MiB 0.96 0.01 14.4618 -1212.45 -14.4618 14.4618 1.70 0.00429771 0.00376051 0.303525 0.261737 66 40236 41 1.55855e+07 9.82352e+06 2.39749e+06 3835.99 20.03 2.61199 2.24637 35094 20 14494 30565 3239592 687323 15.264 15.264 -2015.07 -15.264 0 0 2.99279e+06 4788.46 1.08 0.84 0.295255 0.265369 2741 2498 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 30.86 vpr 88.02 MiB 0.16 17464 -1 -1 13 2.62 -1 -1 43640 -1 -1 356 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90128 22 19 4190 3784 1 2926 411 25 25 625 clb auto 48.5 MiB 0.60 22223 85.5 MiB 1.02 0.02 14.2403 -1225.81 -14.2403 14.2403 1.71 0.00461575 0.00404188 0.322844 0.278578 68 37122 36 1.55855e+07 9.83558e+06 2.45448e+06 3927.17 18.72 2.56104 2.20143 33891 18 13765 29146 2724982 604804 15.345 15.345 -1966.78 -15.345 0 0 3.05480e+06 4887.69 1.12 0.74 0.281329 0.253205 2748 2505 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 23.03 vpr 85.83 MiB 0.17 17748 -1 -1 13 2.76 -1 -1 47288 -1 -1 366 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87892 22 19 4305 3882 1 2980 421 25 25 625 clb auto 49.0 MiB 0.62 22827 85.8 MiB 1.05 0.02 13.9392 -1206.26 -13.9392 13.9392 1.69 0.00461422 0.00399519 0.326943 0.281453 66 38887 31 1.55855e+07 9.95613e+06 2.39749e+06 3835.99 10.66 1.73561 1.49189 35081 20 14588 31381 2972485 656664 14.8739 14.8739 -1847.37 -14.8739 0 0 2.99279e+06 4788.46 1.09 0.80 0.299363 0.267484 2826 2571 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 37.48 vpr 90.64 MiB 0.17 17940 -1 -1 13 2.86 -1 -1 47440 -1 -1 370 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92812 22 19 4363 3940 1 3039 425 25 25 625 clb auto 49.8 MiB 0.63 25107 86.4 MiB 1.01 0.02 14.7639 -1280.25 -14.7639 14.7639 1.70 0.004781 0.00412725 0.320295 0.276305 70 41730 49 1.55855e+07 1.00044e+07 2.52006e+06 4032.10 24.93 3.42507 2.98757 37562 18 14329 30425 3574235 737835 15.784 15.784 -1977.03 -15.784 0 0 3.16512e+06 5064.19 1.13 0.86 0.283861 0.255197 2865 2610 -1 -1 -1 -1 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 5.58 vpr 70.48 MiB 0.05 10784 -1 -1 1 0.18 -1 -1 40000 -1 -1 125 22 0 4 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72168 22 19 1974 1653 1 1039 170 16 16 256 mult_36 auto 32.9 MiB 0.31 6376 70.5 MiB 0.20 0.00 3.88056 -1110.39 -3.88056 3.88056 0.55 0.00114828 0.000955419 0.0655209 0.0556856 44 12129 25 6.32612e+06 3.15375e+06 649498. 2537.10 2.30 0.434478 0.374052 9365 15 3310 4599 598694 157992 4.24116 4.24116 -1256.34 -4.24116 0 0 820238. 3204.05 0.24 0.16 0.0692312 0.0627949 955 649 247 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 6.50 vpr 71.17 MiB 0.05 11052 -1 -1 1 0.21 -1 -1 40344 -1 -1 134 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72876 22 19 2144 1789 1 1138 180 16 16 256 clb mult_36 auto 33.9 MiB 0.34 7086 71.2 MiB 0.31 0.00 3.88056 -1215.2 -3.88056 3.88056 0.54 0.00150209 0.0012775 0.101302 0.0856612 48 12857 41 6.32612e+06 3.66277e+06 714410. 2790.66 2.96 0.556761 0.480108 10297 14 3643 4438 668583 170992 4.24116 4.24116 -1377.38 -4.24116 0 0 863353. 3372.47 0.26 0.18 0.0772495 0.0705367 1035 704 266 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 7.20 vpr 71.51 MiB 0.06 11248 -1 -1 1 0.20 -1 -1 40408 -1 -1 139 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73228 22 19 2218 1846 1 1177 185 16 16 256 clb mult_36 auto 33.9 MiB 0.34 7663 71.5 MiB 0.28 0.00 3.88056 -1228.46 -3.88056 3.88056 0.54 0.00132756 0.0011146 0.0914099 0.0773686 46 14246 44 6.32612e+06 3.72556e+06 684529. 2673.94 3.68 0.581076 0.497995 10700 16 3783 4807 658217 166607 4.24116 4.24116 -1371.34 -4.24116 0 0 838722. 3276.26 0.25 0.19 0.0870381 0.07906 1073 723 285 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 11.97 vpr 73.41 MiB 0.06 12048 -1 -1 1 0.23 -1 -1 40884 -1 -1 159 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75168 22 19 2536 2130 1 1298 205 17 17 289 clb auto 36.1 MiB 0.39 8263 73.4 MiB 0.38 0.01 3.88056 -1426.76 -3.88056 3.88056 0.63 0.00179262 0.00153033 0.124474 0.104889 46 15535 33 6.64007e+06 3.97672e+06 782063. 2706.10 7.94 0.911491 0.776765 11742 17 4164 5119 729253 179812 4.36136 4.36136 -1673.16 -4.36136 0 0 958460. 3316.47 0.28 0.21 0.0986407 0.089554 1228 851 304 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 7.30 vpr 73.75 MiB 0.07 12204 -1 -1 1 0.26 -1 -1 41456 -1 -1 165 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75520 22 19 2610 2187 1 1336 211 17 17 289 clb auto 36.5 MiB 0.38 8699 73.8 MiB 0.40 0.01 3.88056 -1410.63 -3.88056 3.88056 0.63 0.00177883 0.00149998 0.132988 0.112421 48 14544 20 6.64007e+06 4.05207e+06 816265. 2824.45 3.19 0.631599 0.54196 12139 16 4114 5062 737038 178653 4.24116 4.24116 -1651.07 -4.24116 0 0 986792. 3414.50 0.30 0.22 0.10163 0.0921541 1266 870 323 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 6.82 vpr 75.04 MiB 0.08 12392 -1 -1 1 0.26 -1 -1 41416 -1 -1 174 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76844 22 19 2778 2321 1 1434 221 18 18 324 clb auto 37.7 MiB 0.44 8654 75.0 MiB 0.39 0.01 3.88056 -1510.28 -3.88056 3.88056 0.75 0.00190558 0.00162101 0.127488 0.107776 46 14921 22 7.77114e+06 4.56109e+06 895831. 2764.91 2.27 0.527181 0.452347 12374 17 4242 5316 738748 181953 4.36136 4.36136 -1741.85 -4.36136 0 0 1.09776e+06 3388.15 0.33 0.22 0.112469 0.101498 1344 925 342 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 8.60 vpr 75.46 MiB 0.08 12836 -1 -1 1 0.28 -1 -1 41612 -1 -1 178 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77272 22 19 2852 2378 1 1479 225 18 18 324 clb auto 38.2 MiB 0.47 9082 75.5 MiB 0.43 0.01 3.76036 -1533.85 -3.76036 3.76036 0.75 0.00196364 0.00166401 0.140426 0.119224 48 15523 34 7.77114e+06 4.61132e+06 935225. 2886.50 3.91 0.751843 0.644362 13216 18 4677 5971 778689 195114 4.48156 4.48156 -1764.84 -4.48156 0 0 1.13028e+06 3488.51 0.35 0.24 0.121111 0.109087 1382 944 361 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 8.31 vpr 76.35 MiB 0.08 13044 -1 -1 1 0.31 -1 -1 42608 -1 -1 190 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78180 22 19 3057 2549 1 1586 237 18 18 324 clb auto 39.1 MiB 0.49 10257 76.3 MiB 0.50 0.01 3.88056 -1649.3 -3.88056 3.88056 0.76 0.00203124 0.00171427 0.167645 0.142369 46 18103 42 7.77114e+06 4.76202e+06 895831. 2764.91 3.42 0.71534 0.615266 14084 14 4860 6166 856726 208924 4.36136 4.36136 -1960.82 -4.36136 0 0 1.09776e+06 3388.15 0.33 0.25 0.115537 0.104919 1479 1017 380 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 10.87 vpr 76.36 MiB 0.08 13148 -1 -1 1 0.32 -1 -1 41260 -1 -1 196 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78196 22 19 3131 2606 1 1626 243 19 19 361 clb auto 39.2 MiB 0.49 10411 76.4 MiB 0.54 0.01 4.12096 -1779.38 -4.12096 4.12096 0.88 0.00225284 0.00193448 0.177343 0.15151 48 18192 50 8.13532e+06 4.83737e+06 1.05176e+06 2913.46 5.56 0.945464 0.813508 14840 18 5184 6552 883928 206405 4.24116 4.24116 -2003.36 -4.24116 0 0 1.27108e+06 3521.00 0.41 0.27 0.132697 0.119278 1517 1036 399 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 10.17 vpr 77.88 MiB 0.09 13620 -1 -1 1 0.31 -1 -1 42188 -1 -1 206 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79744 22 19 3301 2742 1 1720 254 19 19 361 clb auto 40.5 MiB 0.49 12014 77.9 MiB 0.56 0.01 4.00076 -1864.12 -4.00076 4.00076 0.85 0.00237927 0.00202727 0.178418 0.151471 50 20274 48 8.13532e+06 5.35895e+06 1.09718e+06 3039.29 4.81 0.963397 0.824233 16348 15 5598 6962 1081292 256081 4.36136 4.36136 -2150.41 -4.36136 0 0 1.31179e+06 3633.76 0.40 0.29 0.129925 0.117612 1597 1091 418 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 9.49 vpr 78.45 MiB 0.09 13776 -1 -1 1 0.32 -1 -1 42548 -1 -1 211 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80332 22 19 3375 2799 1 1765 259 19 19 361 clb auto 41.2 MiB 0.49 11939 78.4 MiB 0.56 0.01 3.88056 -1887.56 -3.88056 3.88056 0.86 0.00210768 0.00176171 0.180226 0.153518 50 19745 35 8.13532e+06 5.42174e+06 1.09718e+06 3039.29 3.97 0.915007 0.781894 16361 16 5321 6699 912498 224326 4.36136 4.36136 -2171.3 -4.36136 0 0 1.31179e+06 3633.76 0.41 0.29 0.14178 0.128304 1635 1110 437 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 14.15 vpr 79.33 MiB 0.09 14240 -1 -1 1 0.36 -1 -1 42912 -1 -1 225 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81232 22 19 3615 3005 1 1878 273 20 20 400 clb auto 42.4 MiB 0.55 13201 79.3 MiB 0.60 0.01 4.12096 -2092.46 -4.12096 4.12096 0.98 0.00256074 0.00216268 0.191211 0.163217 50 23306 43 1.10386e+07 5.59755e+06 1.21483e+06 3037.08 8.21 1.21486 1.04203 18416 14 5765 7188 1052320 238197 4.60176 4.60176 -2370.9 -4.60176 0 0 1.45344e+06 3633.59 0.46 0.30 0.140133 0.127063 1749 1201 456 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 10.26 vpr 79.86 MiB 0.10 14316 -1 -1 1 0.35 -1 -1 42368 -1 -1 230 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81780 22 19 3689 3062 1 1918 278 20 20 400 clb auto 42.7 MiB 0.54 13069 79.9 MiB 0.62 0.01 4.00076 -2129.25 -4.00076 4.00076 1.00 0.00278442 0.00239399 0.195237 0.16711 48 24460 44 1.10386e+07 5.66034e+06 1.16517e+06 2912.92 4.20 0.917072 0.789549 18646 16 6173 7507 1169540 276130 4.36136 4.36136 -2480.25 -4.36136 0 0 1.40818e+06 3520.44 0.45 0.33 0.147834 0.133511 1787 1220 475 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 15.69 vpr 81.33 MiB 0.10 14852 -1 -1 1 0.42 -1 -1 42428 -1 -1 242 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83280 22 19 3871 3210 1 2023 291 21 21 441 clb auto 44.2 MiB 0.57 13752 81.3 MiB 0.73 0.01 4.24116 -2237.34 -4.24116 4.24116 1.16 0.00284121 0.00239964 0.238442 0.204357 52 24161 36 1.14404e+07 6.20704e+06 1.38344e+06 3137.06 8.99 1.28074 1.09853 19128 14 6177 8037 1217312 276071 4.48156 4.48156 -2601.49 -4.48156 0 0 1.70223e+06 3859.94 0.56 0.33 0.144348 0.131023 1879 1275 494 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 16.11 vpr 81.48 MiB 0.10 14772 -1 -1 1 0.42 -1 -1 42936 -1 -1 247 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83436 22 19 3945 3267 1 2070 296 21 21 441 clb auto 44.6 MiB 0.57 15442 81.5 MiB 0.86 0.01 3.88056 -2340.52 -3.88056 3.88056 1.12 0.00287303 0.00246983 0.266513 0.227163 54 25187 48 1.14404e+07 6.26983e+06 1.42068e+06 3221.50 9.22 1.41068 1.21122 20607 18 6409 8214 1158004 263654 4.24116 4.24116 -2648.15 -4.24116 0 0 1.74754e+06 3962.68 0.59 0.36 0.175567 0.157987 1917 1294 513 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 17.33 vpr 83.11 MiB 0.11 15332 -1 -1 1 0.44 -1 -1 44440 -1 -1 260 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85108 22 19 4159 3447 1 2186 310 22 22 484 mult_36 auto 46.2 MiB 0.61 15444 83.1 MiB 0.79 0.01 3.88056 -2281.89 -3.88056 3.88056 1.27 0.00292485 0.00249503 0.252542 0.216297 48 27803 46 1.26594e+07 6.82908e+06 1.44011e+06 2975.42 10.06 1.27936 1.0944 22001 17 7217 8987 1548029 347110 4.36136 4.36136 -2827.83 -4.36136 0 0 1.74100e+06 3597.11 0.58 0.41 0.174216 0.156654 2023 1367 532 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 13.32 vpr 82.95 MiB 0.11 15356 -1 -1 1 0.47 -1 -1 43216 -1 -1 265 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84944 22 19 4233 3504 1 2225 315 22 22 484 mult_36 auto 46.0 MiB 0.64 14618 83.0 MiB 0.86 0.01 3.76036 -2257.55 -3.76036 3.76036 1.26 0.00319131 0.00273257 0.268997 0.229834 48 26866 41 1.26594e+07 6.89187e+06 1.44011e+06 2975.42 5.88 1.10993 0.953825 20991 16 7022 8896 1338335 310803 4.36136 4.36136 -2702.5 -4.36136 0 0 1.74100e+06 3597.11 0.60 0.39 0.180197 0.162818 2061 1386 551 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 13.81 vpr 84.37 MiB 0.11 15656 -1 -1 1 0.47 -1 -1 44692 -1 -1 276 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86396 22 19 4410 3647 1 2335 326 22 22 484 clb mult_36 auto 47.4 MiB 0.66 15940 84.4 MiB 0.86 0.01 3.88056 -2480.64 -3.88056 3.88056 1.30 0.0031642 0.00271352 0.272355 0.233865 50 26069 36 1.26594e+07 7.03001e+06 1.50222e+06 3103.76 6.20 1.31077 1.12838 21808 16 7249 9423 1437638 324802 4.36136 4.36136 -2915.53 -4.36136 0 0 1.79645e+06 3711.66 0.60 0.41 0.181448 0.163716 2148 1441 570 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 17.73 vpr 84.34 MiB 0.11 15832 -1 -1 1 0.51 -1 -1 44948 -1 -1 280 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86364 22 19 4484 3704 1 2374 330 22 22 484 clb mult_36 auto 47.7 MiB 0.70 17938 84.3 MiB 0.87 0.01 4.00076 -2495.26 -4.00076 4.00076 1.30 0.00356667 0.00309655 0.280853 0.241643 52 29965 36 1.26594e+07 7.08024e+06 1.53957e+06 3180.94 9.94 1.57072 1.35583 24067 16 7320 9079 1592671 363032 4.48156 4.48156 -3000.01 -4.48156 0 0 1.89336e+06 3911.90 0.65 0.44 0.188866 0.170773 2186 1460 589 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 20.63 vpr 86.29 MiB 0.13 16904 -1 -1 1 0.50 -1 -1 45412 -1 -1 304 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88356 22 19 4843 4029 1 2501 355 23 23 529 clb auto 49.6 MiB 0.72 17565 86.3 MiB 1.08 0.01 4.00076 -2788.19 -4.00076 4.00076 1.42 0.00365586 0.00313031 0.328958 0.281489 52 30913 44 1.31115e+07 7.77763e+06 1.69338e+06 3201.10 12.04 2.0245 1.73807 24066 17 7525 9347 1623899 383586 4.36136 4.36136 -3115.53 -4.36136 0 0 2.08190e+06 3935.53 0.75 0.47 0.213774 0.192737 2364 1606 608 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 14.21 vpr 86.52 MiB 0.14 16984 -1 -1 1 0.55 -1 -1 45504 -1 -1 309 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88592 22 19 4917 4086 1 2542 360 23 23 529 clb auto 49.6 MiB 0.71 17605 86.5 MiB 1.02 0.01 4.24116 -2796.64 -4.24116 4.24116 1.44 0.00389491 0.00336668 0.308656 0.26376 50 29896 40 1.31115e+07 7.84042e+06 1.65241e+06 3123.66 5.76 1.3978 1.20223 24217 15 7900 9808 1474593 340976 4.36136 4.36136 -3222.44 -4.36136 0 0 1.97533e+06 3734.07 0.68 0.43 0.202829 0.183457 2402 1625 627 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 22.17 vpr 87.66 MiB 0.14 17432 -1 -1 1 0.62 -1 -1 45700 -1 -1 320 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89764 22 19 5093 4228 1 2643 371 23 23 529 clb auto 50.9 MiB 0.76 19465 87.7 MiB 1.11 0.01 4.12096 -2949.19 -4.12096 4.12096 1.43 0.00396265 0.00343222 0.343719 0.295192 54 31668 32 1.31115e+07 7.97856e+06 1.73850e+06 3286.39 13.41 2.036 1.74969 26134 14 7609 9407 1396280 315891 4.60176 4.60176 -3285.89 -4.60176 0 0 2.13727e+06 4040.20 0.74 0.43 0.207068 0.187572 2488 1680 646 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 15.90 vpr 88.20 MiB 0.17 17472 -1 -1 1 0.62 -1 -1 45648 -1 -1 324 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90320 22 19 5167 4285 1 2691 375 23 23 529 clb auto 51.5 MiB 0.78 18164 88.2 MiB 1.17 0.01 3.88056 -2903.12 -3.88056 3.88056 1.44 0.00403666 0.00347038 0.359487 0.308191 54 30712 33 1.31115e+07 8.02879e+06 1.73850e+06 3286.39 6.89 1.57961 1.35729 24724 16 8295 10444 1538657 376163 4.24116 4.24116 -3426.72 -4.24116 0 0 2.13727e+06 4040.20 0.76 0.48 0.228436 0.20644 2526 1699 665 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 39.74 vpr 89.05 MiB 0.17 18120 -1 -1 1 0.61 -1 -1 46008 -1 -1 337 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 91192 22 19 5380 4464 1 2808 389 24 24 576 clb mult_36 auto 52.5 MiB 0.83 19292 89.1 MiB 1.20 0.02 4.12096 -3140.51 -4.12096 4.12096 1.60 0.00433582 0.00371847 0.373423 0.321374 48 35096 44 1.52924e+07 8.58805e+06 1.71014e+06 2969.00 30.30 2.6996 2.31501 27551 15 9074 11675 1724818 385639 4.48156 4.48156 -3842.62 -4.48156 0 0 2.06880e+06 3591.66 0.72 0.49 0.222805 0.201484 2631 1772 684 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 16.15 vpr 90.14 MiB 0.15 18116 -1 -1 1 0.66 -1 -1 46284 -1 -1 343 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92304 22 19 5454 4521 1 2849 395 24 24 576 clb mult_36 auto 53.5 MiB 0.82 21608 90.1 MiB 1.25 0.02 4.24116 -3141.99 -4.24116 4.24116 1.59 0.00434678 0.0037778 0.371039 0.319091 52 36875 40 1.52924e+07 8.66339e+06 1.82869e+06 3174.81 6.53 1.55896 1.34708 29279 14 8951 11332 1939822 443559 4.60176 4.60176 -3653.42 -4.60176 0 0 2.25030e+06 3906.77 0.79 0.52 0.222335 0.201452 2669 1791 703 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 24.11 vpr 90.59 MiB 0.15 18404 -1 -1 1 0.66 -1 -1 44928 -1 -1 353 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92768 22 19 5629 4662 1 2951 405 25 25 625 clb auto 54.0 MiB 0.88 21243 90.6 MiB 1.32 0.02 4.00076 -3248.26 -4.00076 4.00076 1.73 0.00443869 0.00382601 0.403334 0.34758 50 38252 40 1.57822e+07 8.78897e+06 1.94653e+06 3114.45 13.94 1.87216 1.6144 29507 16 9649 12291 1680078 385424 4.48156 4.48156 -3788.53 -4.48156 0 0 2.32897e+06 3726.35 0.83 0.52 0.24752 0.223229 2754 1846 722 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 21.19 vpr 90.82 MiB 0.16 18520 -1 -1 1 0.71 -1 -1 46448 -1 -1 358 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 93000 22 19 5703 4719 1 2994 410 25 25 625 clb auto 54.2 MiB 1.09 21835 90.8 MiB 1.37 0.02 4.24116 -3340.49 -4.24116 4.24116 1.74 0.00461216 0.00396398 0.407075 0.348461 54 35017 48 1.57822e+07 8.85176e+06 2.04878e+06 3278.05 10.66 1.96543 1.69157 28718 13 8661 10956 1461613 339951 4.72196 4.72196 -3938.25 -4.72196 0 0 2.52006e+06 4032.10 0.92 0.46 0.227491 0.206405 2792 1865 741 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 19.84 vpr 92.56 MiB 0.16 19152 -1 -1 1 0.74 -1 -1 47088 -1 -1 374 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 94784 22 19 5950 4932 1 3111 427 25 25 625 clb auto 55.9 MiB 0.97 22919 92.6 MiB 1.49 0.02 4.12096 -3472.4 -4.12096 4.12096 1.74 0.00492189 0.00427957 0.454652 0.390886 54 36151 27 1.57822e+07 9.44869e+06 2.04878e+06 3278.05 9.17 1.88091 1.62397 29897 13 8769 11437 1578222 368681 4.60176 4.60176 -4260.46 -4.60176 0 0 2.52006e+06 4032.10 0.90 0.50 0.239906 0.217918 2913 1956 760 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 31.82 vpr 92.71 MiB 0.16 19324 -1 -1 1 0.78 -1 -1 47264 -1 -1 377 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 94932 22 19 6024 4989 1 3151 430 25 25 625 clb auto 56.0 MiB 0.96 23320 92.7 MiB 1.38 0.02 4.00076 -3516.21 -4.00076 4.00076 1.71 0.00480355 0.00415747 0.407585 0.350024 54 38269 45 1.57822e+07 9.48637e+06 2.04878e+06 3278.05 21.27 2.71042 2.3335 30547 15 9224 11756 1560662 360470 4.48156 4.48156 -4084.43 -4.48156 0 0 2.52006e+06 4032.10 0.90 0.51 0.257882 0.232812 2951 1975 779 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 18.05 vpr 93.64 MiB 0.17 19556 -1 -1 1 0.82 -1 -1 47372 -1 -1 389 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 95884 22 19 6198 5129 1 3252 442 25 25 625 clb auto 57.0 MiB 0.99 23583 93.6 MiB 1.48 0.02 4.12096 -3591.51 -4.12096 4.12096 1.72 0.00484729 0.00418629 0.445584 0.381934 54 36480 22 1.57822e+07 9.63706e+06 2.04878e+06 3278.05 7.20 1.87496 1.62046 31133 14 9150 11962 1624208 381948 4.60176 4.60176 -4035.55 -4.60176 0 0 2.52006e+06 4032.10 0.90 0.53 0.268581 0.243701 3035 2030 798 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 21.18 vpr 94.68 MiB 0.17 19648 -1 -1 1 0.81 -1 -1 47372 -1 -1 394 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 96952 22 19 6272 5186 1 3297 447 26 26 676 clb auto 58.3 MiB 1.00 23095 94.7 MiB 1.46 0.02 4.24116 -3564.35 -4.24116 4.24116 1.93 0.00497817 0.00427325 0.425951 0.366265 52 39862 49 1.91291e+07 9.69985e+06 2.20423e+06 3260.69 9.86 2.14666 1.85142 31573 12 9602 12267 1782891 414888 4.72196 4.72196 -4187.02 -4.72196 0 0 2.70930e+06 4007.84 1.00 0.52 0.239849 0.218278 3073 2049 817 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 26.59 vpr 95.48 MiB 0.18 20044 -1 -1 1 0.84 -1 -1 47740 -1 -1 407 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 97776 22 19 6485 5365 1 3415 461 26 26 676 clb auto 59.0 MiB 0.96 22945 95.5 MiB 1.57 0.02 4.00076 -3787.72 -4.00076 4.00076 1.92 0.00501141 0.0043206 0.46764 0.402438 52 39341 47 1.91291e+07 1.02591e+07 2.20423e+06 3260.69 15.02 2.56637 2.21526 31325 14 9604 12095 1628801 387540 4.48156 4.48156 -4396.58 -4.48156 0 0 2.70930e+06 4007.84 1.00 0.55 0.279331 0.253614 3178 2122 836 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 19.94 vpr 96.02 MiB 0.18 20336 -1 -1 1 0.86 -1 -1 47848 -1 -1 412 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 98328 22 19 6559 5422 1 3449 466 26 26 676 clb auto 59.4 MiB 0.98 23662 96.0 MiB 1.68 0.02 4.12096 -3736.29 -4.12096 4.12096 2.00 0.00580967 0.00504925 0.499171 0.428794 52 41202 43 1.91291e+07 1.03219e+07 2.20423e+06 3260.69 8.01 2.1118 1.82588 32099 14 9508 12005 1890594 436680 4.60176 4.60176 -4255.89 -4.60176 0 0 2.70930e+06 4007.84 0.99 0.58 0.272054 0.246453 3216 2141 855 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 26.75 vpr 97.04 MiB 0.19 20564 -1 -1 1 0.89 -1 -1 48368 -1 -1 422 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 99368 22 19 6735 5564 1 3561 476 26 26 676 clb auto 60.4 MiB 1.01 25878 97.0 MiB 1.89 0.02 4.12096 -3931.71 -4.12096 4.12096 1.99 0.00613721 0.00537417 0.559911 0.485051 56 39521 36 1.91291e+07 1.04475e+07 2.31971e+06 3431.53 14.44 2.59163 2.23864 35050 13 10361 13585 1878730 432451 4.60176 4.60176 -4681.56 -4.60176 0 0 2.84390e+06 4206.95 1.02 0.58 0.269238 0.244688 3302 2196 874 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 28.81 vpr 97.08 MiB 0.19 20712 -1 -1 1 0.93 -1 -1 48380 -1 -1 428 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 99412 22 19 6809 5621 1 3598 482 26 26 676 clb auto 61.6 MiB 1.03 26298 97.1 MiB 1.77 0.02 4.36136 -3957.4 -4.36136 4.36136 1.97 0.00581218 0.00506279 0.528731 0.459209 54 43762 48 1.91291e+07 1.05228e+07 2.26288e+06 3347.46 16.65 2.76363 2.38619 34979 13 10298 13404 1875775 433831 4.96236 4.96236 -4655.8 -4.96236 0 0 2.78165e+06 4114.86 1.01 0.57 0.271391 0.24658 3340 2215 893 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 24.67 vpr 99.04 MiB 0.19 21368 -1 -1 1 0.97 -1 -1 48604 -1 -1 444 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 101420 22 19 7094 5872 1 3718 499 27 27 729 clb auto 63.2 MiB 1.12 26340 99.0 MiB 1.83 0.02 4.12096 -4187.31 -4.12096 4.12096 2.16 0.0064992 0.00568335 0.538552 0.466528 54 42634 26 1.9669e+07 1.11198e+07 2.44988e+06 3360.60 11.72 2.54345 2.20326 35121 13 10494 13254 1836770 417641 4.48156 4.48156 -4779.24 -4.48156 0 0 3.01106e+06 4130.40 1.08 0.57 0.278968 0.253193 3481 2324 912 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 26.08 vpr 99.57 MiB 0.20 21440 -1 -1 1 0.99 -1 -1 48532 -1 -1 449 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 101964 22 19 7168 5929 1 3755 504 27 27 729 clb auto 63.6 MiB 1.13 29010 99.6 MiB 1.90 0.02 4.12096 -4212.3 -4.12096 4.12096 2.15 0.00599782 0.00524382 0.555982 0.481434 54 47300 40 1.9669e+07 1.11825e+07 2.44988e+06 3360.60 12.94 2.82764 2.44057 38366 14 11165 13887 2020926 449686 4.60176 4.60176 -4967.07 -4.60176 0 0 3.01106e+06 4130.40 1.10 0.63 0.299743 0.270524 3519 2343 931 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 31.13 vpr 102.76 MiB 0.20 21908 -1 -1 1 1.08 -1 -1 48924 -1 -1 460 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 105228 22 19 7344 6071 1 3863 515 27 27 729 clb auto 64.5 MiB 1.15 28924 100.4 MiB 2.03 0.02 4.12096 -4239.33 -4.12096 4.12096 2.15 0.00616693 0.00536058 0.598005 0.518812 56 44779 25 1.9669e+07 1.13207e+07 2.51142e+06 3445.02 17.62 2.8669 2.48511 38916 16 11862 14736 2426538 563825 4.48156 4.48156 -5038.7 -4.48156 0 0 3.07846e+06 4222.85 1.13 0.71 0.324096 0.29252 3605 2398 950 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 30.79 vpr 103.26 MiB 0.20 22092 -1 -1 1 1.06 -1 -1 48828 -1 -1 465 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 105740 22 19 7418 6128 1 3909 520 27 27 729 clb auto 64.9 MiB 1.16 30580 101.1 MiB 1.97 0.02 4.24116 -4361.14 -4.24116 4.24116 2.15 0.00642434 0.00555282 0.582746 0.505022 56 45577 30 1.9669e+07 1.13835e+07 2.51142e+06 3445.02 17.45 2.75958 2.38935 40239 11 11364 14754 2136232 485024 4.60176 4.60176 -5155.95 -4.60176 0 0 3.07846e+06 4222.85 1.10 0.62 0.27581 0.251466 3643 2417 969 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 6.36 vpr 66.00 MiB 0.04 9752 -1 -1 1 0.12 -1 -1 38484 -1 -1 81 22 0 4 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67588 22 19 1246 925 1 736 126 16 16 256 mult_36 auto 28.2 MiB 0.30 4283 66.0 MiB 0.19 0.00 7.40158 -335.456 -7.40158 7.40158 0.56 0.000796065 0.000677322 0.0547186 0.0467079 38 9192 37 6.32612e+06 2.6012e+06 558663. 2182.28 3.36 0.316381 0.27561 6909 25 5362 6130 1039766 257508 7.78699 7.78699 -430.778 -7.78699 0 0 744679. 2908.90 0.21 0.23 0.0659396 0.0596735 591 285 247 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 7.61 vpr 66.83 MiB 0.05 9932 -1 -1 1 0.14 -1 -1 39416 -1 -1 86 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68432 22 19 1344 989 1 796 132 16 16 256 mult_36 auto 29.1 MiB 0.28 4811 66.8 MiB 0.21 0.00 7.33558 -344.089 -7.33558 7.33558 0.55 0.000867975 0.000743025 0.0616495 0.0527911 40 8957 29 6.32612e+06 3.05999e+06 583096. 2277.72 4.55 0.49366 0.429277 7538 21 5645 6544 1157240 306511 7.79899 7.79899 -530.14 -7.79899 0 0 763333. 2981.77 0.22 0.24 0.065131 0.0593262 635 304 266 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 5.33 vpr 66.72 MiB 0.05 10000 -1 -1 1 0.15 -1 -1 39404 -1 -1 91 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68324 22 19 1418 1046 1 833 137 16 16 256 mult_36 auto 29.1 MiB 0.34 5182 66.7 MiB 0.20 0.00 7.40158 -365.759 -7.40158 7.40158 0.54 0.000849819 0.00071987 0.0589544 0.0499897 44 9486 36 6.32612e+06 3.12278e+06 649498. 2537.10 2.23 0.31717 0.276224 7538 22 4941 5733 852176 210985 7.68679 7.68679 -491.749 -7.68679 0 0 820238. 3204.05 0.25 0.20 0.0658255 0.0596816 673 323 285 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 9.88 vpr 67.84 MiB 0.05 10628 -1 -1 1 0.15 -1 -1 39384 -1 -1 97 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69464 22 19 1518 1112 1 899 143 16 16 256 mult_36 auto 30.2 MiB 0.33 5673 67.8 MiB 0.31 0.00 8.06503 -400.998 -8.06503 8.06503 0.55 0.000920085 0.000769606 0.0848746 0.0716258 46 10319 35 6.32612e+06 3.19813e+06 684529. 2673.94 6.59 0.655686 0.57031 8050 23 5061 5998 852667 220102 8.49665 8.49665 -549.868 -8.49665 0 0 838722. 3276.26 0.24 0.21 0.0737597 0.066795 719 342 304 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 5.50 vpr 68.03 MiB 0.06 10524 -1 -1 1 0.15 -1 -1 38836 -1 -1 102 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69664 22 19 1592 1169 1 937 148 16 16 256 mult_36 auto 30.3 MiB 0.39 5867 68.0 MiB 0.22 0.00 7.95583 -424.561 -7.95583 7.95583 0.54 0.000972783 0.000823552 0.062626 0.0535649 44 11539 38 6.32612e+06 3.26092e+06 649498. 2537.10 2.21 0.339677 0.29501 8603 23 5438 6223 1015188 261041 8.66265 8.66265 -598.274 -8.66265 0 0 820238. 3204.05 0.24 0.23 0.0785337 0.0708322 757 361 323 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 7.63 vpr 68.70 MiB 0.06 10900 -1 -1 1 0.15 -1 -1 39576 -1 -1 107 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70352 22 19 1688 1231 1 998 154 16 16 256 mult_36 auto 31.1 MiB 0.38 6058 68.7 MiB 0.27 0.00 7.95583 -432.06 -7.95583 7.95583 0.56 0.00115487 0.000986243 0.0751675 0.0642736 46 11224 45 6.32612e+06 3.71971e+06 684529. 2673.94 4.22 0.467736 0.406945 8481 22 5743 6709 868791 238436 8.21185 8.21185 -606.088 -8.21185 0 0 838722. 3276.26 0.25 0.22 0.0828428 0.0750128 799 380 342 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 6.85 vpr 69.03 MiB 0.06 10936 -1 -1 1 0.17 -1 -1 39600 -1 -1 112 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70688 22 19 1762 1288 1 1033 159 16 16 256 mult_36 auto 31.3 MiB 0.42 6299 69.0 MiB 0.28 0.00 7.83563 -444.594 -7.83563 7.83563 0.54 0.00111963 0.000954333 0.0796631 0.0681305 46 11514 34 6.32612e+06 3.7825e+06 684529. 2673.94 3.38 0.415713 0.360765 8663 23 5851 6815 1078256 269472 7.98245 7.98245 -632.027 -7.98245 0 0 838722. 3276.26 0.24 0.24 0.0844937 0.0763116 837 399 361 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 6.46 vpr 69.43 MiB 0.07 11208 -1 -1 1 0.19 -1 -1 39796 -1 -1 119 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71100 22 19 1859 1351 1 1097 166 16 16 256 mult_36 auto 31.9 MiB 0.42 7165 69.4 MiB 0.32 0.01 8.17423 -463.867 -8.17423 8.17423 0.54 0.00131216 0.00112456 0.0879906 0.0752959 48 12184 27 6.32612e+06 3.8704e+06 714410. 2790.66 2.78 0.408847 0.35573 10231 23 6613 7737 1382112 341925 8.51265 8.51265 -639.178 -8.51265 0 0 863353. 3372.47 0.26 0.30 0.0917731 0.0827826 880 418 380 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 8.10 vpr 70.25 MiB 0.07 11380 -1 -1 1 0.19 -1 -1 39964 -1 -1 123 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71936 22 19 1933 1408 1 1134 170 16 16 256 mult_36 auto 32.6 MiB 0.47 7400 70.2 MiB 0.32 0.00 8.19623 -467.129 -8.19623 8.19623 0.54 0.00117606 0.00099275 0.094068 0.0801827 48 12909 44 6.32612e+06 3.92063e+06 714410. 2790.66 4.35 0.540706 0.468788 10520 21 7149 8399 1549369 390847 8.83605 8.83605 -721.552 -8.83605 0 0 863353. 3372.47 0.25 0.33 0.0939821 0.0849614 918 437 399 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 22.02 vpr 70.48 MiB 0.07 11612 -1 -1 1 0.19 -1 -1 40144 -1 -1 131 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72172 22 19 2031 1472 1 1198 179 18 18 324 mult_36 auto 32.9 MiB 0.49 7345 70.5 MiB 0.39 0.01 8.01003 -517.124 -8.01003 8.01003 0.77 0.00137991 0.00118882 0.110718 0.0955041 44 15333 41 7.77114e+06 4.4171e+06 850563. 2625.19 17.56 0.890913 0.769797 11059 25 7251 8540 1446169 346560 8.71285 8.71285 -745.336 -8.71285 0 0 1.07356e+06 3313.45 0.33 0.34 0.11093 0.0999715 962 456 418 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 12.05 vpr 71.09 MiB 0.07 11732 -1 -1 1 0.21 -1 -1 40140 -1 -1 136 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72796 22 19 2105 1529 1 1235 184 18 18 324 mult_36 auto 33.7 MiB 0.51 7461 71.1 MiB 0.42 0.01 7.95583 -503.281 -7.95583 7.95583 0.78 0.00153499 0.00131764 0.126084 0.108748 50 12561 29 7.77114e+06 4.47989e+06 975281. 3010.13 7.50 0.772736 0.669759 10486 24 6494 7488 1346700 314986 8.42045 8.42045 -686.844 -8.42045 0 0 1.16663e+06 3600.72 0.35 0.31 0.106153 0.0953891 1000 475 437 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 11.87 vpr 71.60 MiB 0.08 12128 -1 -1 1 0.22 -1 -1 40496 -1 -1 141 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73316 22 19 2201 1591 1 1295 189 18 18 324 mult_36 auto 34.1 MiB 0.53 8049 71.6 MiB 0.50 0.01 7.91183 -579.682 -7.91183 7.91183 0.78 0.00163842 0.00138865 0.138074 0.118629 46 15786 37 7.77114e+06 4.54268e+06 895831. 2764.91 7.13 0.86598 0.749386 12205 23 8526 9981 1727305 412208 8.65665 8.65665 -841.931 -8.65665 0 0 1.09776e+06 3388.15 0.33 0.37 0.110661 0.0997677 1042 494 456 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 8.59 vpr 71.89 MiB 0.08 12140 -1 -1 1 0.23 -1 -1 40780 -1 -1 145 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73616 22 19 2275 1648 1 1331 193 18 18 324 mult_36 auto 34.6 MiB 0.54 8221 71.9 MiB 0.44 0.01 8.07603 -547.551 -8.07603 8.07603 0.75 0.00161857 0.00139201 0.121673 0.105138 46 16296 43 7.77114e+06 4.59291e+06 895831. 2764.91 3.89 0.583769 0.507699 12304 25 8435 9675 1819410 437387 8.67985 8.67985 -736.196 -8.67985 0 0 1.09776e+06 3388.15 0.33 0.41 0.125934 0.113323 1080 513 475 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 13.85 vpr 72.34 MiB 0.08 12516 -1 -1 1 0.22 -1 -1 40780 -1 -1 153 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74072 22 19 2385 1724 1 1408 202 18 18 324 mult_36 auto 34.9 MiB 0.57 8883 72.3 MiB 0.42 0.01 7.88983 -584.051 -7.88983 7.88983 0.74 0.00170486 0.00146115 0.121851 0.105013 48 17003 49 7.77114e+06 5.08937e+06 935225. 2886.50 9.04 0.998237 0.863256 13357 23 10487 12304 2090394 486461 8.90145 8.90145 -862.068 -8.90145 0 0 1.13028e+06 3488.51 0.35 0.44 0.123315 0.111258 1136 532 494 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 10.19 vpr 73.24 MiB 0.08 12620 -1 -1 1 0.24 -1 -1 41736 -1 -1 158 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75000 22 19 2459 1781 1 1444 207 18 18 324 mult_36 auto 35.7 MiB 0.59 9797 73.2 MiB 0.51 0.01 8.29443 -609.352 -8.29443 8.29443 0.75 0.00184119 0.00157164 0.149398 0.128844 50 17121 45 7.77114e+06 5.15216e+06 975281. 3010.13 5.30 0.72829 0.632756 13798 21 7602 9115 1500842 364804 8.64565 8.64565 -860.855 -8.64565 0 0 1.16663e+06 3600.72 0.36 0.35 0.119894 0.108505 1174 551 513 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 12.77 vpr 73.64 MiB 0.09 12912 -1 -1 1 0.26 -1 -1 41224 -1 -1 165 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75412 22 19 2565 1853 1 1517 215 22 22 484 mult_36 auto 36.3 MiB 0.61 9290 73.6 MiB 0.55 0.01 7.83564 -569.857 -7.83564 7.83564 1.27 0.00172803 0.00147662 0.158783 0.136627 42 18988 36 1.26594e+07 5.63607e+06 1.23890e+06 2559.71 6.39 0.731451 0.634999 14416 23 11686 13405 2290737 543422 8.81405 8.81405 -856.33 -8.81405 0 0 1.58090e+06 3266.32 0.53 0.49 0.132586 0.11944 1226 570 532 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 17.37 vpr 74.36 MiB 0.09 13024 -1 -1 1 0.28 -1 -1 40960 -1 -1 170 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76140 22 19 2639 1910 1 1554 220 22 22 484 mult_36 auto 36.9 MiB 0.67 10244 74.4 MiB 0.59 0.01 7.82463 -592.07 -7.82463 7.82463 1.29 0.00197591 0.0017129 0.168293 0.145008 46 19312 44 1.26594e+07 5.69886e+06 1.37878e+06 2848.72 10.76 1.09724 0.948748 14579 22 10168 11889 2202355 506764 8.29105 8.29105 -953.511 -8.29105 0 0 1.69059e+06 3492.95 0.58 0.48 0.135261 0.121752 1264 589 551 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 13.59 vpr 74.59 MiB 0.09 13180 -1 -1 1 0.28 -1 -1 40936 -1 -1 177 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76376 22 19 2744 1981 1 1626 227 22 22 484 mult_36 auto 37.3 MiB 0.66 10820 74.6 MiB 0.59 0.01 7.95583 -640.381 -7.95583 7.95583 1.29 0.00215118 0.00184273 0.168321 0.145237 44 21486 36 1.26594e+07 5.78677e+06 1.30964e+06 2705.88 6.96 0.79156 0.687821 15525 24 9615 11583 2256697 510528 8.54065 8.54065 -975.082 -8.54065 0 0 1.65337e+06 3416.05 0.56 0.49 0.145444 0.130731 1315 608 570 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 12.22 vpr 75.16 MiB 0.10 13364 -1 -1 1 0.30 -1 -1 40680 -1 -1 181 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76960 22 19 2818 2038 1 1662 231 22 22 484 mult_36 auto 37.7 MiB 0.71 10604 75.2 MiB 0.65 0.01 7.92283 -733.097 -7.92283 7.92283 1.25 0.00201485 0.00173374 0.175094 0.150406 44 20483 39 1.26594e+07 5.837e+06 1.30964e+06 2705.88 5.53 0.696569 0.602262 15703 22 11078 12516 2348336 551209 8.67485 8.67485 -989.391 -8.67485 0 0 1.65337e+06 3416.05 0.56 0.51 0.143225 0.128616 1353 627 589 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 38.99 vpr 75.85 MiB 0.10 14016 -1 -1 1 0.34 -1 -1 41660 -1 -1 189 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77668 22 19 2923 2109 1 1730 240 22 22 484 mult_36 auto 38.3 MiB 0.67 11301 75.8 MiB 0.79 0.01 8.63029 -702.221 -8.63029 8.63029 1.25 0.00223734 0.00193066 0.218784 0.187862 44 22866 46 1.26594e+07 6.33346e+06 1.30964e+06 2705.88 32.05 1.43642 1.24229 16727 22 11633 13273 2663190 612075 9.77391 9.77391 -1024.27 -9.77391 0 0 1.65337e+06 3416.05 0.56 0.57 0.151204 0.136262 1404 646 608 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 20.83 vpr 75.80 MiB 0.11 14116 -1 -1 1 0.31 -1 -1 42340 -1 -1 194 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77624 22 19 2997 2166 1 1769 245 22 22 484 mult_36 auto 38.4 MiB 0.84 12292 75.8 MiB 0.79 0.01 8.58629 -686.946 -8.58629 8.58629 1.30 0.0022577 0.00192677 0.211282 0.180839 48 22884 47 1.26594e+07 6.39625e+06 1.44011e+06 2975.42 13.48 1.32728 1.14552 18438 26 14064 15969 3253071 706444 9.48551 9.48551 -1104.69 -9.48551 0 0 1.74100e+06 3597.11 0.59 0.66 0.171475 0.154182 1442 665 627 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 13.72 vpr 76.79 MiB 0.11 14244 -1 -1 1 0.33 -1 -1 42128 -1 -1 200 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78628 22 19 3101 2236 1 1838 251 22 22 484 mult_36 auto 39.3 MiB 0.85 12372 76.8 MiB 0.76 0.01 8.75049 -766.147 -8.75049 8.75049 1.28 0.00245832 0.00214867 0.189834 0.165162 48 21490 31 1.26594e+07 6.4716e+06 1.44011e+06 2975.42 6.54 0.86611 0.753052 18090 22 9569 11374 2028953 462385 9.49951 9.49951 -1159.25 -9.49951 0 0 1.74100e+06 3597.11 0.60 0.47 0.156673 0.140865 1492 684 646 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 17.40 vpr 77.38 MiB 0.11 14440 -1 -1 1 0.36 -1 -1 41452 -1 -1 204 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79236 22 19 3175 2293 1 1872 255 22 22 484 mult_36 auto 39.9 MiB 0.89 11771 77.4 MiB 0.79 0.01 8.63029 -718.8 -8.63029 8.63029 1.28 0.0024631 0.00212694 0.223025 0.192513 48 21271 27 1.26594e+07 6.52183e+06 1.44011e+06 2975.42 10.07 1.21162 1.04631 17485 23 12714 14599 2409291 562563 9.55071 9.55071 -1109.73 -9.55071 0 0 1.74100e+06 3597.11 0.59 0.54 0.166658 0.150139 1530 703 665 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 14.61 vpr 78.09 MiB 0.12 14696 -1 -1 1 0.37 -1 -1 41676 -1 -1 211 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79964 22 19 3280 2364 1 1945 263 24 24 576 mult_36 auto 41.1 MiB 0.89 12429 78.1 MiB 0.87 0.01 8.51009 -790.994 -8.51009 8.51009 1.56 0.00258355 0.00221549 0.232569 0.200674 48 21923 29 1.52924e+07 7.00574e+06 1.71014e+06 2969.00 6.46 0.946311 0.8222 18066 22 11427 13336 2063224 498987 9.32311 9.32311 -1219.27 -9.32311 0 0 2.06880e+06 3591.66 0.72 0.50 0.16915 0.151883 1581 722 684 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 20.58 vpr 78.13 MiB 0.12 14872 -1 -1 1 0.38 -1 -1 42440 -1 -1 216 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80008 22 19 3354 2421 1 1981 268 24 24 576 mult_36 auto 41.0 MiB 0.96 13180 78.1 MiB 0.84 0.01 8.72849 -811.801 -8.72849 8.72849 1.57 0.00266069 0.00231331 0.213363 0.183827 46 24688 43 1.52924e+07 7.06853e+06 1.63708e+06 2842.15 12.44 1.01139 0.874244 18395 23 10201 12232 1863510 452175 9.43551 9.43551 -1318.62 -9.43551 0 0 2.00908e+06 3487.99 0.68 0.46 0.168616 0.151531 1619 741 703 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 15.87 vpr 78.86 MiB 0.12 14988 -1 -1 1 0.39 -1 -1 42464 -1 -1 223 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80748 22 19 3457 2490 1 2052 275 24 24 576 mult_36 auto 41.9 MiB 0.94 15014 78.9 MiB 1.02 0.01 9.23129 -841.125 -9.23129 9.23129 1.57 0.00273251 0.00237805 0.274056 0.237094 48 28092 43 1.52924e+07 7.15643e+06 1.71014e+06 2969.00 7.21 1.00213 0.869296 21779 23 12972 15512 3464107 789438 9.90211 9.90211 -1376.1 -9.90211 0 0 2.06880e+06 3591.66 0.72 0.73 0.187463 0.168469 1668 760 722 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 22.68 vpr 79.37 MiB 0.12 15116 -1 -1 1 0.41 -1 -1 41756 -1 -1 228 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81272 22 19 3531 2547 1 2089 280 24 24 576 mult_36 auto 42.0 MiB 1.01 13643 79.4 MiB 0.98 0.01 8.59729 -918.976 -8.59729 8.59729 1.55 0.00267767 0.00226117 0.261763 0.225797 54 23029 40 1.52924e+07 7.21922e+06 1.87785e+06 3260.16 14.12 1.62586 1.40943 18934 22 10320 11590 1762944 425556 9.14691 9.14691 -1391.36 -9.14691 0 0 2.31032e+06 4010.97 0.81 0.46 0.180917 0.16251 1706 779 741 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 14.79 vpr 79.86 MiB 0.13 15696 -1 -1 1 0.43 -1 -1 42388 -1 -1 234 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81780 22 19 3634 2616 1 2155 287 24 24 576 mult_36 auto 42.9 MiB 0.99 13945 79.9 MiB 1.03 0.01 8.99089 -898.441 -8.99089 8.99089 1.53 0.00293583 0.00254402 0.263713 0.228147 46 25397 37 1.52924e+07 7.69057e+06 1.63708e+06 2842.15 6.26 0.983431 0.854173 19951 24 11902 13978 2190045 521629 9.23891 9.23891 -1377.09 -9.23891 0 0 2.00908e+06 3487.99 0.68 0.57 0.211631 0.191265 1755 798 760 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 17.23 vpr 80.57 MiB 0.13 15536 -1 -1 1 0.44 -1 -1 42572 -1 -1 239 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82504 22 19 3708 2673 1 2193 292 24 24 576 mult_36 auto 43.4 MiB 1.08 15188 80.6 MiB 1.00 0.01 9.06709 -912.327 -9.06709 9.06709 1.53 0.00299533 0.00257519 0.25125 0.216217 50 26137 32 1.52924e+07 7.75336e+06 1.78400e+06 3097.22 8.49 1.07538 0.929568 21382 20 12046 14185 2515243 592646 9.38731 9.38731 -1403.3 -9.38731 0 0 2.13454e+06 3705.80 0.74 0.58 0.183378 0.164936 1793 817 779 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 15.96 vpr 80.79 MiB 0.13 15832 -1 -1 1 0.42 -1 -1 42432 -1 -1 245 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82724 22 19 3810 2741 1 2260 298 24 24 576 mult_36 auto 43.9 MiB 1.06 14909 80.8 MiB 1.10 0.01 8.63029 -929.225 -8.63029 8.63029 1.55 0.00304529 0.00265789 0.283837 0.245672 50 25703 31 1.52924e+07 7.82871e+06 1.78400e+06 3097.22 7.02 1.09385 0.948449 21032 24 12730 15305 2484467 590963 9.23711 9.23711 -1533.23 -9.23711 0 0 2.13454e+06 3705.80 0.73 0.61 0.213472 0.191593 1841 836 798 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 65.20 vpr 81.39 MiB 0.14 16136 -1 -1 1 0.46 -1 -1 42948 -1 -1 250 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83344 22 19 3884 2798 1 2296 303 24 24 576 mult_36 auto 44.2 MiB 1.13 15697 81.4 MiB 1.07 0.01 8.84869 -957.388 -8.84869 8.84869 1.54 0.00303869 0.00260901 0.267571 0.230317 50 26595 44 1.52924e+07 7.8915e+06 1.78400e+06 3097.22 56.25 1.96426 1.69319 21595 23 11590 14064 2245897 520495 9.53251 9.53251 -1494.4 -9.53251 0 0 2.13454e+06 3705.80 0.75 0.56 0.202621 0.181408 1879 855 817 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 41.94 vpr 81.91 MiB 0.14 16316 -1 -1 1 0.50 -1 -1 44560 -1 -1 257 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83876 22 19 3989 2869 1 2368 311 24 24 576 mult_36 auto 45.1 MiB 1.10 16358 81.9 MiB 1.16 0.01 8.82669 -940.839 -8.82669 8.82669 1.58 0.00340763 0.00297154 0.290495 0.251029 46 30246 49 1.52924e+07 8.37541e+06 1.63708e+06 2842.15 32.74 1.82915 1.57834 22559 23 12519 14852 2887001 676328 9.45431 9.45431 -1561.67 -9.45431 0 0 2.00908e+06 3487.99 0.69 0.69 0.219328 0.197596 1930 874 836 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 48.11 vpr 82.42 MiB 0.14 16408 -1 -1 1 0.50 -1 -1 44584 -1 -1 261 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84396 22 19 4063 2926 1 2404 315 24 24 576 mult_36 auto 45.3 MiB 1.20 15742 82.4 MiB 1.15 0.01 8.83769 -946.171 -8.83769 8.83769 1.55 0.0031944 0.00276991 0.285713 0.246798 48 28604 46 1.52924e+07 8.42564e+06 1.71014e+06 2969.00 38.85 2.2645 1.95342 23128 22 13631 16261 2821711 663632 9.55571 9.55571 -1624.97 -9.55571 0 0 2.06880e+06 3591.66 0.72 0.66 0.215714 0.194078 1968 893 855 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 63.90 vpr 82.60 MiB 0.15 16756 -1 -1 1 0.49 -1 -1 44420 -1 -1 268 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84584 22 19 4167 2996 1 2473 322 24 24 576 mult_36 auto 45.9 MiB 1.15 16360 82.6 MiB 1.20 0.01 8.99089 -1022.16 -8.99089 8.99089 1.52 0.00337599 0.00294007 0.31575 0.273671 48 29127 50 1.52924e+07 8.51354e+06 1.71014e+06 2969.00 54.58 2.44919 2.11187 23461 24 14194 16840 2627506 622161 9.68071 9.68071 -1578.75 -9.68071 0 0 2.06880e+06 3591.66 0.73 0.65 0.235325 0.211062 2018 912 874 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 39.99 vpr 83.27 MiB 0.15 16940 -1 -1 1 0.51 -1 -1 44884 -1 -1 273 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85272 22 19 4241 3053 1 2509 327 24 24 576 mult_36 auto 46.3 MiB 1.24 17204 83.3 MiB 1.26 0.01 8.87069 -1045.41 -8.87069 8.87069 1.56 0.00323017 0.0027859 0.313883 0.270808 50 28988 36 1.52924e+07 8.57633e+06 1.78400e+06 3097.22 30.41 1.85673 1.59979 23788 25 13322 15932 2798987 653713 9.35911 9.35911 -1677.21 -9.35911 0 0 2.13454e+06 3705.80 0.73 0.69 0.241241 0.216501 2056 931 893 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 37.28 vpr 83.36 MiB 0.16 17228 -1 -1 1 0.56 -1 -1 45168 -1 -1 279 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85356 22 19 4346 3124 1 2580 334 24 24 576 mult_36 auto 46.6 MiB 1.21 17892 83.4 MiB 1.17 0.01 8.93589 -1011.42 -8.93589 8.93589 1.57 0.003483 0.00303339 0.309153 0.268778 48 30709 46 1.52924e+07 9.04768e+06 1.71014e+06 2969.00 27.49 2.17365 1.87986 24838 22 17115 20222 3700386 881651 9.58251 9.58251 -1701.13 -9.58251 0 0 2.06880e+06 3591.66 0.72 0.82 0.23355 0.209956 2107 950 912 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 18.48 vpr 83.88 MiB 0.17 17440 -1 -1 1 0.51 -1 -1 45092 -1 -1 284 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85892 22 19 4420 3181 1 2615 339 24 24 576 mult_36 auto 46.9 MiB 1.32 17620 83.9 MiB 1.39 0.02 8.87069 -1026.63 -8.87069 8.87069 1.58 0.00351488 0.00306289 0.327566 0.283326 54 27907 25 1.52924e+07 9.11047e+06 1.87785e+06 3260.16 8.36 1.48814 1.28466 23505 25 14235 16674 2909556 708361 9.34011 9.34011 -1543.76 -9.34011 0 0 2.31032e+06 4010.97 0.80 0.75 0.260284 0.233395 2145 969 931 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 27.28 vpr 84.66 MiB 0.17 17688 -1 -1 1 0.60 -1 -1 44628 -1 -1 292 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86688 22 19 4524 3251 1 2687 347 24 24 576 mult_36 auto 47.5 MiB 1.27 20300 84.7 MiB 1.32 0.02 9.11109 -1132.49 -9.11109 9.11109 1.57 0.00364522 0.00317205 0.317291 0.275727 56 30635 33 1.52924e+07 9.21094e+06 1.92546e+06 3342.82 17.23 2.07619 1.79616 27018 22 14996 17863 3151304 739976 9.56051 9.56051 -1768.11 -9.56051 0 0 2.36234e+06 4101.29 0.81 0.75 0.240962 0.216797 2195 988 950 19 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 28.79 vpr 85.15 MiB 0.17 17776 -1 -1 1 0.59 -1 -1 45564 -1 -1 296 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87196 22 19 4598 3308 1 2721 351 24 24 576 mult_36 auto 48.3 MiB 1.38 18490 85.2 MiB 1.21 0.02 8.71749 -1180.75 -8.71749 8.71749 1.56 0.00380672 0.00333385 0.320344 0.276599 58 30408 49 1.52924e+07 9.26117e+06 1.96475e+06 3411.02 18.75 2.17417 1.87376 24585 22 13908 16373 2757403 636963 9.30011 9.30011 -1610.77 -9.30011 0 0 2.46106e+06 4272.68 0.87 0.68 0.243736 0.218837 2233 1007 969 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 9.41 vpr 70.52 MiB 0.05 10804 -1 -1 1 0.18 -1 -1 39968 -1 -1 123 22 0 4 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72208 22 19 1974 1653 1 1039 168 16 16 256 mult_36 auto 33.1 MiB 1.61 6428 70.5 MiB 0.28 0.00 3.88056 -1102.72 -3.88056 3.88056 0.54 0.0011705 0.000973346 0.0880872 0.0734316 46 11563 27 6.34292e+06 3.14339e+06 684529. 2673.94 4.78 0.644498 0.552065 8973 15 3097 3739 479771 120840 4.36136 4.36136 -1281.56 -4.36136 0 0 838722. 3276.26 0.25 0.14 0.0706857 0.0643455 953 649 247 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 7.18 vpr 71.14 MiB 0.06 11024 -1 -1 1 0.21 -1 -1 40300 -1 -1 132 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72852 22 19 2144 1789 1 1138 178 16 16 256 clb mult_36 auto 33.8 MiB 1.72 7091 71.1 MiB 0.25 0.00 4.00076 -1197.68 -4.00076 4.00076 0.54 0.00132932 0.00110573 0.0842283 0.0707018 46 12982 43 6.34292e+06 3.6535e+06 684529. 2673.94 2.34 0.488704 0.419734 9831 17 3552 4246 614534 166374 4.36136 4.36136 -1376.89 -4.36136 0 0 838722. 3276.26 0.24 0.18 0.0871875 0.0789894 1033 704 266 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 10.36 vpr 71.71 MiB 0.06 11172 -1 -1 1 0.19 -1 -1 40404 -1 -1 137 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73436 22 19 2218 1846 1 1177 183 16 16 256 clb mult_36 auto 34.3 MiB 1.85 7246 71.7 MiB 0.30 0.00 3.88056 -1247.07 -3.88056 3.88056 0.54 0.00123984 0.00101097 0.0974339 0.081417 46 13070 44 6.34292e+06 3.71689e+06 684529. 2673.94 5.32 0.810496 0.691154 10260 18 3669 4407 527287 137957 4.24116 4.24116 -1386.41 -4.24116 0 0 838722. 3276.26 0.24 0.17 0.0894147 0.0807759 1071 723 285 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 9.42 vpr 73.59 MiB 0.07 11900 -1 -1 1 0.26 -1 -1 40860 -1 -1 157 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75352 22 19 2536 2130 1 1298 203 17 17 289 clb auto 36.4 MiB 1.99 8633 73.6 MiB 0.28 0.01 4.00076 -1394.7 -4.00076 4.00076 0.63 0.00149918 0.00125304 0.0912362 0.0768946 48 14851 37 6.65987e+06 3.97045e+06 816265. 2824.45 3.84 0.637229 0.548144 12357 18 4269 5076 819883 201685 4.24116 4.24116 -1581.54 -4.24116 0 0 986792. 3414.50 0.30 0.24 0.108122 0.098002 1226 851 304 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 8.15 vpr 73.85 MiB 0.07 12188 -1 -1 1 0.24 -1 -1 41360 -1 -1 163 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75624 22 19 2610 2187 1 1336 209 17 17 289 clb auto 36.7 MiB 2.11 8822 73.9 MiB 0.39 0.01 4.12096 -1432.67 -4.12096 4.12096 0.63 0.00160089 0.0013436 0.131351 0.111006 48 14651 27 6.65987e+06 4.04651e+06 816265. 2824.45 2.30 0.531395 0.456165 12409 17 4275 5294 754428 181561 4.48156 4.48156 -1668.97 -4.48156 0 0 986792. 3414.50 0.30 0.22 0.10673 0.0965832 1264 870 323 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 12.19 vpr 75.11 MiB 0.07 12432 -1 -1 1 0.24 -1 -1 41376 -1 -1 172 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76916 22 19 2778 2321 1 1434 219 18 18 324 clb auto 38.0 MiB 2.24 9382 75.1 MiB 0.42 0.01 3.88056 -1514.47 -3.88056 3.88056 0.75 0.00194918 0.00166139 0.138009 0.116722 50 15195 20 7.79418e+06 4.55662e+06 975281. 3010.13 5.79 0.951041 0.814888 13017 15 4507 5420 660204 162469 4.48156 4.48156 -1735.33 -4.48156 0 0 1.16663e+06 3600.72 0.36 0.23 0.116831 0.105939 1342 925 342 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 11.60 vpr 75.47 MiB 0.08 12832 -1 -1 1 0.30 -1 -1 41548 -1 -1 176 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77284 22 19 2852 2378 1 1479 223 18 18 324 clb auto 38.6 MiB 2.38 9656 75.5 MiB 0.49 0.01 3.88056 -1585.71 -3.88056 3.88056 0.76 0.00183592 0.00155451 0.160487 0.136479 48 17162 23 7.79418e+06 4.60733e+06 935225. 2886.50 4.91 0.758954 0.654873 14133 16 4953 6008 825407 196285 4.24116 4.24116 -1832.23 -4.24116 0 0 1.13028e+06 3488.51 0.35 0.25 0.117903 0.106939 1380 944 361 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 10.41 vpr 76.18 MiB 0.08 13136 -1 -1 1 0.30 -1 -1 42628 -1 -1 188 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78012 22 19 3057 2549 1 1586 235 18 18 324 clb auto 39.4 MiB 2.46 10026 76.2 MiB 0.47 0.01 3.88056 -1716.49 -3.88056 3.88056 0.75 0.00210507 0.00178301 0.155296 0.132187 50 17113 22 7.79418e+06 4.75946e+06 975281. 3010.13 3.55 0.74849 0.641879 14233 18 5249 6260 887729 216620 4.24116 4.24116 -1926.97 -4.24116 0 0 1.16663e+06 3600.72 0.36 0.27 0.136207 0.122882 1477 1017 380 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 13.00 vpr 77.05 MiB 0.08 13236 -1 -1 1 0.32 -1 -1 41088 -1 -1 194 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78900 22 19 3131 2606 1 1626 241 19 19 361 clb auto 39.9 MiB 2.61 11016 77.1 MiB 0.51 0.01 3.88056 -1725.66 -3.88056 3.88056 0.89 0.00228321 0.00195187 0.168842 0.144 48 19450 37 8.16184e+06 4.83553e+06 1.05176e+06 2913.46 5.59 0.892298 0.767447 15825 15 5509 6715 1007406 229054 4.36136 4.36136 -2000.31 -4.36136 0 0 1.27108e+06 3521.00 0.40 0.28 0.122414 0.110911 1515 1036 399 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 14.66 vpr 78.14 MiB 0.09 13608 -1 -1 1 0.31 -1 -1 42272 -1 -1 204 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80020 22 19 3301 2742 1 1720 252 19 19 361 clb auto 41.1 MiB 2.70 11585 78.1 MiB 0.49 0.01 4.00076 -1880.52 -4.00076 4.00076 0.86 0.0024107 0.00204285 0.164152 0.140951 50 20346 38 8.16184e+06 5.35831e+06 1.09718e+06 3039.29 7.13 1.05697 0.908463 16365 16 5655 6672 1075464 244365 4.36136 4.36136 -2142.01 -4.36136 0 0 1.31179e+06 3633.76 0.40 0.30 0.136045 0.123073 1595 1091 418 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 13.64 vpr 78.81 MiB 0.09 13860 -1 -1 1 0.35 -1 -1 42552 -1 -1 209 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80700 22 19 3375 2799 1 1765 257 19 19 361 clb auto 41.6 MiB 2.84 11231 78.8 MiB 0.58 0.01 4.12096 -1860.15 -4.12096 4.12096 0.85 0.00243834 0.00208085 0.188938 0.161351 48 20488 44 8.16184e+06 5.4217e+06 1.05176e+06 2913.46 5.86 1.01618 0.872237 16315 15 5764 7049 1020587 246316 4.48156 4.48156 -2131.19 -4.48156 0 0 1.27108e+06 3521.00 0.40 0.28 0.128864 0.116795 1633 1110 437 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 12.42 vpr 79.58 MiB 0.10 14232 -1 -1 1 0.39 -1 -1 42880 -1 -1 223 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81492 22 19 3615 3005 1 1878 271 20 20 400 clb auto 42.7 MiB 2.97 13622 79.6 MiB 0.63 0.01 3.88056 -2025.4 -3.88056 3.88056 0.98 0.00262387 0.00223367 0.197725 0.16886 50 23032 36 1.10667e+07 5.59919e+06 1.21483e+06 3037.08 3.98 0.877434 0.757025 18697 14 6291 7743 1148037 267794 4.36136 4.36136 -2369.15 -4.36136 0 0 1.45344e+06 3633.59 0.47 0.32 0.143683 0.130611 1747 1201 456 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 13.74 vpr 79.95 MiB 0.10 14240 -1 -1 1 0.38 -1 -1 42436 -1 -1 228 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81864 22 19 3689 3062 1 1918 276 20 20 400 clb auto 43.1 MiB 3.09 13106 79.9 MiB 0.59 0.01 4.12096 -2025.7 -4.12096 4.12096 0.98 0.00278293 0.0023825 0.187211 0.159227 50 22037 28 1.10667e+07 5.66258e+06 1.21483e+06 3037.08 5.07 0.989217 0.848204 18389 15 6195 7674 1445584 327849 4.36136 4.36136 -2375.94 -4.36136 0 0 1.45344e+06 3633.59 0.48 0.38 0.151603 0.136899 1785 1220 475 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 19.65 vpr 81.66 MiB 0.10 14752 -1 -1 1 0.40 -1 -1 42396 -1 -1 240 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83620 22 19 3871 3210 1 2023 289 21 21 441 clb auto 44.6 MiB 3.21 14183 81.7 MiB 0.77 0.01 4.12096 -2166.58 -4.12096 4.12096 1.13 0.00269253 0.00229001 0.249198 0.21282 52 26031 44 1.14723e+07 6.21072e+06 1.38344e+06 3137.06 10.27 1.6238 1.39044 19770 16 6641 8170 1236356 285684 4.48156 4.48156 -2459.96 -4.48156 0 0 1.70223e+06 3859.94 0.55 0.35 0.160187 0.144198 1877 1275 494 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 17.54 vpr 81.51 MiB 0.10 14912 -1 -1 1 0.43 -1 -1 42912 -1 -1 245 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83464 22 19 3945 3267 1 2070 294 21 21 441 clb auto 44.5 MiB 3.34 13307 81.5 MiB 0.73 0.01 4.12096 -2158.12 -4.12096 4.12096 1.14 0.00304638 0.00259935 0.24185 0.207639 50 22608 24 1.14723e+07 6.27411e+06 1.34972e+06 3060.59 8.05 1.26542 1.08667 18685 15 6409 8005 1060663 250906 4.36136 4.36136 -2521.24 -4.36136 0 0 1.61476e+06 3661.58 0.54 0.33 0.166151 0.150439 1915 1294 513 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 21.01 vpr 83.42 MiB 0.11 15252 -1 -1 1 0.43 -1 -1 44580 -1 -1 258 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85424 22 19 4159 3447 1 2186 308 22 22 484 mult_36 auto 46.5 MiB 3.44 16451 83.4 MiB 0.70 0.01 4.00076 -2296.18 -4.00076 4.00076 1.29 0.00315643 0.00267066 0.227584 0.195598 48 28434 38 1.26954e+07 6.83492e+06 1.44011e+06 2975.42 10.95 1.49349 1.28163 23130 17 7539 9393 1508129 340463 4.48156 4.48156 -2870.74 -4.48156 0 0 1.74100e+06 3597.11 0.57 0.41 0.178463 0.160215 2021 1367 532 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 22.50 vpr 83.62 MiB 0.11 15592 -1 -1 1 0.45 -1 -1 43124 -1 -1 263 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85624 22 19 4233 3504 1 2225 313 22 22 484 mult_36 auto 46.7 MiB 3.59 16053 83.6 MiB 0.76 0.01 3.88056 -2314.1 -3.88056 3.88056 1.26 0.00326479 0.00280487 0.241359 0.206224 50 30708 46 1.26954e+07 6.89831e+06 1.50222e+06 3103.76 12.20 1.31605 1.12983 22860 15 7726 9632 1541254 342154 4.36136 4.36136 -2815.4 -4.36136 0 0 1.79645e+06 3711.66 0.60 0.41 0.174928 0.158484 2059 1386 551 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 23.02 vpr 84.75 MiB 0.11 15744 -1 -1 1 0.51 -1 -1 44684 -1 -1 274 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86780 22 19 4410 3647 1 2335 324 22 22 484 clb mult_36 auto 48.0 MiB 3.70 15214 84.7 MiB 0.84 0.01 3.88056 -2359.41 -3.88056 3.88056 1.28 0.00340052 0.00292676 0.27401 0.235551 52 27009 46 1.26954e+07 7.03777e+06 1.53957e+06 3180.94 12.39 1.94844 1.67386 20830 15 6800 7983 1295860 303457 4.36136 4.36136 -2763.59 -4.36136 0 0 1.89336e+06 3911.90 0.65 0.39 0.184684 0.16712 2146 1441 570 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 22.75 vpr 85.35 MiB 0.12 15940 -1 -1 1 0.50 -1 -1 44888 -1 -1 278 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87400 22 19 4484 3704 1 2374 328 22 22 484 clb mult_36 auto 48.4 MiB 3.82 15928 85.4 MiB 0.79 0.01 4.00076 -2474.77 -4.00076 4.00076 1.28 0.00351972 0.00301872 0.254193 0.218664 54 27139 34 1.26954e+07 7.08848e+06 1.58090e+06 3266.32 11.98 1.85557 1.59234 21963 19 7469 9078 1349028 316227 4.36136 4.36136 -2941.5 -4.36136 0 0 1.94386e+06 4016.24 0.65 0.43 0.214604 0.192455 2184 1460 589 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 35.88 vpr 86.64 MiB 0.14 16880 -1 -1 1 0.54 -1 -1 45392 -1 -1 302 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88716 22 19 4843 4029 1 2501 353 23 23 529 clb auto 49.9 MiB 3.94 17290 86.6 MiB 0.94 0.01 4.00076 -2693.94 -4.00076 4.00076 1.41 0.00372111 0.00320696 0.305979 0.26288 50 31093 43 1.31518e+07 7.78876e+06 1.65241e+06 3123.66 24.31 2.10852 1.80572 24234 17 8116 9756 1539569 348748 4.36136 4.36136 -3226.24 -4.36136 0 0 1.97533e+06 3734.07 0.67 0.46 0.215316 0.194053 2362 1606 608 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 35.51 vpr 87.44 MiB 0.13 16984 -1 -1 1 0.54 -1 -1 45500 -1 -1 308 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89540 22 19 4917 4086 1 2542 359 23 23 529 clb auto 50.7 MiB 4.06 16933 87.4 MiB 0.97 0.01 4.00076 -2732.92 -4.00076 4.00076 1.42 0.00374786 0.0032352 0.301404 0.25859 50 29065 37 1.31518e+07 7.86482e+06 1.65241e+06 3123.66 23.76 2.11062 1.80885 23687 16 8021 9710 1410081 330546 4.36136 4.36136 -3128.51 -4.36136 0 0 1.97533e+06 3734.07 0.68 0.45 0.21946 0.19833 2401 1625 627 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 19.18 vpr 88.44 MiB 0.14 17420 -1 -1 1 0.61 -1 -1 45612 -1 -1 319 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90560 22 19 5093 4228 1 2643 370 23 23 529 clb auto 51.7 MiB 4.20 17827 88.4 MiB 1.14 0.01 4.00076 -2922.1 -4.00076 4.00076 1.41 0.00428312 0.00370387 0.36501 0.313593 54 29479 25 1.31518e+07 8.00428e+06 1.73850e+06 3286.39 7.01 1.52758 1.31742 24791 16 8142 10095 1423575 337166 4.36136 4.36136 -3387.92 -4.36136 0 0 2.13727e+06 4040.20 0.70 0.44 0.214754 0.193891 2487 1680 646 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 23.64 vpr 88.52 MiB 0.14 17420 -1 -1 1 0.59 -1 -1 45712 -1 -1 323 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90640 22 19 5167 4285 1 2691 374 23 23 529 clb auto 52.1 MiB 4.30 18899 88.5 MiB 1.10 0.01 4.00076 -2957.86 -4.00076 4.00076 1.43 0.00408594 0.00349287 0.342489 0.292843 54 31094 28 1.31518e+07 8.05499e+06 1.73850e+06 3286.39 11.34 1.81353 1.55614 25659 16 8221 10264 1459137 339963 4.36136 4.36136 -3443.2 -4.36136 0 0 2.13727e+06 4040.20 0.71 0.44 0.218375 0.197001 2525 1699 665 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 27.63 vpr 89.79 MiB 0.15 17956 -1 -1 1 0.63 -1 -1 46060 -1 -1 336 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 91948 22 19 5380 4464 1 2808 388 24 24 576 mult_36 auto 53.2 MiB 4.43 20511 89.8 MiB 1.25 0.01 4.12096 -3150.49 -4.12096 4.12096 1.55 0.00439071 0.00379901 0.382231 0.327076 54 32704 31 1.53347e+07 8.61581e+06 1.87785e+06 3260.16 14.61 2.23684 1.92078 27509 14 8085 9685 1423819 329479 4.60176 4.60176 -3623.39 -4.60176 0 0 2.31032e+06 4010.97 0.78 0.44 0.214659 0.194513 2630 1772 684 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 39.69 vpr 90.23 MiB 0.16 18016 -1 -1 1 0.67 -1 -1 46280 -1 -1 342 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92400 22 19 5454 4521 1 2849 394 24 24 576 clb mult_36 auto 54.4 MiB 4.54 19725 90.2 MiB 1.13 0.01 4.12096 -3139.06 -4.12096 4.12096 1.55 0.00442695 0.00378774 0.350902 0.301182 48 33757 40 1.53347e+07 8.69188e+06 1.71014e+06 2969.00 26.58 2.70779 2.3154 27886 17 9449 11318 1713722 404572 4.36136 4.36136 -3629.74 -4.36136 0 0 2.06880e+06 3591.66 0.74 0.52 0.250637 0.225591 2668 1791 703 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 28.91 vpr 91.18 MiB 0.15 18384 -1 -1 1 0.66 -1 -1 45016 -1 -1 352 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 93368 22 19 5629 4662 1 2951 404 24 24 576 clb mult_36 auto 55.2 MiB 4.66 22003 91.2 MiB 1.21 0.01 4.36136 -3338.15 -4.36136 4.36136 1.58 0.00465135 0.00402786 0.377292 0.324545 54 39164 50 1.53347e+07 8.81866e+06 1.87785e+06 3260.16 15.41 1.94639 1.67768 29536 18 9193 11196 1786469 402620 4.72196 4.72196 -3832.05 -4.72196 0 0 2.31032e+06 4010.97 0.78 0.55 0.26537 0.238708 2753 1846 722 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 23.11 vpr 91.89 MiB 0.16 18528 -1 -1 1 0.69 -1 -1 46304 -1 -1 357 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 94100 22 19 5703 4719 1 2994 409 25 25 625 clb auto 56.1 MiB 4.84 22783 91.9 MiB 1.35 0.02 4.00076 -3285.04 -4.00076 4.00076 1.74 0.00482622 0.00419906 0.413926 0.357016 52 38354 46 1.58291e+07 8.88205e+06 1.99531e+06 3192.49 8.79 1.94542 1.67944 30437 15 9315 11360 1738492 394600 4.48156 4.48156 -4068.55 -4.48156 0 0 2.45448e+06 3927.17 0.89 0.54 0.250106 0.226035 2791 1865 741 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 28.02 vpr 92.96 MiB 0.16 19148 -1 -1 1 0.76 -1 -1 46944 -1 -1 373 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 95192 22 19 5950 4932 1 3111 426 25 25 625 clb auto 56.7 MiB 4.89 24330 93.0 MiB 1.41 0.02 4.12096 -3575.74 -4.12096 4.12096 1.73 0.00489151 0.00423874 0.428549 0.368537 56 39239 48 1.58291e+07 9.48089e+06 2.10056e+06 3360.90 13.47 2.10324 1.81174 33027 15 9687 12335 1774614 401519 4.60176 4.60176 -4315.35 -4.60176 0 0 2.57664e+06 4122.63 0.89 0.54 0.261056 0.236128 2912 1956 760 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 47.00 vpr 93.41 MiB 0.16 19288 -1 -1 1 0.75 -1 -1 47280 -1 -1 376 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 95656 22 19 6024 4989 1 3151 429 25 25 625 clb auto 57.3 MiB 5.04 22299 93.4 MiB 1.36 0.02 4.24116 -3430.49 -4.24116 4.24116 1.75 0.00482749 0.00414164 0.423036 0.363384 50 37165 41 1.58291e+07 9.51893e+06 1.94653e+06 3114.45 32.42 2.87993 2.46817 30380 14 9901 12231 1724208 406278 4.48156 4.48156 -4297.1 -4.48156 0 0 2.32897e+06 3726.35 0.81 0.51 0.246858 0.223625 2950 1975 779 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 28.21 vpr 94.43 MiB 0.17 19748 -1 -1 1 0.80 -1 -1 47532 -1 -1 388 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 96696 22 19 6198 5129 1 3252 441 25 25 625 clb auto 58.4 MiB 5.13 23494 94.4 MiB 1.31 0.02 4.12096 -3525.64 -4.12096 4.12096 1.75 0.00504826 0.00436528 0.412059 0.355873 54 39616 45 1.58291e+07 9.67106e+06 2.04878e+06 3278.05 13.32 2.43257 2.09776 31503 15 9845 11913 1974460 460334 4.48156 4.48156 -4013.95 -4.48156 0 0 2.52006e+06 4032.10 0.88 0.58 0.270582 0.244583 3034 2030 798 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 25.03 vpr 95.19 MiB 0.18 19856 -1 -1 1 0.80 -1 -1 47332 -1 -1 393 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 97476 22 19 6272 5186 1 3297 446 26 26 676 clb auto 59.0 MiB 5.31 24217 95.2 MiB 1.51 0.02 4.24116 -3546.76 -4.24116 4.24116 1.98 0.00491934 0.00423856 0.45902 0.395208 52 42859 45 1.91809e+07 9.73445e+06 2.20423e+06 3260.69 9.12 1.89236 1.63699 33221 15 10181 12359 1870593 416034 4.72196 4.72196 -4315.65 -4.72196 0 0 2.70930e+06 4007.84 1.01 0.57 0.275295 0.248714 3072 2049 817 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 30.49 vpr 96.33 MiB 0.18 20196 -1 -1 1 0.85 -1 -1 47704 -1 -1 406 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 98644 22 19 6485 5365 1 3415 460 26 26 676 clb auto 60.2 MiB 5.42 23302 96.3 MiB 1.61 0.02 4.12096 -3670.17 -4.12096 4.12096 1.88 0.00508477 0.00438575 0.478254 0.41126 54 36750 21 1.91809e+07 1.02953e+07 2.26288e+06 3347.46 14.44 2.37317 2.05043 31364 14 9882 12368 1677640 394480 4.48156 4.48156 -4274.04 -4.48156 0 0 2.78165e+06 4114.86 1.01 0.54 0.273707 0.247998 3177 2122 836 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 30.08 vpr 96.16 MiB 0.18 20336 -1 -1 1 0.87 -1 -1 47928 -1 -1 411 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 98464 22 19 6559 5422 1 3449 465 26 26 676 clb auto 60.4 MiB 5.51 26446 96.2 MiB 1.65 0.02 4.00076 -3754.66 -4.00076 4.00076 1.95 0.00554611 0.00482937 0.496459 0.428168 54 43899 37 1.91809e+07 1.03587e+07 2.26288e+06 3347.46 13.67 2.21756 1.91154 34941 15 10681 13486 2029160 460004 4.60176 4.60176 -4346.22 -4.60176 0 0 2.78165e+06 4114.86 1.01 0.63 0.290989 0.262818 3215 2141 855 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 27.82 vpr 98.07 MiB 0.18 20644 -1 -1 1 0.89 -1 -1 48472 -1 -1 421 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 100424 22 19 6735 5564 1 3561 475 26 26 676 clb auto 62.0 MiB 5.65 25534 98.1 MiB 1.74 0.02 4.00076 -3830.4 -4.00076 4.00076 1.96 0.00575805 0.0049758 0.518767 0.448449 56 39676 20 1.91809e+07 1.04854e+07 2.31971e+06 3431.53 10.98 2.12043 1.83386 35149 18 10919 13506 2245714 518288 4.60176 4.60176 -4626.07 -4.60176 0 0 2.84390e+06 4206.95 1.02 0.69 0.336249 0.302098 3301 2196 874 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 30.05 vpr 98.33 MiB 0.18 20836 -1 -1 1 0.90 -1 -1 48392 -1 -1 427 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 100692 22 19 6809 5621 1 3598 481 26 26 676 clb auto 62.3 MiB 5.75 26992 98.3 MiB 1.74 0.02 4.12096 -3918.47 -4.12096 4.12096 1.92 0.0056554 0.00491668 0.516612 0.444867 56 41253 24 1.91809e+07 1.05615e+07 2.31971e+06 3431.53 13.19 2.16941 1.87496 36393 16 10925 13434 2170243 487591 4.48156 4.48156 -4581.77 -4.48156 0 0 2.84390e+06 4206.95 1.01 0.66 0.315443 0.284586 3339 2215 893 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 31.87 vpr 99.77 MiB 0.19 21420 -1 -1 1 0.95 -1 -1 48564 -1 -1 443 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 102164 22 19 7094 5872 1 3718 498 27 27 729 clb auto 63.7 MiB 5.90 26732 99.8 MiB 1.87 0.02 4.00076 -4076.07 -4.00076 4.00076 2.12 0.00581712 0.00501593 0.553682 0.477776 54 44054 31 1.9726e+07 1.11604e+07 2.44988e+06 3360.60 14.14 2.6918 2.32253 35641 16 11213 14091 1936153 450639 4.36136 4.36136 -4808.86 -4.36136 0 0 3.01106e+06 4130.40 1.11 0.63 0.319826 0.287989 3480 2324 912 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 31.08 vpr 100.10 MiB 0.19 21444 -1 -1 1 0.95 -1 -1 48492 -1 -1 448 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 102504 22 19 7168 5929 1 3755 503 27 27 729 clb auto 64.1 MiB 6.00 27731 100.1 MiB 1.89 0.02 4.12096 -4135.57 -4.12096 4.12096 2.12 0.00591982 0.00510721 0.545541 0.470616 54 46245 36 1.9726e+07 1.12237e+07 2.44988e+06 3360.60 13.16 2.34267 2.02583 37168 16 11451 14391 2149920 479921 4.48156 4.48156 -4821.04 -4.48156 0 0 3.01106e+06 4130.40 1.08 0.68 0.328724 0.296664 3518 2343 931 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 36.25 vpr 103.17 MiB 0.20 21912 -1 -1 1 1.06 -1 -1 48940 -1 -1 459 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 105644 22 19 7344 6071 1 3863 514 27 27 729 clb auto 65.5 MiB 6.16 29589 101.3 MiB 1.91 0.02 4.24116 -4281.82 -4.24116 4.24116 2.11 0.00647666 0.00566543 0.577502 0.501528 56 45179 23 1.9726e+07 1.13632e+07 2.51142e+06 3445.02 18.02 2.68777 2.33064 39660 14 11918 14320 2260402 505277 4.60176 4.60176 -5252.66 -4.60176 0 0 3.07846e+06 4222.85 1.12 0.65 0.302528 0.27356 3604 2398 950 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 30.11 vpr 101.74 MiB 0.20 22068 -1 -1 1 1.05 -1 -1 48808 -1 -1 464 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 104184 22 19 7418 6128 1 3909 519 27 27 729 clb auto 65.7 MiB 6.32 28871 101.7 MiB 1.81 0.02 4.12096 -4248.75 -4.12096 4.12096 2.07 0.00628065 0.00545526 0.521369 0.449468 56 43304 24 1.9726e+07 1.14266e+07 2.51142e+06 3445.02 11.81 2.5451 2.19838 38741 15 11638 14633 2225826 516368 4.48156 4.48156 -5044.56 -4.48156 0 0 3.07846e+06 4222.85 1.13 0.70 0.332908 0.301074 3642 2417 969 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 8.71 vpr 65.85 MiB 0.04 9500 -1 -1 1 0.11 -1 -1 38516 -1 -1 79 22 0 4 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67432 22 19 1246 925 1 736 124 16 16 256 mult_36 auto 28.3 MiB 1.20 4393 65.9 MiB 0.19 0.00 7.16118 -339.58 -7.16118 7.16118 0.54 0.000850037 0.000724628 0.0562824 0.0477582 40 8455 31 6.34292e+06 2.58556e+06 583096. 2277.72 4.82 0.453828 0.39281 7260 20 5979 6734 1073341 255611 7.87419 7.87419 -477.552 -7.87419 0 0 763333. 2981.77 0.22 0.22 0.0585461 0.0532619 589 285 247 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 6.88 vpr 66.53 MiB 0.05 9800 -1 -1 1 0.12 -1 -1 39320 -1 -1 84 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68128 22 19 1344 989 1 796 130 16 16 256 mult_36 auto 29.1 MiB 1.38 5050 66.5 MiB 0.21 0.00 7.26932 -335.647 -7.26932 7.26932 0.54 0.000815941 0.000690818 0.0602112 0.0515577 40 10221 48 6.34292e+06 3.04495e+06 583096. 2277.72 2.72 0.343496 0.300002 8031 25 6596 7385 1173682 291918 8.41814 8.41814 -453.705 -8.41814 0 0 763333. 2981.77 0.22 0.24 0.070784 0.0639309 633 304 266 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 6.37 vpr 67.19 MiB 0.05 9876 -1 -1 1 0.13 -1 -1 39360 -1 -1 89 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68804 22 19 1418 1046 1 833 135 16 16 256 mult_36 auto 29.6 MiB 1.36 5422 67.2 MiB 0.20 0.00 7.38846 -366.034 -7.38846 7.38846 0.54 0.000890851 0.000756955 0.0634327 0.0539256 42 10441 44 6.34292e+06 3.10834e+06 613404. 2396.11 2.20 0.320252 0.277897 8011 22 6048 6707 1286853 317066 7.89508 7.89508 -452.062 -7.89508 0 0 784202. 3063.29 0.23 0.26 0.0687708 0.062405 671 323 285 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 9.17 vpr 67.98 MiB 0.06 10504 -1 -1 1 0.14 -1 -1 39396 -1 -1 95 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69612 22 19 1518 1112 1 899 141 16 16 256 mult_36 auto 30.1 MiB 1.42 5390 68.0 MiB 0.22 0.00 7.95583 -410.575 -7.95583 7.95583 0.53 0.000844249 0.000705116 0.0615274 0.0521046 44 10404 36 6.34292e+06 3.18441e+06 649498. 2537.10 4.92 0.590159 0.512149 8032 23 5019 5745 850213 214896 8.53359 8.53359 -561.983 -8.53359 0 0 820238. 3204.05 0.24 0.20 0.0727727 0.0657756 717 342 304 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 10.10 vpr 68.11 MiB 0.06 10616 -1 -1 1 0.17 -1 -1 38940 -1 -1 100 22 0 5 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69748 22 19 1592 1169 1 937 146 16 16 256 mult_36 auto 30.5 MiB 1.50 5894 68.1 MiB 0.25 0.00 7.77958 -408.556 -7.77958 7.77958 0.53 0.000933892 0.000785356 0.0638871 0.0544034 48 10727 36 6.34292e+06 3.2478e+06 714410. 2790.66 5.64 0.61547 0.53348 8452 24 5748 6371 999147 258242 8.46928 8.46928 -509.986 -8.46928 0 0 863353. 3372.47 0.25 0.23 0.080339 0.0723841 755 361 323 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 9.94 vpr 68.77 MiB 0.06 10800 -1 -1 1 0.13 -1 -1 39628 -1 -1 105 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70416 22 19 1688 1231 1 998 152 16 16 256 mult_36 auto 31.3 MiB 1.70 6125 68.8 MiB 0.27 0.00 7.82463 -423.984 -7.82463 7.82463 0.53 0.0010884 0.000919328 0.0716576 0.060973 46 11034 45 6.34292e+06 3.70719e+06 684529. 2673.94 5.27 0.629453 0.545521 8578 21 5222 6076 850908 224830 7.88239 7.88239 -540.307 -7.88239 0 0 838722. 3276.26 0.24 0.21 0.078892 0.0716346 797 380 342 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 7.28 vpr 69.18 MiB 0.06 10988 -1 -1 1 0.17 -1 -1 39600 -1 -1 110 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70836 22 19 1762 1288 1 1033 157 16 16 256 mult_36 auto 31.5 MiB 1.73 6178 69.2 MiB 0.28 0.00 8.09218 -434.53 -8.09218 8.09218 0.54 0.00102014 0.000845648 0.081518 0.0693394 46 10857 32 6.34292e+06 3.77058e+06 684529. 2673.94 2.49 0.388247 0.336978 8832 26 5543 6248 1050575 264750 8.85219 8.85219 -559.957 -8.85219 0 0 838722. 3276.26 0.24 0.25 0.0886992 0.0796457 835 399 361 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 9.98 vpr 69.82 MiB 0.06 11164 -1 -1 1 0.18 -1 -1 39944 -1 -1 117 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71500 22 19 1859 1351 1 1097 164 16 16 256 mult_36 auto 32.2 MiB 1.95 7322 69.8 MiB 0.27 0.00 8.07603 -465.524 -8.07603 8.07603 0.54 0.00118248 0.00100473 0.0754299 0.0647375 50 11853 30 6.34292e+06 3.85933e+06 744679. 2908.90 4.87 0.645961 0.559282 10149 24 6228 7099 1150080 291146 8.43839 8.43839 -581.009 -8.43839 0 0 891356. 3481.86 0.26 0.27 0.0949109 0.0857106 878 418 380 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 8.10 vpr 70.25 MiB 0.07 11512 -1 -1 1 0.18 -1 -1 39864 -1 -1 121 22 0 6 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71932 22 19 1933 1408 1 1134 168 16 16 256 mult_36 auto 32.7 MiB 1.92 7512 70.2 MiB 0.31 0.01 7.75358 -473.156 -7.75358 7.75358 0.54 0.00133264 0.00112462 0.0839817 0.0719866 50 12438 42 6.34292e+06 3.91004e+06 744679. 2908.90 2.98 0.512783 0.44568 10155 24 6232 7179 1036072 267783 7.95834 7.95834 -617.076 -7.95834 0 0 891356. 3481.86 0.26 0.26 0.0978399 0.0880026 916 437 399 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 9.00 vpr 70.86 MiB 0.07 11672 -1 -1 1 0.21 -1 -1 40056 -1 -1 129 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72556 22 19 2031 1472 1 1198 177 18 18 324 mult_36 auto 33.1 MiB 2.07 7109 70.9 MiB 0.39 0.01 7.70337 -489.744 -7.70337 7.70337 0.75 0.00149849 0.0012788 0.111751 0.0953585 46 13325 29 7.79418e+06 4.40746e+06 895831. 2764.91 3.06 0.460019 0.399237 10554 23 6924 7784 1272769 309751 8.49079 8.49079 -675.597 -8.49079 0 0 1.09776e+06 3388.15 0.33 0.30 0.102895 0.0929069 960 456 418 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 13.02 vpr 71.24 MiB 0.07 11692 -1 -1 1 0.18 -1 -1 40140 -1 -1 134 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72948 22 19 2105 1529 1 1235 182 18 18 324 mult_36 auto 33.9 MiB 2.08 7715 71.2 MiB 0.37 0.01 7.94272 -507.877 -7.94272 7.94272 0.74 0.0014359 0.00123606 0.0978277 0.0834552 46 15025 37 7.79418e+06 4.47085e+06 895831. 2764.91 7.02 0.76339 0.660424 11673 25 8107 9241 1621879 380606 8.20205 8.20205 -804.59 -8.20205 0 0 1.09776e+06 3388.15 0.33 0.35 0.111312 0.10009 998 475 437 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 10.24 vpr 71.86 MiB 0.08 12256 -1 -1 1 0.21 -1 -1 40544 -1 -1 139 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73588 22 19 2201 1591 1 1295 187 18 18 324 mult_36 auto 34.2 MiB 2.29 8133 71.9 MiB 0.43 0.01 7.67143 -542.636 -7.67143 7.67143 0.75 0.00151384 0.00130258 0.117629 0.100871 48 13807 35 7.79418e+06 4.53424e+06 935225. 2886.50 3.84 0.587284 0.508792 11835 22 9113 10172 1881382 451367 8.48359 8.48359 -993.049 -8.48359 0 0 1.13028e+06 3488.51 0.34 0.40 0.113139 0.102413 1040 494 456 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 15.87 vpr 71.81 MiB 0.08 12152 -1 -1 1 0.22 -1 -1 40732 -1 -1 143 22 0 7 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73536 22 19 2275 1648 1 1331 191 18 18 324 mult_36 auto 34.3 MiB 2.28 8150 71.8 MiB 0.44 0.01 7.78063 -561.918 -7.78063 7.78063 0.75 0.00148155 0.00126225 0.122283 0.104215 44 16645 48 7.79418e+06 4.58495e+06 850563. 2625.19 9.50 0.853815 0.736597 12154 24 8506 9731 1547401 368803 8.16485 8.16485 -785.071 -8.16485 0 0 1.07356e+06 3313.45 0.33 0.35 0.117903 0.106205 1078 513 475 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 13.07 vpr 72.77 MiB 0.08 12496 -1 -1 1 0.23 -1 -1 40784 -1 -1 151 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74520 22 19 2385 1724 1 1408 200 18 18 324 mult_36 auto 35.3 MiB 2.42 8684 72.8 MiB 0.41 0.01 7.83563 -610.598 -7.83563 7.83563 0.74 0.00162265 0.00139031 0.121801 0.105192 48 15072 28 7.79418e+06 5.08238e+06 935225. 2886.50 6.49 0.827054 0.71651 12904 22 7717 8959 1604570 386097 8.21605 8.21605 -929.907 -8.21605 0 0 1.13028e+06 3488.51 0.35 0.36 0.118573 0.107269 1134 532 494 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 16.40 vpr 72.88 MiB 0.09 12504 -1 -1 1 0.27 -1 -1 41648 -1 -1 156 22 0 8 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74624 22 19 2459 1781 1 1444 205 18 18 324 mult_36 auto 35.6 MiB 2.48 8915 72.9 MiB 0.47 0.01 7.83563 -620.448 -7.83563 7.83563 0.74 0.00157906 0.00131855 0.125772 0.10768 46 17212 47 7.79418e+06 5.14577e+06 895831. 2764.91 9.70 1.00313 0.866128 12795 23 8379 9525 1451993 356116 8.26605 8.26605 -937.632 -8.26605 0 0 1.09776e+06 3388.15 0.34 0.35 0.127209 0.114704 1172 551 513 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 18.18 vpr 73.76 MiB 0.09 12756 -1 -1 1 0.24 -1 -1 41064 -1 -1 163 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75528 22 19 2565 1853 1 1517 213 22 22 484 mult_36 auto 36.3 MiB 2.67 9958 73.8 MiB 0.56 0.01 7.75358 -586.385 -7.75358 7.75358 1.25 0.00176525 0.00151974 0.150411 0.129663 46 18016 34 1.26954e+07 5.63051e+06 1.37878e+06 2848.72 9.78 0.994576 0.859753 14477 22 9705 11006 1931779 451761 8.55948 8.55948 -903.176 -8.55948 0 0 1.69059e+06 3492.95 0.55 0.43 0.127842 0.115547 1224 570 532 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 39.74 vpr 74.21 MiB 0.09 13028 -1 -1 1 0.28 -1 -1 40964 -1 -1 168 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75992 22 19 2639 1910 1 1554 218 22 22 484 mult_36 auto 37.4 MiB 2.70 10103 74.2 MiB 0.50 0.01 7.81258 -586.494 -7.81258 7.81258 1.28 0.00182481 0.00155698 0.145723 0.125735 40 19677 41 1.26954e+07 5.6939e+06 1.17677e+06 2431.33 30.93 1.31847 1.13916 15952 47 16378 18356 3524925 906159 9.13865 9.13865 -954.474 -9.13865 0 0 1.53957e+06 3180.94 0.51 0.85 0.243475 0.21717 1262 589 551 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 19.36 vpr 74.77 MiB 0.10 13292 -1 -1 1 0.29 -1 -1 40924 -1 -1 175 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76568 22 19 2744 1981 1 1626 225 22 22 484 mult_36 auto 37.9 MiB 2.80 11432 74.8 MiB 0.63 0.01 8.07498 -673.483 -8.07498 8.07498 1.28 0.00225164 0.00196653 0.179554 0.155126 46 20960 46 1.26954e+07 5.78265e+06 1.37878e+06 2848.72 10.60 0.837139 0.727299 16248 20 9242 10647 2313816 530221 8.54639 8.54639 -1029.81 -8.54639 0 0 1.69059e+06 3492.95 0.56 0.48 0.128262 0.115665 1313 608 570 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 19.89 vpr 74.96 MiB 0.10 13344 -1 -1 1 0.32 -1 -1 40648 -1 -1 179 22 0 9 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76756 22 19 2818 2038 1 1662 229 22 22 484 mult_36 auto 38.1 MiB 2.86 11196 75.0 MiB 0.65 0.01 7.83563 -651.381 -7.83563 7.83563 1.28 0.00211048 0.00181421 0.178027 0.153325 48 19627 32 1.26954e+07 5.83336e+06 1.44011e+06 2975.42 10.96 1.21552 1.0495 16754 19 10864 12193 2425530 534802 8.42859 8.42859 -992.157 -8.42859 0 0 1.74100e+06 3597.11 0.59 0.50 0.132704 0.119643 1351 627 589 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 14.19 vpr 75.87 MiB 0.11 13940 -1 -1 1 0.32 -1 -1 41664 -1 -1 187 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77688 22 19 2923 2109 1 1730 238 22 22 484 mult_36 auto 38.9 MiB 2.90 10864 75.9 MiB 0.67 0.01 8.27483 -699.773 -8.27483 8.27483 1.26 0.00214839 0.00185334 0.191612 0.165074 44 20538 38 1.26954e+07 6.33079e+06 1.30964e+06 2705.88 5.24 0.746417 0.649567 16149 23 9322 10637 2040866 479197 9.06071 9.06071 -1169.88 -9.06071 0 0 1.65337e+06 3416.05 0.56 0.47 0.154133 0.138741 1402 646 608 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 17.47 vpr 76.43 MiB 0.11 14116 -1 -1 1 0.33 -1 -1 42412 -1 -1 193 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78264 22 19 2997 2166 1 1769 244 22 22 484 mult_36 auto 39.4 MiB 3.09 11566 76.4 MiB 0.64 0.01 8.26863 -673.544 -8.26863 8.26863 1.25 0.00207257 0.00177802 0.179429 0.154829 46 22107 37 1.26954e+07 6.40685e+06 1.37878e+06 2848.72 8.26 0.874759 0.761153 16555 23 11934 13600 2415536 569607 9.17879 9.17879 -1046.35 -9.17879 0 0 1.69059e+06 3492.95 0.56 0.55 0.161179 0.145142 1441 665 627 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 14.49 vpr 77.12 MiB 0.11 14456 -1 -1 1 0.36 -1 -1 42120 -1 -1 199 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78976 22 19 3101 2236 1 1838 250 22 22 484 mult_36 auto 39.9 MiB 3.27 11435 77.1 MiB 0.62 0.01 8.50903 -691.081 -8.50903 8.50903 1.24 0.00238533 0.00207293 0.185588 0.160106 46 21166 40 1.26954e+07 6.48292e+06 1.37878e+06 2848.72 5.08 0.787121 0.683305 17201 23 11062 12423 2132287 503097 9.22974 9.22974 -1118.81 -9.22974 0 0 1.69059e+06 3492.95 0.56 0.50 0.167856 0.151553 1491 684 646 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 15.44 vpr 77.57 MiB 0.11 14444 -1 -1 1 0.36 -1 -1 41540 -1 -1 203 22 0 10 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79428 22 19 3175 2293 1 1872 254 22 22 484 mult_36 auto 40.6 MiB 3.32 12105 77.6 MiB 0.79 0.01 8.38884 -662.168 -8.38884 8.38884 1.26 0.00245241 0.00211421 0.220744 0.190193 46 22719 44 1.26954e+07 6.53363e+06 1.37878e+06 2848.72 5.56 0.877916 0.762428 17479 25 13101 15052 3398388 780750 9.26425 9.26425 -1091.71 -9.26425 0 0 1.69059e+06 3492.95 0.55 0.70 0.179088 0.16116 1529 703 665 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 22.27 vpr 78.20 MiB 0.12 14712 -1 -1 1 0.36 -1 -1 41696 -1 -1 210 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80076 22 19 3280 2364 1 1945 262 24 24 576 mult_36 auto 41.2 MiB 3.50 13099 78.2 MiB 0.92 0.01 8.50798 -788.353 -8.50798 8.50798 1.55 0.00261547 0.00228639 0.245871 0.213002 46 24238 29 1.53347e+07 7.01838e+06 1.63708e+06 2842.15 11.47 1.27302 1.10009 18741 25 11433 13307 2125133 511642 9.224 9.224 -1389.26 -9.224 0 0 2.00908e+06 3487.99 0.70 0.53 0.187846 0.168667 1580 722 684 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 23.69 vpr 78.27 MiB 0.12 14992 -1 -1 1 0.37 -1 -1 42536 -1 -1 215 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80144 22 19 3354 2421 1 1981 267 24 24 576 mult_36 auto 41.2 MiB 3.55 13592 78.3 MiB 0.90 0.01 8.50903 -796.342 -8.50903 8.50903 1.55 0.00245779 0.00210446 0.243494 0.210006 50 23129 28 1.53347e+07 7.08177e+06 1.78400e+06 3097.22 12.73 1.33153 1.14897 19012 23 10807 12441 2447071 547587 9.1026 9.1026 -1266.96 -9.1026 0 0 2.13454e+06 3705.80 0.73 0.56 0.178079 0.159762 1618 741 703 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 18.82 vpr 79.15 MiB 0.12 15084 -1 -1 1 0.39 -1 -1 42536 -1 -1 222 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81048 22 19 3457 2490 1 2052 274 24 24 576 mult_36 auto 42.2 MiB 3.68 13027 79.1 MiB 0.88 0.01 8.51009 -845.653 -8.51009 8.51009 1.55 0.00270007 0.00231248 0.234669 0.203174 48 21975 26 1.53347e+07 7.17052e+06 1.71014e+06 2969.00 7.67 0.987709 0.85798 19175 25 13333 15240 2448133 584537 9.17999 9.17999 -1340.67 -9.17999 0 0 2.06880e+06 3591.66 0.73 0.59 0.199456 0.178847 1667 760 722 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 16.89 vpr 79.71 MiB 0.12 15200 -1 -1 1 0.38 -1 -1 41792 -1 -1 227 22 0 11 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81624 22 19 3531 2547 1 2089 279 24 24 576 mult_36 auto 42.5 MiB 3.72 14001 79.7 MiB 0.87 0.01 8.62924 -954.931 -8.62924 8.62924 1.54 0.00293733 0.00254225 0.232703 0.202236 48 24806 32 1.53347e+07 7.23391e+06 1.71014e+06 2969.00 5.72 0.893557 0.774658 20611 23 12066 13811 2340547 547198 9.15473 9.15473 -1365.08 -9.15473 0 0 2.06880e+06 3591.66 0.73 0.57 0.196957 0.177623 1705 779 741 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 18.68 vpr 80.17 MiB 0.13 15564 -1 -1 1 0.41 -1 -1 42396 -1 -1 233 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82096 22 19 3634 2616 1 2155 286 24 24 576 mult_36 auto 43.3 MiB 3.91 14406 80.2 MiB 0.97 0.01 8.62924 -917.026 -8.62924 8.62924 1.52 0.00279517 0.00241492 0.255217 0.220078 48 25422 46 1.53347e+07 7.70597e+06 1.71014e+06 2969.00 7.16 1.10571 0.959898 20751 22 15053 17006 2867689 674242 8.83914 8.83914 -1348.95 -8.83914 0 0 2.06880e+06 3591.66 0.72 0.63 0.194061 0.175232 1754 798 760 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 23.82 vpr 80.79 MiB 0.13 15660 -1 -1 1 0.44 -1 -1 42564 -1 -1 238 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82732 22 19 3708 2673 1 2193 291 24 24 576 mult_36 auto 43.6 MiB 3.97 15081 80.8 MiB 1.05 0.01 8.44092 -867.425 -8.44092 8.44092 1.54 0.00308438 0.00270399 0.273373 0.236092 48 25598 33 1.53347e+07 7.76936e+06 1.71014e+06 2969.00 12.18 1.52985 1.32183 21101 21 12608 14492 2315274 552784 9.21 9.21 -1315.64 -9.21 0 0 2.06880e+06 3591.66 0.72 0.55 0.184999 0.166568 1792 817 779 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 26.20 vpr 81.18 MiB 0.14 15796 -1 -1 1 0.48 -1 -1 42436 -1 -1 244 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83124 22 19 3810 2741 1 2260 297 24 24 576 mult_36 auto 44.3 MiB 4.09 15110 81.2 MiB 1.08 0.01 8.61612 -971.326 -8.61612 8.61612 1.57 0.00307251 0.00266904 0.303362 0.262748 54 24998 33 1.53347e+07 7.84543e+06 1.87785e+06 3260.16 14.14 1.77464 1.53632 20816 23 12693 14298 2249692 533848 9.16105 9.16105 -1615.1 -9.16105 0 0 2.31032e+06 4010.97 0.80 0.58 0.213033 0.192097 1840 836 798 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 24.07 vpr 81.91 MiB 0.13 16020 -1 -1 1 0.45 -1 -1 42920 -1 -1 249 22 0 12 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83872 22 19 3884 2798 1 2296 302 24 24 576 mult_36 auto 44.8 MiB 4.13 15233 81.9 MiB 1.06 0.01 8.80469 -997.901 -8.80469 8.80469 1.55 0.00308401 0.00267742 0.27501 0.238289 50 25219 28 1.53347e+07 7.90882e+06 1.78400e+06 3097.22 12.09 1.53696 1.33274 21112 22 11579 13106 2310810 542179 9.34405 9.34405 -1543.35 -9.34405 0 0 2.13454e+06 3705.80 0.74 0.56 0.203967 0.183579 1878 855 817 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 18.90 vpr 82.04 MiB 0.14 16228 -1 -1 1 0.49 -1 -1 44588 -1 -1 256 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84008 22 19 3989 2869 1 2368 310 24 24 576 mult_36 auto 45.2 MiB 4.31 15151 82.0 MiB 1.12 0.01 8.50798 -984.547 -8.50798 8.50798 1.53 0.00320949 0.00280904 0.288719 0.251321 50 24438 39 1.53347e+07 8.39357e+06 1.78400e+06 3097.22 6.57 1.26116 1.09778 21413 24 11780 13822 2643471 635151 9.14359 9.14359 -1484.08 -9.14359 0 0 2.13454e+06 3705.80 0.72 0.64 0.225716 0.203106 1929 874 836 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 21.87 vpr 82.35 MiB 0.14 16364 -1 -1 1 0.48 -1 -1 44468 -1 -1 260 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84328 22 19 4063 2926 1 2404 314 24 24 576 mult_36 auto 45.4 MiB 4.33 16125 82.4 MiB 1.00 0.01 8.58523 -1015.23 -8.58523 8.58523 1.54 0.00332235 0.00288964 0.264931 0.229278 50 28175 31 1.53347e+07 8.44428e+06 1.78400e+06 3097.22 9.59 1.17813 1.02076 23061 21 12970 14909 2616722 601358 8.98165 8.98165 -1704.76 -8.98165 0 0 2.13454e+06 3705.80 0.74 0.61 0.206342 0.185827 1967 893 855 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 26.56 vpr 83.02 MiB 0.15 16868 -1 -1 1 0.50 -1 -1 44568 -1 -1 267 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85008 22 19 4167 2996 1 2473 321 24 24 576 mult_36 auto 46.0 MiB 4.46 17691 83.0 MiB 1.37 0.01 8.70438 -1035.01 -8.70438 8.70438 1.53 0.00338644 0.00295856 0.345873 0.299813 56 27604 34 1.53347e+07 8.53303e+06 1.92546e+06 3342.82 13.62 1.80547 1.56448 24266 21 13612 15603 2769267 656817 9.27399 9.27399 -1409.6 -9.27399 0 0 2.36234e+06 4101.29 0.81 0.65 0.213908 0.193132 2017 912 874 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 19.76 vpr 83.70 MiB 0.16 17060 -1 -1 1 0.53 -1 -1 44852 -1 -1 272 22 0 13 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85704 22 19 4241 3053 1 2509 326 24 24 576 mult_36 auto 46.8 MiB 4.51 16747 83.7 MiB 1.20 0.02 8.38884 -1019.43 -8.38884 8.38884 1.55 0.00352635 0.00302534 0.308145 0.266061 54 26654 22 1.53347e+07 8.59642e+06 1.87785e+06 3260.16 6.92 1.21339 1.0536 22810 21 12789 14848 2404791 581797 8.99654 8.99654 -1531.77 -8.99654 0 0 2.31032e+06 4010.97 0.82 0.60 0.218093 0.196954 2055 931 893 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 33.13 vpr 83.99 MiB 0.16 17312 -1 -1 1 0.53 -1 -1 45044 -1 -1 278 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86004 22 19 4346 3124 1 2580 333 24 24 576 mult_36 auto 46.9 MiB 4.63 20582 84.0 MiB 1.20 0.02 8.95789 -1029.62 -8.95789 8.95789 1.56 0.00394958 0.00339766 0.297266 0.257307 56 34084 48 1.53347e+07 9.06848e+06 1.92546e+06 3342.82 19.93 2.16844 1.88011 28046 21 15146 17627 3348804 778900 9.38051 9.38051 -1526.7 -9.38051 0 0 2.36234e+06 4101.29 0.82 0.78 0.227988 0.204863 2106 950 912 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 22.29 vpr 84.32 MiB 0.16 17348 -1 -1 1 0.55 -1 -1 45136 -1 -1 283 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86348 22 19 4420 3181 1 2615 338 24 24 576 mult_36 auto 47.3 MiB 4.72 17401 84.3 MiB 1.20 0.01 8.51009 -1060.72 -8.51009 8.51009 1.57 0.00378856 0.00333083 0.316774 0.274726 54 27968 36 1.53347e+07 9.13187e+06 1.87785e+06 3260.16 9.14 1.56435 1.35679 23124 24 13061 14757 2301797 576569 9.04054 9.04054 -1596.31 -9.04054 0 0 2.31032e+06 4010.97 0.79 0.63 0.250084 0.22458 2144 969 931 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 23.38 vpr 85.60 MiB 0.16 17604 -1 -1 1 0.59 -1 -1 44636 -1 -1 291 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87656 22 19 4524 3251 1 2687 346 24 24 576 mult_36 auto 48.6 MiB 4.82 18941 85.6 MiB 1.29 0.02 8.62924 -1009.09 -8.62924 8.62924 1.53 0.00361316 0.00313769 0.328796 0.284339 56 30593 47 1.53347e+07 9.2333e+06 1.92546e+06 3342.82 9.91 1.56947 1.36501 26003 21 13424 15912 3205412 760801 9.4662 9.4662 -1903.24 -9.4662 0 0 2.36234e+06 4101.29 0.81 0.75 0.236655 0.213008 2194 988 950 19 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 20.51 vpr 85.40 MiB 0.17 17716 -1 -1 1 0.55 -1 -1 45492 -1 -1 295 22 0 14 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87452 22 19 4598 3308 1 2721 350 24 24 576 mult_36 auto 48.6 MiB 4.89 17476 85.4 MiB 1.24 0.02 8.49804 -1070.94 -8.49804 8.49804 1.51 0.00353751 0.00298204 0.329454 0.28331 52 30477 34 1.53347e+07 9.28401e+06 1.82869e+06 3174.81 7.16 1.41889 1.22576 23900 23 14240 16073 2505043 624834 9.00034 9.00034 -1802.75 -9.00034 0 0 2.25030e+06 3906.77 0.79 0.66 0.256549 0.229584 2232 1007 969 19 0 0 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 9.49 vpr 72.88 MiB 0.07 10876 -1 -1 8 0.61 -1 -1 40012 -1 -1 129 22 0 4 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74628 22 19 1764 1664 1 986 174 16 16 256 mult_36 auto 34.7 MiB 0.30 6716 23939 4658 17157 2124 72.9 MiB 0.37 0.01 3.97876 -1180.52 -3.97876 3.97876 0.81 0.00289719 0.00253419 0.164598 0.14488 54 12989 27 6.2557e+06 3.1391e+06 784202. 3063.29 4.46 1.06301 0.935766 23308 185586 -1 10743 13 3658 7451 632522 151900 4.33936 4.33936 -1335.94 -4.33936 0 0 965591. 3771.84 0.39 0.25 0.18 -1 -1 0.39 0.116898 0.106532 966 909 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 12.42 vpr 73.50 MiB 0.07 11320 -1 -1 8 0.62 -1 -1 40796 -1 -1 139 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75260 22 19 1918 1801 1 1083 185 16 16 256 clb mult_36 auto 35.6 MiB 0.34 7461 26485 5252 19313 1920 73.5 MiB 0.43 0.01 4.09896 -1282.86 -4.09896 4.09896 0.83 0.00332117 0.00293488 0.193921 0.169317 58 13300 19 6.2557e+06 3.65564e+06 820238. 3204.05 7.14 1.19844 1.05531 24072 200857 -1 11763 15 4084 8056 683890 164480 4.21916 4.21916 -1458.02 -4.21916 0 0 1.02849e+06 4017.54 0.42 0.28 0.19 -1 -1 0.42 0.134059 0.121555 1047 984 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 9.74 vpr 74.00 MiB 0.07 11312 -1 -1 8 0.65 -1 -1 40556 -1 -1 143 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75776 22 19 1976 1859 1 1114 189 17 17 289 clb auto 36.3 MiB 0.33 7668 29457 6159 20794 2504 74.0 MiB 0.47 0.01 4.09896 -1318.6 -4.09896 4.09896 0.94 0.00324538 0.00288258 0.213277 0.188475 54 14418 22 6.55708e+06 3.70386e+06 896083. 3100.63 4.17 1.07121 0.948255 26206 212621 -1 12158 18 4385 8615 748368 176589 4.21916 4.21916 -1479.14 -4.21916 0 0 1.10294e+06 3816.40 0.43 0.33 0.19 -1 -1 0.43 0.165284 0.150316 1086 1023 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 14.82 vpr 75.14 MiB 0.09 12000 -1 -1 8 0.76 -1 -1 40496 -1 -1 163 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76944 22 19 2278 2144 1 1240 209 17 17 289 clb auto 37.7 MiB 0.39 8204 29349 5400 21599 2350 75.1 MiB 0.49 0.01 4.09896 -1534.79 -4.09896 4.09896 0.95 0.00363376 0.00319382 0.219503 0.194204 56 14638 32 6.55708e+06 3.94496e+06 918491. 3178.17 8.73 1.81582 1.61532 26494 218197 -1 13002 15 4557 8864 853392 206592 4.21916 4.21916 -1749.86 -4.21916 0 0 1.12733e+06 3900.80 0.45 0.36 0.21 -1 -1 0.45 0.170286 0.154722 1242 1171 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 14.25 vpr 75.94 MiB 0.09 12220 -1 -1 8 0.82 -1 -1 40808 -1 -1 168 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77764 22 19 2336 2202 1 1265 214 18 18 324 clb auto 38.1 MiB 0.40 8926 35359 7324 25666 2369 75.9 MiB 0.56 0.01 3.97876 -1555.53 -3.97876 3.97876 1.10 0.00411686 0.00366073 0.246864 0.21724 56 16247 26 7.67456e+06 4.00524e+06 1.05222e+06 3247.61 7.55 1.5124 1.32866 30196 251424 -1 14437 16 5033 10077 968840 225776 4.21916 4.21916 -1822.56 -4.21916 0 0 1.29075e+06 3983.80 0.54 0.40 0.24 -1 -1 0.54 0.176768 0.160252 1281 1210 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 24.06 vpr 76.04 MiB 0.09 12808 -1 -1 8 0.87 -1 -1 41392 -1 -1 175 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77868 22 19 2488 2337 1 1372 222 18 18 324 clb auto 38.7 MiB 0.42 9600 37127 7986 26661 2480 76.0 MiB 0.59 0.01 3.97876 -1665.15 -3.97876 3.97876 1.11 0.00413598 0.00365805 0.254278 0.222722 54 18831 49 7.67456e+06 4.48562e+06 1.02660e+06 3168.53 17.18 2.20043 1.93699 29872 244976 -1 15037 16 5255 10425 936190 217647 4.33936 4.33936 -1920.91 -4.33936 0 0 1.26286e+06 3897.71 0.51 0.41 0.23 -1 -1 0.51 0.194811 0.177199 1360 1285 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 17.49 vpr 76.68 MiB 0.09 12696 -1 -1 8 0.90 -1 -1 40964 -1 -1 182 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78516 22 19 2546 2395 1 1407 229 18 18 324 clb auto 39.3 MiB 0.44 9965 41529 9161 29208 3160 76.7 MiB 0.68 0.01 3.97876 -1693.16 -3.97876 3.97876 1.18 0.00428362 0.00378725 0.295805 0.261435 58 17397 22 7.67456e+06 4.57001e+06 1.07356e+06 3313.45 10.28 1.96076 1.73029 30840 265148 -1 15388 14 5026 10093 939467 217293 4.33936 4.33936 -1959.02 -4.33936 0 0 1.34501e+06 4151.27 0.56 0.40 0.25 -1 -1 0.56 0.186457 0.169578 1399 1324 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 20.25 vpr 77.89 MiB 0.10 13288 -1 -1 8 1.04 -1 -1 41624 -1 -1 193 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79756 22 19 2735 2567 1 1516 240 19 19 361 clb auto 40.5 MiB 0.50 11931 40470 8469 29910 2091 77.9 MiB 0.68 0.01 4.09896 -1860.49 -4.09896 4.09896 1.26 0.00469879 0.00416491 0.284533 0.247507 58 20699 34 8.02416e+06 4.70262e+06 1.20750e+06 3344.89 12.17 2.09025 1.84032 34238 298765 -1 18141 15 6062 12296 1285658 295067 4.21916 4.21916 -2105.46 -4.21916 0 0 1.51231e+06 4189.22 0.67 0.50 0.29 -1 -1 0.67 0.201146 0.182395 1497 1417 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 23.62 vpr 78.01 MiB 0.10 13592 -1 -1 8 1.07 -1 -1 42040 -1 -1 200 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79880 22 19 2793 2625 1 1545 247 19 19 361 clb auto 40.7 MiB 0.50 11731 45913 10267 33220 2426 78.0 MiB 0.72 0.01 4.09896 -1894.34 -4.09896 4.09896 1.30 0.00467286 0.00410137 0.300323 0.261993 58 20711 33 8.02416e+06 4.787e+06 1.20750e+06 3344.89 15.51 2.4373 2.13665 34238 298765 -1 18206 14 6066 12243 1358088 309274 4.33936 4.33936 -2184.55 -4.33936 0 0 1.51231e+06 4189.22 0.67 0.50 0.28 -1 -1 0.67 0.195663 0.178187 1536 1456 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 15.13 vpr 79.08 MiB 0.11 13944 -1 -1 8 1.10 -1 -1 42432 -1 -1 211 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80980 22 19 2947 2762 1 1644 259 19 19 361 clb auto 41.8 MiB 0.51 11941 45634 9859 33069 2706 79.1 MiB 0.75 0.01 4.09896 -2015.89 -4.09896 4.09896 1.29 0.00477932 0.00420968 0.311592 0.273525 58 20472 30 8.02416e+06 5.3156e+06 1.20750e+06 3344.89 6.88 1.67994 1.48415 34238 298765 -1 18477 14 6097 11878 1180369 276422 4.33936 4.33936 -2281.03 -4.33936 0 0 1.51231e+06 4189.22 0.67 0.49 0.28 -1 -1 0.67 0.22071 0.201646 1617 1531 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 17.71 vpr 79.16 MiB 0.10 13816 -1 -1 8 1.19 -1 -1 42148 -1 -1 216 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81060 22 19 3005 2820 1 1676 264 19 19 361 clb auto 42.0 MiB 0.55 12762 53562 12715 38111 2736 79.2 MiB 0.91 0.01 4.09896 -2068.06 -4.09896 4.09896 1.29 0.00564651 0.00506949 0.385811 0.340703 58 22030 35 8.02416e+06 5.37588e+06 1.20750e+06 3344.89 9.15 1.87075 1.65639 34238 298765 -1 19349 15 6057 12520 1137301 261474 4.45956 4.45956 -2397.57 -4.45956 0 0 1.51231e+06 4189.22 0.67 0.47 0.29 -1 -1 0.67 0.21249 0.191992 1656 1570 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 31.00 vpr 80.34 MiB 0.12 14468 -1 -1 8 1.27 -1 -1 44120 -1 -1 231 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82268 22 19 3229 3027 1 1787 279 20 20 400 clb auto 43.3 MiB 0.57 12560 53117 11778 38338 3001 80.3 MiB 0.90 0.01 3.97876 -2260.63 -3.97876 3.97876 1.41 0.00569525 0.00501851 0.366486 0.320319 56 23254 38 1.09209e+07 5.5567e+06 1.31097e+06 3277.42 21.59 2.89587 2.5299 37530 313959 -1 20391 29 7083 14485 1660364 430926 4.45956 4.45956 -2597.44 -4.45956 0 0 1.60880e+06 4022.00 0.73 0.79 0.30 -1 -1 0.73 0.370418 0.330869 1771 1681 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 25.06 vpr 81.73 MiB 0.12 14724 -1 -1 8 1.36 -1 -1 44116 -1 -1 237 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83688 22 19 3287 3085 1 1821 285 21 21 441 clb auto 43.8 MiB 0.62 14297 59316 14546 41974 2796 81.7 MiB 0.98 0.02 4.09896 -2304.94 -4.09896 4.09896 1.61 0.00612157 0.00546974 0.407556 0.356221 60 24059 36 1.13066e+07 5.62904e+06 1.51956e+06 3445.70 15.04 2.78114 2.47499 42502 376505 -1 21468 17 6792 14073 1281895 290129 4.33936 4.33936 -2620.43 -4.33936 0 0 1.89683e+06 4301.21 0.91 0.57 0.36 -1 -1 0.91 0.26374 0.238613 1810 1720 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 26.34 vpr 82.30 MiB 0.12 15080 -1 -1 8 1.43 -1 -1 43512 -1 -1 251 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84280 22 19 3453 3234 1 1931 300 21 21 441 clb auto 44.4 MiB 0.59 16327 64492 15810 45321 3361 82.3 MiB 1.05 0.02 4.21916 -2436.84 -4.21916 4.21916 1.58 0.00600738 0.00526371 0.422129 0.366337 64 26851 26 1.13066e+07 6.1938e+06 1.61476e+06 3661.58 16.05 2.88416 2.54127 43822 404518 -1 23928 14 7392 15359 1607090 350229 4.45956 4.45956 -2799.88 -4.45956 0 0 2.02607e+06 4594.27 0.95 0.61 0.41 -1 -1 0.95 0.24822 0.224489 1903 1795 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 27.59 vpr 82.50 MiB 0.12 15060 -1 -1 8 1.44 -1 -1 43156 -1 -1 256 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84480 22 19 3511 3292 1 1964 305 21 21 441 clb auto 44.7 MiB 0.62 15914 59813 13262 43305 3246 82.5 MiB 0.99 0.02 4.21916 -2501.66 -4.21916 4.21916 1.60 0.00660555 0.00593184 0.396778 0.347192 64 27182 38 1.13066e+07 6.25408e+06 1.61476e+06 3661.58 17.10 2.99224 2.63065 43822 404518 -1 23936 17 7452 14970 1524028 331952 4.33936 4.33936 -2784.19 -4.33936 0 0 2.02607e+06 4594.27 0.98 0.67 0.39 -1 -1 0.98 0.300731 0.273297 1942 1834 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 28.40 vpr 84.07 MiB 0.15 15628 -1 -1 8 1.58 -1 -1 44896 -1 -1 268 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86084 22 19 3709 3473 1 2078 318 22 22 484 clb mult_36 auto 46.6 MiB 0.65 16633 66503 15151 47879 3473 84.1 MiB 1.05 0.02 4.09896 -2538.51 -4.09896 4.09896 1.81 0.00622742 0.00547112 0.407672 0.353347 62 29995 30 1.25085e+07 6.79474e+06 1.74100e+06 3597.11 17.11 2.93499 2.58468 47538 430501 -1 24791 15 7836 16201 1428574 320935 4.45956 4.45956 -2970.45 -4.45956 0 0 2.15309e+06 4448.52 1.03 0.65 0.41 -1 -1 1.03 0.306818 0.280519 2049 1927 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 43.74 vpr 84.39 MiB 0.13 15740 -1 -1 8 1.56 -1 -1 45140 -1 -1 274 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86412 22 19 3767 3531 1 2107 324 22 22 484 clb mult_36 auto 46.9 MiB 0.66 15478 71492 16284 51193 4015 84.4 MiB 1.14 0.02 3.97876 -2621.24 -3.97876 3.97876 1.80 0.00628675 0.00547147 0.442993 0.381661 56 28717 47 1.25085e+07 6.86707e+06 1.62053e+06 3348.21 32.51 3.7588 3.27975 45606 389969 -1 25429 16 8586 17798 1876903 434769 4.33936 4.33936 -3143.17 -4.33936 0 0 1.98725e+06 4105.89 0.89 0.73 0.37 -1 -1 0.89 0.295548 0.267486 2088 1966 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 29.24 vpr 84.80 MiB 0.14 16276 -1 -1 8 1.73 -1 -1 45812 -1 -1 288 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86836 22 19 3928 3675 1 2213 338 22 22 484 clb mult_36 auto 47.5 MiB 0.69 17074 76778 18147 54275 4356 84.8 MiB 1.27 0.02 4.09896 -2708.1 -4.09896 4.09896 1.88 0.00698003 0.00581833 0.50303 0.438321 64 28057 28 1.25085e+07 7.03584e+06 1.79645e+06 3711.66 17.41 3.23769 2.85034 48502 451691 -1 25303 16 8121 16401 1688236 379709 4.21916 4.21916 -3108.99 -4.21916 0 0 2.25323e+06 4655.43 1.03 0.69 0.43 -1 -1 1.03 0.292772 0.264157 2176 2041 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 24.56 vpr 85.50 MiB 0.14 16228 -1 -1 8 1.75 -1 -1 45984 -1 -1 292 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87552 22 19 3986 3733 1 2248 342 22 22 484 clb mult_36 auto 48.3 MiB 0.72 16877 78017 18173 55678 4166 85.5 MiB 1.30 0.02 4.09896 -2726.87 -4.09896 4.09896 1.79 0.00594532 0.00516523 0.502174 0.43658 60 29180 30 1.25085e+07 7.08406e+06 1.69059e+06 3492.95 12.73 2.34283 2.0479 47054 420411 -1 25676 14 8517 17728 1538664 347880 4.45956 4.45956 -3217.78 -4.45956 0 0 2.10969e+06 4358.87 1.02 0.70 0.41 -1 -1 1.02 0.322824 0.295173 2215 2080 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 25.39 vpr 87.14 MiB 0.16 17292 -1 -1 8 1.88 -1 -1 44724 -1 -1 314 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89232 22 19 4329 4059 1 2377 365 23 23 529 clb auto 49.9 MiB 0.77 17217 79848 18931 57729 3188 87.1 MiB 1.27 0.02 4.09896 -2991.97 -4.09896 4.09896 2.02 0.00695778 0.00609542 0.48492 0.418683 58 30412 29 1.29425e+07 7.74527e+06 1.81842e+06 3437.46 12.35 2.4278 2.11714 50706 452665 -1 27041 15 8998 18368 1775438 412445 4.33936 4.33936 -3454.05 -4.33936 0 0 2.27638e+06 4303.19 1.11 0.71 0.43 -1 -1 1.11 0.31135 0.282025 2394 2246 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 50.29 vpr 87.49 MiB 0.16 17516 -1 -1 8 2.05 -1 -1 46564 -1 -1 320 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89588 22 19 4387 4117 1 2404 371 23 23 529 clb auto 50.3 MiB 0.80 18602 88283 21518 62857 3908 87.5 MiB 1.44 0.02 4.45956 -3079.37 -4.45956 4.45956 2.09 0.00740938 0.00654018 0.541974 0.47146 60 32038 50 1.29425e+07 7.8176e+06 1.85922e+06 3514.59 36.95 4.26274 3.74669 51234 462879 -1 28216 15 9090 18510 1829727 410514 4.69996 4.69996 -3683.98 -4.69996 0 0 2.31949e+06 4384.67 1.11 0.79 0.43 -1 -1 1.11 0.352951 0.32202 2433 2285 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 60.95 vpr 88.68 MiB 0.16 17676 -1 -1 8 2.32 -1 -1 45208 -1 -1 332 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90804 22 19 4547 4260 1 2527 383 23 23 529 clb auto 51.3 MiB 0.80 20519 90733 21057 64883 4793 88.7 MiB 1.46 0.02 4.09896 -3198.23 -4.09896 4.09896 2.08 0.00741907 0.00655636 0.553848 0.479786 58 36808 47 1.29425e+07 7.96226e+06 1.81842e+06 3437.46 47.20 4.14208 3.59291 50706 452665 -1 31023 14 10244 21129 2300327 517531 4.45956 4.45956 -3617.32 -4.45956 0 0 2.27638e+06 4303.19 1.04 0.86 0.43 -1 -1 1.04 0.331816 0.300434 2520 2360 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 59.78 vpr 89.15 MiB 0.17 17976 -1 -1 8 2.43 -1 -1 47128 -1 -1 338 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 91292 22 19 4605 4318 1 2554 389 24 24 576 clb auto 51.8 MiB 0.81 18547 98300 23554 69274 5472 89.2 MiB 1.57 0.02 4.09896 -3171.61 -4.09896 4.09896 2.26 0.00757502 0.00635067 0.586355 0.502579 54 35430 50 1.51154e+07 8.03459e+06 1.87785e+06 3260.16 45.40 4.2491 3.6915 53390 450987 -1 29211 16 10284 20934 2184116 519017 4.21916 4.21916 -3640.18 -4.21916 0 0 2.31032e+06 4010.97 1.12 0.84 0.42 -1 -1 1.12 0.335917 0.301093 2559 2399 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 27.91 vpr 89.45 MiB 0.17 18280 -1 -1 8 2.28 -1 -1 44080 -1 -1 351 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 91592 22 19 4802 4498 1 2682 403 24 24 576 clb mult_36 auto 52.7 MiB 0.72 20711 95635 22535 68778 4322 89.4 MiB 1.54 0.02 4.21916 -3370.71 -4.21916 4.21916 2.22 0.00848458 0.00751214 0.573338 0.491186 60 35746 34 1.51154e+07 8.5873e+06 2.00908e+06 3487.99 13.56 3.08898 2.70994 55690 499183 -1 31281 14 10246 21344 2400291 525542 4.45956 4.45956 -3865.87 -4.45956 0 0 2.50809e+06 4354.32 1.24 0.92 0.48 -1 -1 1.24 0.357323 0.324382 2665 2492 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 37.95 vpr 94.64 MiB 0.18 18396 -1 -1 8 2.33 -1 -1 47288 -1 -1 355 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 96912 22 19 4860 4556 1 2713 407 25 25 625 clb auto 53.2 MiB 0.95 22179 101376 26080 70975 4321 89.9 MiB 1.67 0.02 4.21916 -3441.6 -4.21916 4.21916 2.43 0.00744563 0.00643804 0.624356 0.544067 66 35798 20 1.55855e+07 8.63552e+06 2.39749e+06 3835.99 22.31 3.43461 3.00729 62708 601000 -1 32357 15 9562 20589 2054626 440410 4.45956 4.45956 -3957.38 -4.45956 0 0 2.99279e+06 4788.46 1.47 0.87 0.58 -1 -1 1.47 0.391027 0.355727 2704 2531 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 32.24 vpr 90.70 MiB 0.18 18916 -1 -1 8 2.57 -1 -1 47684 -1 -1 370 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92880 22 19 5019 4698 1 2814 422 25 25 625 clb auto 54.1 MiB 0.92 23437 112744 29132 78452 5160 90.7 MiB 1.82 0.03 4.21916 -3601.47 -4.21916 4.21916 2.49 0.00865647 0.00767964 0.66442 0.578173 60 39860 38 1.55855e+07 8.81635e+06 2.19200e+06 3507.21 16.40 3.14183 2.74989 60212 545296 -1 34372 14 10430 21713 2329449 509930 4.69996 4.69996 -4115.88 -4.69996 0 0 2.73590e+06 4377.44 1.37 0.95 0.51 -1 -1 1.37 0.384837 0.34954 2790 2606 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 38.58 vpr 95.45 MiB 0.18 19176 -1 -1 8 2.67 -1 -1 47524 -1 -1 373 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 97736 22 19 5077 4756 1 2844 425 25 25 625 clb auto 54.3 MiB 0.91 22614 109021 26957 76422 5642 91.0 MiB 1.74 0.03 4.21916 -3560.37 -4.21916 4.21916 2.44 0.00919228 0.00809484 0.65053 0.564405 66 36641 19 1.55855e+07 8.85252e+06 2.39749e+06 3835.99 22.48 3.80932 3.34162 62708 601000 -1 33301 16 10176 21536 2224554 490718 4.45956 4.45956 -4017.86 -4.45956 0 0 2.99279e+06 4788.46 1.46 0.91 0.58 -1 -1 1.46 0.404007 0.364825 2829 2645 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 40.90 vpr 98.34 MiB 0.20 19656 -1 -1 8 2.74 -1 -1 48424 -1 -1 390 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 100696 22 19 5308 4970 1 2955 443 25 25 625 clb auto 56.0 MiB 0.94 24112 106787 25684 76488 4615 92.3 MiB 1.77 0.03 4.33936 -3762.04 -4.33936 4.33936 2.38 0.00884479 0.00778627 0.647898 0.559272 92 35310 14 1.55855e+07 9.45345e+06 3.16512e+06 5064.19 24.27 3.93099 3.45479 73316 824684 -1 33097 13 8426 17871 1719923 362024 4.69996 4.69996 -4184.75 -4.69996 0 0 3.93957e+06 6303.31 1.92 0.80 0.79 -1 -1 1.92 0.393695 0.358116 2951 2756 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 38.73 vpr 92.77 MiB 0.20 19952 -1 -1 8 2.75 -1 -1 48612 -1 -1 397 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 94996 22 19 5366 5028 1 2986 450 26 26 676 clb auto 56.4 MiB 0.99 23524 122783 30424 87093 5266 92.8 MiB 2.03 0.03 4.09896 -3724.11 -4.09896 4.09896 2.70 0.00997373 0.00837884 0.752005 0.640302 58 43828 39 1.89118e+07 9.53784e+06 2.36678e+06 3501.15 21.51 4.06827 3.54184 66088 592148 -1 36673 15 12077 25121 2764104 624089 4.33936 4.33936 -4591.74 -4.33936 0 0 2.96266e+06 4382.64 1.41 1.06 0.54 -1 -1 1.41 0.408804 0.36974 2990 2795 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 35.48 vpr 94.95 MiB 0.20 20032 -1 -1 8 2.83 -1 -1 49088 -1 -1 404 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 97228 22 19 5524 5169 1 3090 457 26 26 676 clb auto 57.3 MiB 0.97 23258 127105 32575 89866 4664 94.9 MiB 2.07 0.03 3.97876 -3891.75 -3.97876 3.97876 2.75 0.00961832 0.0085702 0.758245 0.655909 58 41314 27 1.89118e+07 9.62222e+06 2.36678e+06 3501.15 18.00 3.32177 2.89832 66088 592148 -1 36069 15 11760 24617 2512750 564016 4.33936 4.33936 -4515.45 -4.33936 0 0 2.96266e+06 4382.64 1.49 1.02 0.56 -1 -1 1.49 0.43258 0.392725 3075 2870 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 31.52 vpr 93.56 MiB 0.21 20172 -1 -1 8 2.93 -1 -1 48844 -1 -1 410 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 95808 22 19 5582 5227 1 3122 463 26 26 676 clb auto 57.2 MiB 1.03 25949 134713 36450 92826 5437 93.6 MiB 2.22 0.03 4.33936 -3901.04 -4.33936 4.33936 2.75 0.0101506 0.00910488 0.803474 0.699117 64 43476 33 1.89118e+07 9.69455e+06 2.57128e+06 3803.68 13.47 3.48561 3.06044 68788 650644 -1 38770 13 11550 24219 2678614 576721 4.69996 4.69996 -4605.87 -4.69996 0 0 3.22435e+06 4769.75 1.53 1.02 0.61 -1 -1 1.53 0.398968 0.362515 3114 2909 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 48.77 vpr 103.11 MiB 0.21 20544 -1 -1 8 3.27 -1 -1 49624 -1 -1 425 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 105588 22 19 5779 5407 1 3235 479 26 26 676 clb auto 58.6 MiB 1.05 25477 139081 35569 97572 5940 94.7 MiB 2.29 0.03 4.21916 -4037.67 -4.21916 4.21916 2.73 0.0109182 0.00975519 0.853364 0.746787 68 41569 25 1.89118e+07 1.02714e+07 2.70930e+06 4007.84 29.94 5.19963 4.56167 70140 680260 -1 37607 14 11564 23858 3299525 709395 4.57976 4.57976 -4630.45 -4.57976 0 0 3.37003e+06 4985.25 1.67 1.20 0.64 -1 -1 1.67 0.433561 0.394844 3220 3002 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 33.86 vpr 96.69 MiB 0.22 20840 -1 -1 8 3.36 -1 -1 49884 -1 -1 430 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 99008 22 19 5837 5465 1 3273 484 26 26 676 clb auto 58.9 MiB 1.06 26828 135313 34817 95062 5434 96.7 MiB 2.18 0.03 4.09896 -4124.52 -4.09896 4.09896 2.77 0.00988389 0.00874327 0.773005 0.668051 64 44469 46 1.89118e+07 1.03316e+07 2.57128e+06 3803.68 15.32 3.89771 3.41537 68788 650644 -1 39879 13 11486 24327 2372986 514357 4.57976 4.57976 -4725.68 -4.57976 0 0 3.22435e+06 4769.75 1.61 0.93 0.62 -1 -1 1.61 0.382819 0.345277 3259 3041 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 38.15 vpr 99.38 MiB 0.22 21168 -1 -1 8 3.61 -1 -1 49884 -1 -1 439 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 101760 22 19 5997 5608 1 3373 493 27 27 729 clb auto 59.8 MiB 1.12 27254 132821 33148 94628 5045 97.3 MiB 2.15 0.03 4.21916 -4320.18 -4.21916 4.21916 2.97 0.010237 0.00907696 0.752582 0.652034 64 46105 26 1.94302e+07 1.04401e+07 2.78336e+06 3818.06 18.60 3.58166 3.13182 73874 704979 -1 40718 15 12338 26057 2533493 549513 4.45956 4.45956 -5025.16 -4.45956 0 0 3.48985e+06 4787.17 1.71 1.05 0.66 -1 -1 1.71 0.462141 0.417958 3346 3116 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 35.50 vpr 100.07 MiB 0.23 21592 -1 -1 8 3.58 -1 -1 50372 -1 -1 448 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 102468 22 19 6055 5666 1 3405 502 27 27 729 clb auto 60.3 MiB 1.12 25845 146064 38186 101600 6278 97.7 MiB 2.36 0.03 4.09896 -4355.09 -4.09896 4.09896 2.96 0.00891481 0.00765927 0.84311 0.72474 64 41900 33 1.94302e+07 1.05486e+07 2.78336e+06 3818.06 15.82 4.37235 3.84588 73874 704979 -1 38527 14 11834 24415 2359753 521973 4.33936 4.33936 -5031.1 -4.33936 0 0 3.48985e+06 4787.17 1.68 0.95 0.67 -1 -1 1.68 0.42882 0.386767 3385 3155 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 36.83 vpr 102.12 MiB 0.24 21912 -1 -1 8 3.77 -1 -1 50192 -1 -1 465 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 104572 22 19 6324 5918 1 3526 520 27 27 729 clb auto 61.5 MiB 1.18 26562 146820 39305 101824 5691 99.0 MiB 2.53 0.04 3.97876 -4482.3 -3.97876 3.97876 2.97 0.0120837 0.0106824 0.916361 0.789169 62 45888 32 1.94302e+07 1.11496e+07 2.69830e+06 3701.37 16.63 4.68616 4.11215 72418 672039 -1 39425 16 12766 26550 2568013 575620 4.33936 4.33936 -5151.02 -4.33936 0 0 3.33509e+06 4574.88 1.59 1.04 0.62 -1 -1 1.59 0.465786 0.417783 3527 3284 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 49.03 vpr 109.89 MiB 0.30 22184 -1 -1 8 3.82 -1 -1 50688 -1 -1 466 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 112524 22 19 6382 5976 1 3558 521 27 27 729 clb auto 62.3 MiB 1.20 26166 159741 42298 110785 6658 99.6 MiB 2.48 0.03 4.09896 -4491.13 -4.09896 4.09896 2.90 0.00954978 0.00826607 0.838042 0.715169 66 42760 44 1.94302e+07 1.11616e+07 2.86480e+06 3929.76 28.54 5.38816 4.69177 74602 723059 -1 39238 14 12226 25379 2493972 549399 4.33936 4.33936 -5171.36 -4.33936 0 0 3.57338e+06 4901.75 1.73 1.01 0.70 -1 -1 1.73 0.451124 0.406327 3566 3323 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 35.43 vpr 105.25 MiB 0.24 22464 -1 -1 8 3.69 -1 -1 51352 -1 -1 479 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 107772 22 19 6542 6119 1 3674 534 28 28 784 clb auto 63.0 MiB 1.22 27719 149919 37357 106443 6119 100.3 MiB 2.38 0.04 4.21916 -4662.59 -4.21916 4.21916 3.12 0.0107826 0.0094788 0.815894 0.705099 64 45819 32 2.18512e+07 1.13183e+07 2.96389e+06 3780.48 14.39 4.14677 3.64666 78840 748675 -1 42021 13 12887 27533 2828966 620703 4.45956 4.45956 -5398.82 -4.45956 0 0 3.71765e+06 4741.90 1.83 1.13 0.70 -1 -1 1.83 0.470753 0.428155 3653 3398 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 52.14 vpr 120.03 MiB 0.24 22624 -1 -1 8 4.04 -1 -1 51280 -1 -1 485 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 122908 22 19 6600 6177 1 3704 540 28 28 784 clb auto 63.5 MiB 1.20 31298 152202 39299 107421 5482 100.6 MiB 2.43 0.04 4.33936 -4812.96 -4.33936 4.33936 3.16 0.0120447 0.0106932 0.816661 0.701911 64 51534 35 2.18512e+07 1.13907e+07 2.96389e+06 3780.48 30.63 5.37888 4.70573 78840 748675 -1 46500 14 13622 29110 2928195 628422 4.57976 4.57976 -5664.05 -4.57976 0 0 3.71765e+06 4741.90 1.81 1.16 0.69 -1 -1 1.81 0.481389 0.435854 3692 3437 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 11.09 vpr 69.22 MiB 0.05 9676 -1 -1 10 0.64 -1 -1 39120 -1 -1 93 22 0 4 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70880 22 19 1149 1049 1 787 138 16 16 256 mult_36 auto 31.5 MiB 0.22 5415 14022 2465 10464 1093 69.2 MiB 0.23 0.01 11.9187 -385.147 -11.9187 11.9187 0.81 0.00230272 0.00206814 0.104614 0.0942252 54 11345 37 6.2557e+06 2.70512e+06 784202. 3063.29 6.41 0.91127 0.813492 23308 185586 -1 9017 19 4384 9170 871808 204318 12.1591 12.1591 -538.616 -12.1591 0 0 965591. 3771.84 0.40 0.33 0.18 -1 -1 0.40 0.117975 0.108156 715 658 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 14.47 vpr 70.21 MiB 0.06 9860 -1 -1 11 0.61 -1 -1 39344 -1 -1 106 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71892 22 19 1261 1144 1 879 152 16 16 256 mult_36 auto 32.4 MiB 0.23 6220 21617 4399 15123 2095 70.2 MiB 0.33 0.01 12.7143 -409.826 -12.7143 12.7143 0.79 0.00274984 0.00248389 0.154625 0.139005 56 12070 30 6.2557e+06 3.25783e+06 803869. 3140.11 9.59 1.31406 1.17156 23564 190428 -1 10954 30 4912 10153 1192179 304482 13.5557 13.5557 -521.579 -13.5557 0 0 987003. 3855.48 0.40 0.46 0.18 -1 -1 0.40 0.177156 0.160509 790 727 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 17.21 vpr 70.69 MiB 0.06 9884 -1 -1 11 0.68 -1 -1 39720 -1 -1 112 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72384 22 19 1336 1219 1 929 158 16 16 256 mult_36 auto 33.0 MiB 0.25 6167 18946 3289 14143 1514 70.7 MiB 0.30 0.01 12.1428 -438.058 -12.1428 12.1428 0.80 0.00279908 0.00252783 0.132443 0.118359 48 12893 31 6.2557e+06 3.33016e+06 714410. 2790.66 12.31 1.30173 1.15151 22288 164970 -1 11440 22 5698 11579 1318195 307567 12.9374 12.9374 -598.278 -12.9374 0 0 863353. 3372.47 0.32 0.44 0.16 -1 -1 0.32 0.139336 0.126036 846 783 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 22.09 vpr 71.30 MiB 0.07 10384 -1 -1 11 0.75 -1 -1 40260 -1 -1 121 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73012 22 19 1446 1312 1 989 167 16 16 256 mult_36 auto 33.7 MiB 0.27 6705 18068 2827 13719 1522 71.3 MiB 0.30 0.01 12.5223 -475.971 -12.5223 12.5223 0.82 0.00304726 0.0027518 0.132393 0.118435 50 14750 41 6.2557e+06 3.43866e+06 744679. 2908.90 16.89 1.46444 1.29954 22544 170752 -1 12157 20 5826 12106 1241360 294477 13.4895 13.4895 -717.643 -13.4895 0 0 891356. 3481.86 0.37 0.44 0.17 -1 -1 0.37 0.158519 0.144799 919 848 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 10.80 vpr 71.78 MiB 0.05 10384 -1 -1 11 0.81 -1 -1 39944 -1 -1 128 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73500 22 19 1507 1373 1 1035 174 16 16 256 mult_36 auto 34.2 MiB 0.28 7439 21029 3512 15990 1527 71.8 MiB 0.36 0.01 13.2415 -465.987 -13.2415 13.2415 0.81 0.00360831 0.00327647 0.154158 0.137621 58 14248 28 6.2557e+06 3.52304e+06 820238. 3204.05 5.33 0.922857 0.821822 24072 200857 -1 12384 31 5184 10910 1442851 423990 14.0829 14.0829 -686.594 -14.0829 0 0 1.02849e+06 4017.54 0.41 0.59 0.20 -1 -1 0.41 0.211268 0.191585 961 890 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 13.00 vpr 72.34 MiB 0.07 10820 -1 -1 11 0.84 -1 -1 39952 -1 -1 135 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74080 22 19 1596 1445 1 1100 182 16 16 256 clb mult_36 auto 34.7 MiB 0.29 8167 22842 4076 17135 1631 72.3 MiB 0.39 0.01 12.8564 -524.383 -12.8564 12.8564 0.83 0.00337983 0.00295172 0.172279 0.153986 58 15116 47 6.2557e+06 4.00342e+06 820238. 3204.05 7.44 1.11695 0.99377 24072 200857 -1 13006 19 5647 11787 1147812 265465 13.2562 13.2562 -706.627 -13.2562 0 0 1.02849e+06 4017.54 0.42 0.42 0.21 -1 -1 0.42 0.15533 0.141486 1013 938 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 12.43 vpr 72.30 MiB 0.08 10896 -1 -1 11 0.90 -1 -1 40140 -1 -1 137 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74040 22 19 1656 1505 1 1146 184 16 16 256 clb mult_36 auto 34.8 MiB 0.30 8274 19012 2994 14825 1193 72.3 MiB 0.33 0.01 12.8146 -509.132 -12.8146 12.8146 0.83 0.00337227 0.00301118 0.144946 0.129955 58 15296 47 6.2557e+06 4.02754e+06 820238. 3204.05 6.69 1.2237 1.08295 24072 200857 -1 13367 20 5976 12336 1213598 287081 13.5776 13.5776 -703.77 -13.5776 0 0 1.02849e+06 4017.54 0.43 0.47 0.21 -1 -1 0.43 0.176744 0.160991 1054 979 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 22.18 vpr 73.17 MiB 0.08 11088 -1 -1 12 0.98 -1 -1 40252 -1 -1 145 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74924 22 19 1754 1586 1 1213 192 17 17 289 clb auto 35.8 MiB 0.34 9282 25630 4701 19117 1812 73.2 MiB 0.44 0.01 13.2303 -539.699 -13.2303 13.2303 0.94 0.00375922 0.00339981 0.194558 0.17501 60 16285 33 6.55708e+06 4.12398e+06 958460. 3316.47 15.88 1.73445 1.54807 27358 235245 -1 14410 19 6386 13459 1271543 301276 14.0421 14.0421 -737.087 -14.0421 0 0 1.19711e+06 4142.24 0.51 0.49 0.22 -1 -1 0.51 0.184993 0.17002 1115 1035 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 15.52 vpr 73.79 MiB 0.08 11520 -1 -1 11 1.02 -1 -1 40432 -1 -1 154 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75560 22 19 1827 1659 1 1262 201 17 17 289 clb auto 36.2 MiB 0.36 9578 29601 5487 21971 2143 73.8 MiB 0.51 0.01 12.8049 -541.082 -12.8049 12.8049 0.93 0.00370457 0.00333704 0.222266 0.198769 62 18741 43 6.55708e+06 4.23247e+06 986792. 3414.50 9.02 1.21596 1.07716 27646 240813 -1 14958 21 7214 14538 1452780 324745 13.5679 13.5679 -792.256 -13.5679 0 0 1.22151e+06 4226.69 0.51 0.51 0.24 -1 -1 0.51 0.186277 0.167785 1169 1089 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 19.69 vpr 74.68 MiB 0.09 11584 -1 -1 12 1.10 -1 -1 41696 -1 -1 157 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76472 22 19 1905 1720 1 1323 205 18 18 324 mult_36 auto 36.9 MiB 0.36 9618 35237 7454 25256 2527 74.7 MiB 0.60 0.01 13.1712 -569.094 -13.1712 13.1712 1.12 0.0038165 0.00343965 0.26363 0.235125 64 18210 29 7.67456e+06 4.66464e+06 1.16663e+06 3600.72 12.39 1.9288 1.72025 32132 291232 -1 15889 20 6762 14068 1594216 353446 14.255 14.255 -817.578 -14.255 0 0 1.46385e+06 4518.05 0.64 0.59 0.28 -1 -1 0.64 0.201209 0.1836 1210 1124 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 15.61 vpr 74.73 MiB 0.09 11716 -1 -1 12 1.09 -1 -1 41104 -1 -1 163 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76520 22 19 1979 1794 1 1362 211 18 18 324 mult_36 auto 37.2 MiB 0.35 10071 29680 5420 22409 1851 74.7 MiB 0.50 0.01 13.2522 -589.824 -13.2522 13.2522 1.08 0.00392367 0.00348773 0.216257 0.19203 58 19438 45 7.67456e+06 4.73696e+06 1.07356e+06 3313.45 8.57 1.3498 1.19821 30840 265148 -1 16687 26 7812 16645 1987052 480120 14.2112 14.2112 -862.685 -14.2112 0 0 1.34501e+06 4151.27 0.57 0.72 0.24 -1 -1 0.57 0.246514 0.223142 1265 1179 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 16.93 vpr 75.43 MiB 0.09 12032 -1 -1 12 1.23 -1 -1 41096 -1 -1 174 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77244 22 19 2073 1871 1 1415 222 18 18 324 clb mult_36 auto 38.0 MiB 0.39 10220 35114 6594 26198 2322 75.4 MiB 0.54 0.01 13.1197 -624.243 -13.1197 13.1197 1.10 0.00370294 0.00326768 0.229371 0.203292 62 20058 46 7.67456e+06 4.86957e+06 1.13028e+06 3488.51 9.52 1.71501 1.52369 31488 277500 -1 16505 22 7470 15715 1480004 340428 14.0029 14.0029 -885.26 -14.0029 0 0 1.39838e+06 4315.99 0.60 0.60 0.27 -1 -1 0.60 0.242828 0.22178 1322 1232 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 19.01 vpr 75.81 MiB 0.10 12388 -1 -1 12 1.31 -1 -1 41552 -1 -1 180 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77628 22 19 2130 1928 1 1466 228 18 18 324 clb mult_36 auto 38.2 MiB 0.41 11010 33636 6077 25228 2331 75.8 MiB 0.56 0.01 13.3306 -630.183 -13.3306 13.3306 1.10 0.00443424 0.00401018 0.246818 0.220549 64 19846 43 7.67456e+06 4.9419e+06 1.16663e+06 3600.72 11.46 1.84744 1.64321 32132 291232 -1 17697 20 7323 14813 1507705 343974 14.6946 14.6946 -934.494 -14.6946 0 0 1.46385e+06 4518.05 0.61 0.54 0.28 -1 -1 0.61 0.203462 0.184155 1360 1270 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 15.61 vpr 76.30 MiB 0.10 12396 -1 -1 12 1.39 -1 -1 41152 -1 -1 187 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78132 22 19 2238 2019 1 1561 236 18 18 324 clb mult_36 auto 39.1 MiB 0.41 11369 37415 7199 27746 2470 76.3 MiB 0.63 0.01 12.9623 -623.542 -12.9623 12.9623 1.11 0.00480842 0.00431245 0.264922 0.23484 64 21505 42 7.67456e+06 5.42228e+06 1.16663e+06 3600.72 7.52 1.53118 1.36038 32132 291232 -1 18412 31 7839 16024 1947192 483088 14.1842 14.1842 -989.244 -14.1842 0 0 1.46385e+06 4518.05 0.65 0.83 0.29 -1 -1 0.65 0.321641 0.290458 1431 1323 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 21.73 vpr 76.88 MiB 0.10 12768 -1 -1 12 1.40 -1 -1 41340 -1 -1 195 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78720 22 19 2299 2080 1 1608 244 19 19 361 clb auto 39.5 MiB 0.43 11553 33772 5783 25994 1995 76.9 MiB 0.57 0.01 13.3505 -671.599 -13.3505 13.3505 1.29 0.00494046 0.00449215 0.23651 0.211528 66 21200 32 8.02416e+06 5.51872e+06 1.35166e+06 3744.22 13.37 1.9703 1.74676 36038 336821 -1 18313 19 7949 16833 1640402 364735 13.7768 13.7768 -918.293 -13.7768 0 0 1.68635e+06 4671.32 0.76 0.63 0.32 -1 -1 0.76 0.236773 0.215351 1473 1365 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 20.78 vpr 77.33 MiB 0.11 12920 -1 -1 12 1.52 -1 -1 41016 -1 -1 198 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79184 22 19 2400 2164 1 1689 248 22 22 484 mult_36 auto 40.1 MiB 0.45 12423 38370 6936 28884 2550 77.3 MiB 0.62 0.01 12.889 -660.371 -12.889 12.889 1.78 0.00441037 0.0039192 0.248812 0.21894 60 23688 29 1.25085e+07 5.95089e+06 1.69059e+06 3492.95 10.62 1.56764 1.39122 47054 420411 -1 20829 20 9499 19770 2046523 455776 13.8134 13.8134 -1159.24 -13.8134 0 0 2.10969e+06 4358.87 1.00 0.73 0.40 -1 -1 1.00 0.241959 0.22037 1537 1415 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 30.33 vpr 77.78 MiB 0.11 13244 -1 -1 12 1.55 -1 -1 41760 -1 -1 209 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79644 22 19 2474 2238 1 1711 259 22 22 484 mult_36 auto 40.3 MiB 0.49 13679 40684 7213 31007 2464 77.8 MiB 0.64 0.01 13.0214 -698.884 -13.0214 13.0214 1.78 0.00496234 0.0044023 0.258842 0.229373 62 24968 42 1.25085e+07 6.0835e+06 1.74100e+06 3597.11 19.98 2.46102 2.17949 47538 430501 -1 20871 19 8547 17697 2184884 460361 14.4638 14.4638 -1024 -14.4638 0 0 2.15309e+06 4448.52 1.00 0.77 0.41 -1 -1 1.00 0.253089 0.229308 1592 1470 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 67.22 vpr 78.32 MiB 0.12 13344 -1 -1 12 1.67 -1 -1 42208 -1 -1 218 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80200 22 19 2603 2350 1 1810 268 22 22 484 mult_36 auto 41.1 MiB 0.48 13363 46870 9517 34801 2552 78.3 MiB 0.80 0.01 13.463 -678.773 -13.463 13.463 1.86 0.00567101 0.00498958 0.323752 0.285417 60 24684 35 1.25085e+07 6.19199e+06 1.69059e+06 3492.95 56.63 2.91521 2.5713 47054 420411 -1 21879 20 9718 20128 2072419 467680 14.6162 14.6162 -1065.31 -14.6162 0 0 2.10969e+06 4358.87 0.99 0.71 0.40 -1 -1 0.99 0.248219 0.224948 1684 1549 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 23.91 vpr 79.36 MiB 0.12 13400 -1 -1 12 1.70 -1 -1 43216 -1 -1 235 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81260 22 19 2694 2441 1 1869 285 22 22 484 mult_36 auto 42.1 MiB 0.51 14703 48072 9089 36450 2533 79.4 MiB 0.80 0.01 13.3428 -736.209 -13.3428 13.3428 1.88 0.00552294 0.0048058 0.323005 0.28385 60 27180 50 1.25085e+07 6.39692e+06 1.69059e+06 3492.95 13.17 2.12089 1.87218 47054 420411 -1 23561 18 10069 21426 2359232 510010 14.8932 14.8932 -1420.8 -14.8932 0 0 2.10969e+06 4358.87 1.00 0.78 0.39 -1 -1 1.00 0.24602 0.223542 1756 1621 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 30.08 vpr 79.90 MiB 0.12 13948 -1 -1 13 1.82 -1 -1 43420 -1 -1 238 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81816 22 19 2787 2517 1 1950 289 22 22 484 mult_36 auto 42.7 MiB 0.51 14619 51859 9957 38852 3050 79.9 MiB 0.85 0.01 13.7529 -733.942 -13.7529 13.7529 1.88 0.00515883 0.00454531 0.333369 0.294464 66 26315 26 1.25085e+07 6.82909e+06 1.84972e+06 3821.73 18.80 2.52887 2.23062 48986 463441 -1 23004 22 10029 20765 2136187 473646 14.7171 14.7171 -1002.31 -14.7171 0 0 2.30827e+06 4769.15 1.11 0.80 0.46 -1 -1 1.11 0.297102 0.267199 1812 1664 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 23.51 vpr 79.77 MiB 0.22 14020 -1 -1 13 1.85 -1 -1 43884 -1 -1 240 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81688 22 19 2834 2564 1 1962 291 22 22 484 mult_36 auto 42.6 MiB 0.51 14622 51383 10093 38510 2780 79.8 MiB 0.81 0.02 13.8313 -772.417 -13.8313 13.8313 1.77 0.00585637 0.00528005 0.321375 0.282667 58 27066 43 1.25085e+07 6.8532e+06 1.65337e+06 3416.05 12.39 2.24693 1.98046 46570 411141 -1 24074 25 10124 20710 2410643 547947 15.1535 15.1535 -1283.01 -15.1535 0 0 2.07026e+06 4277.39 0.96 0.95 0.39 -1 -1 0.96 0.345785 0.313503 1840 1692 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 25.97 vpr 80.88 MiB 0.13 14328 -1 -1 13 1.87 -1 -1 43820 -1 -1 248 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82816 22 19 2941 2654 1 2054 299 22 22 484 mult_36 auto 44.1 MiB 0.54 15643 57242 11719 42395 3128 80.9 MiB 0.97 0.02 13.8216 -815.5 -13.8216 13.8216 1.86 0.00585906 0.0053039 0.379277 0.332641 64 28853 47 1.25085e+07 6.94964e+06 1.79645e+06 3711.66 14.46 2.40151 2.1119 48502 451691 -1 25158 20 10828 22137 2467851 540153 15.1046 15.1046 -1376.4 -15.1046 0 0 2.25323e+06 4655.43 1.05 0.89 0.43 -1 -1 1.05 0.308054 0.278043 1910 1750 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 23.50 vpr 81.39 MiB 0.14 14444 -1 -1 13 2.02 -1 -1 42452 -1 -1 255 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83344 22 19 3011 2724 1 2092 306 22 22 484 mult_36 auto 44.5 MiB 0.54 15961 56956 11395 42317 3244 81.4 MiB 0.96 0.01 13.5344 -814.372 -13.5344 13.5344 1.82 0.00553052 0.00488032 0.377475 0.329441 62 30774 46 1.25085e+07 7.03402e+06 1.74100e+06 3597.11 12.02 2.11981 1.86724 47538 430501 -1 25185 22 10961 22630 2209985 500026 14.744 14.744 -1265.07 -14.744 0 0 2.15309e+06 4448.52 0.98 0.88 0.41 -1 -1 0.98 0.337958 0.305917 1961 1801 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 29.45 vpr 81.99 MiB 0.14 14872 -1 -1 13 2.06 -1 -1 42748 -1 -1 267 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83960 22 19 3132 2828 1 2184 319 24 24 576 mult_36 auto 45.1 MiB 0.58 17056 65659 13776 48333 3550 82.0 MiB 1.09 0.02 14.7736 -886.03 -14.7736 14.7736 2.20 0.00631752 0.00571622 0.436672 0.385911 58 32083 50 1.51154e+07 7.57468e+06 1.96475e+06 3411.02 16.46 2.88439 2.55193 55114 488114 -1 27656 21 12171 25435 2792897 623554 15.3354 15.3354 -1441.86 -15.3354 0 0 2.46106e+06 4272.68 1.18 1.02 0.46 -1 -1 1.18 0.347716 0.312447 2045 1872 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 32.58 vpr 82.14 MiB 0.15 14848 -1 -1 13 2.21 -1 -1 44848 -1 -1 265 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84116 22 19 3159 2855 1 2196 317 24 24 576 mult_36 auto 45.1 MiB 0.62 16729 59717 12515 44298 2904 82.1 MiB 0.96 0.02 14.3024 -865.198 -14.3024 14.3024 2.21 0.00664454 0.00601316 0.377379 0.332616 60 30375 35 1.51154e+07 7.55058e+06 2.00908e+06 3487.99 19.36 2.07599 1.83293 55690 499183 -1 26362 29 11218 22890 2893497 669181 15.0236 15.0236 -1184.23 -15.0236 0 0 2.50809e+06 4354.32 1.17 1.18 0.48 -1 -1 1.17 0.436311 0.394073 2053 1880 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 27.27 vpr 83.21 MiB 0.15 15104 -1 -1 13 2.31 -1 -1 43588 -1 -1 277 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85212 22 19 3284 2963 1 2301 329 24 24 576 mult_36 auto 46.0 MiB 0.64 17649 69564 14570 51090 3904 83.2 MiB 1.16 0.02 14.6335 -942.67 -14.6335 14.6335 2.25 0.00692128 0.00600018 0.45485 0.397887 64 30264 38 1.51154e+07 7.69524e+06 2.13454e+06 3705.80 13.66 2.63901 2.32855 57414 536310 -1 27876 21 11262 23271 2694263 593188 15.2345 15.2345 -1426.66 -15.2345 0 0 2.67880e+06 4650.70 1.28 0.93 0.51 -1 -1 1.28 0.316538 0.28508 2141 1957 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 100.78 vpr 83.68 MiB 0.15 15352 -1 -1 13 2.36 -1 -1 45028 -1 -1 285 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85692 22 19 3343 3022 1 2312 337 24 24 576 mult_36 auto 46.4 MiB 0.63 17953 68313 14315 50673 3325 83.7 MiB 1.15 0.02 14.0942 -924.674 -14.0942 14.0942 2.31 0.00604833 0.00536567 0.437901 0.38221 64 32566 41 1.51154e+07 7.79168e+06 2.13454e+06 3705.80 86.86 4.52878 3.97048 57414 536310 -1 28903 21 12101 26107 3169665 692239 14.8642 14.8642 -1489.63 -14.8642 0 0 2.67880e+06 4650.70 1.32 1.07 0.50 -1 -1 1.32 0.332138 0.299716 2181 1997 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 27.35 vpr 83.78 MiB 0.15 15512 -1 -1 13 2.53 -1 -1 45416 -1 -1 297 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85788 22 19 3448 3110 1 2410 350 24 24 576 mult_36 auto 47.0 MiB 0.66 18100 62000 11138 47624 3238 83.8 MiB 1.05 0.02 14.3636 -948.62 -14.3636 14.3636 2.32 0.00713418 0.00644727 0.401908 0.354363 64 32476 34 1.51154e+07 8.33234e+06 2.13454e+06 3705.80 13.31 2.29015 2.01791 57414 536310 -1 29093 19 11687 24632 2750553 604813 15.0848 15.0848 -1726.05 -15.0848 0 0 2.67880e+06 4650.70 1.23 1.00 0.53 -1 -1 1.23 0.331782 0.30002 2249 2054 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 36.94 vpr 84.59 MiB 0.16 15780 -1 -1 13 2.53 -1 -1 43848 -1 -1 296 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86616 22 19 3510 3172 1 2428 349 24 24 576 mult_36 auto 47.2 MiB 0.63 19089 72801 15005 54539 3257 84.0 MiB 1.22 0.02 14.332 -960.946 -14.332 14.332 2.29 0.00724596 0.00626139 0.468717 0.406703 66 33218 28 1.51154e+07 8.32028e+06 2.19797e+06 3815.93 22.74 3.13266 2.75968 57990 550195 -1 29510 17 11792 24163 2685685 566716 15.3032 15.3032 -1414.87 -15.3032 0 0 2.74415e+06 4764.15 1.35 0.94 0.53 -1 -1 1.35 0.324448 0.295423 2292 2097 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 32.54 vpr 84.45 MiB 0.16 15940 -1 -1 13 2.56 -1 -1 41916 -1 -1 307 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86480 22 19 3598 3243 1 2500 360 24 24 576 mult_36 auto 47.7 MiB 0.69 20279 77160 16646 56944 3570 84.5 MiB 1.27 0.02 14.9254 -1018.52 -14.9254 14.9254 2.18 0.00860535 0.00778675 0.484985 0.424529 62 38800 49 1.51154e+07 8.45288e+06 2.06880e+06 3591.66 18.30 3.14908 2.78504 56266 511197 -1 31013 19 13179 27554 2975736 651510 15.6858 15.6858 -1492.92 -15.6858 0 0 2.55996e+06 4444.37 1.25 1.10 0.48 -1 -1 1.25 0.372137 0.334898 2343 2138 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 27.59 vpr 85.76 MiB 0.16 16080 -1 -1 13 2.73 -1 -1 46040 -1 -1 317 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87820 22 19 3689 3334 1 2572 370 24 24 576 mult_36 auto 48.7 MiB 0.69 19622 74682 15649 55294 3739 85.8 MiB 1.29 0.02 13.866 -1071.6 -13.866 13.866 2.24 0.00715829 0.00645418 0.487055 0.42352 66 33526 43 1.51154e+07 8.57344e+06 2.19797e+06 3815.93 12.98 2.64426 2.33529 57990 550195 -1 30477 18 12300 26425 2477297 547469 15.0976 15.0976 -1773.74 -15.0976 0 0 2.74415e+06 4764.15 1.38 0.95 0.54 -1 -1 1.38 0.355326 0.32186 2415 2210 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 28.70 vpr 86.07 MiB 0.17 16436 -1 -1 13 2.92 -1 -1 42404 -1 -1 321 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88132 22 19 3763 3391 1 2638 375 24 24 576 mult_36 auto 48.9 MiB 0.68 19503 80084 17521 59254 3309 86.1 MiB 1.39 0.02 13.9322 -1030.79 -13.9322 13.9322 2.26 0.00825485 0.00701988 0.512719 0.445752 64 35223 30 1.51154e+07 9.01766e+06 2.13454e+06 3705.80 13.77 2.53422 2.21442 57414 536310 -1 31172 20 13597 29172 2770126 617914 14.7832 14.7832 -1586.27 -14.7832 0 0 2.67880e+06 4650.70 1.27 1.07 0.52 -1 -1 1.27 0.397661 0.355415 2452 2234 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 28.22 vpr 86.80 MiB 0.18 16612 -1 -1 13 2.90 -1 -1 44076 -1 -1 323 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88880 22 19 3845 3473 1 2666 377 24 24 576 mult_36 auto 49.9 MiB 0.71 19657 83398 18721 61146 3531 86.8 MiB 1.40 0.02 13.9418 -1103.47 -13.9418 13.9418 2.24 0.00831626 0.00715434 0.530421 0.458264 64 34586 31 1.51154e+07 9.04176e+06 2.13454e+06 3705.80 13.34 2.53956 2.2242 57414 536310 -1 30862 21 12720 26766 2773444 610807 14.744 14.744 -1756.99 -14.744 0 0 2.67880e+06 4650.70 1.26 1.02 0.52 -1 -1 1.26 0.372841 0.335214 2515 2297 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 39.90 vpr 90.22 MiB 0.19 16840 -1 -1 13 3.07 -1 -1 46108 -1 -1 337 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92384 22 19 3983 3594 1 2790 391 24 24 576 clb mult_36 auto 51.0 MiB 0.73 21210 86131 18848 62704 4579 88.0 MiB 1.50 0.03 14.2998 -1059.64 -14.2998 14.2998 2.26 0.00761742 0.00674358 0.549558 0.480009 72 35782 29 1.51154e+07 9.21054e+06 2.36234e+06 4101.29 24.19 3.63603 3.17105 60290 598391 -1 32688 19 14413 31292 3180308 688967 14.933 14.933 -1471.64 -14.933 0 0 2.95021e+06 5121.89 1.47 1.14 0.60 -1 -1 1.47 0.396162 0.355034 2616 2386 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 34.09 vpr 88.12 MiB 0.19 17152 -1 -1 13 3.13 -1 -1 42312 -1 -1 341 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90232 22 19 4025 3636 1 2807 395 24 24 576 clb mult_36 auto 51.0 MiB 0.74 22103 80035 15818 60501 3716 88.1 MiB 1.35 0.02 14.1939 -1143.01 -14.1939 14.1939 2.27 0.00757425 0.0067688 0.492425 0.430919 66 39165 36 1.51154e+07 9.25876e+06 2.19797e+06 3815.93 18.73 3.27254 2.87362 57990 550195 -1 34215 20 14434 30025 2913070 627641 14.852 14.852 -1793.18 -14.852 0 0 2.74415e+06 4764.15 1.32 1.14 0.53 -1 -1 1.32 0.433865 0.394408 2639 2409 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 29.21 vpr 88.29 MiB 0.19 17312 -1 -1 13 3.31 -1 -1 46916 -1 -1 355 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90408 22 19 4164 3758 1 2908 410 25 25 625 clb auto 51.5 MiB 0.79 22465 87164 17601 64936 4627 88.3 MiB 1.47 0.02 15.0628 -1152.86 -15.0628 15.0628 2.43 0.00905421 0.00820921 0.538049 0.468754 66 38516 33 1.55855e+07 9.82352e+06 2.39749e+06 3835.99 12.81 2.86253 2.53064 62708 601000 -1 34390 19 13903 29181 2846227 619212 15.7448 15.7448 -1706.4 -15.7448 0 0 2.99279e+06 4788.46 1.50 1.12 0.57 -1 -1 1.50 0.438056 0.398435 2741 2498 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 44.57 vpr 94.19 MiB 0.19 17704 -1 -1 13 3.20 -1 -1 42624 -1 -1 356 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 96448 22 19 4190 3784 1 2926 411 25 25 625 clb auto 52.0 MiB 0.77 22650 93558 20905 68466 4187 89.0 MiB 1.62 0.03 14.2699 -1166.46 -14.2699 14.2699 2.47 0.00945423 0.00785028 0.597834 0.513345 70 36850 23 1.55855e+07 9.83558e+06 2.52006e+06 4032.10 28.21 4.276 3.75444 64580 638411 -1 34382 20 13537 28535 3036570 650347 15.2345 15.2345 -2256.48 -15.2345 0 0 3.16512e+06 5064.19 1.54 1.15 0.57 -1 -1 1.54 0.440702 0.397988 2748 2505 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 44.79 vpr 89.70 MiB 0.20 17724 -1 -1 13 3.42 -1 -1 43280 -1 -1 366 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 91856 22 19 4305 3882 1 2980 421 25 25 625 clb auto 52.8 MiB 0.82 24057 98195 22918 70989 4288 89.7 MiB 1.72 0.03 14.6116 -1225.86 -14.6116 14.6116 2.36 0.00910213 0.00809942 0.629135 0.542543 66 42452 48 1.55855e+07 9.95613e+06 2.39749e+06 3835.99 27.95 3.18936 2.78173 62708 601000 -1 37068 18 15463 33440 3487366 735212 15.1412 15.1412 -1861.09 -15.1412 0 0 2.99279e+06 4788.46 1.52 1.23 0.60 -1 -1 1.52 0.417017 0.374286 2826 2571 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 31.59 vpr 90.21 MiB 0.20 18148 -1 -1 13 3.53 -1 -1 47372 -1 -1 370 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92380 22 19 4363 3940 1 3039 425 25 25 625 clb auto 53.1 MiB 0.83 23986 94648 20880 69413 4355 90.2 MiB 1.51 0.03 15.0654 -1226.59 -15.0654 15.0654 2.34 0.00890658 0.00759905 0.536943 0.465522 70 40013 30 1.55855e+07 1.00044e+07 2.52006e+06 4032.10 14.77 2.85324 2.51435 64580 638411 -1 36636 19 14360 30144 3065081 667308 15.8355 15.8355 -2098.52 -15.8355 0 0 3.16512e+06 5064.19 1.54 1.13 0.62 -1 -1 1.54 0.406916 0.36593 2865 2610 -1 -1 -1 -1 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 8.61 vpr 74.01 MiB 0.07 10532 -1 -1 1 0.26 -1 -1 39632 -1 -1 125 22 0 4 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75788 22 19 1974 1653 1 1039 170 16 16 256 mult_36 auto 36.3 MiB 0.41 6502 23200 4335 16600 2265 74.0 MiB 0.38 0.01 3.88056 -1078.75 -3.88056 3.88056 0.81 0.00302094 0.00266418 0.152768 0.135449 44 12993 32 6.32612e+06 3.15375e+06 649498. 2537.10 3.82 0.862111 0.759172 22336 155612 -1 9404 17 3341 4292 640736 163319 4.36136 4.36136 -1271.75 -4.36136 0 0 820238. 3204.05 0.35 0.27 0.15 -1 -1 0.35 0.127231 0.115433 955 649 247 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 8.51 vpr 74.69 MiB 0.07 10912 -1 -1 1 0.28 -1 -1 40464 -1 -1 134 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76484 22 19 2144 1789 1 1138 180 16 16 256 clb mult_36 auto 37.2 MiB 0.45 7245 26088 5116 18249 2723 74.7 MiB 0.43 0.01 3.88056 -1177.04 -3.88056 3.88056 0.82 0.00303247 0.00271653 0.17142 0.152275 46 13134 50 6.32612e+06 3.66277e+06 684529. 2673.94 3.54 0.879848 0.775485 22592 160355 -1 10087 15 3471 4120 576924 146615 4.36136 4.36136 -1323.76 -4.36136 0 0 838722. 3276.26 0.36 0.27 0.16 -1 -1 0.36 0.130492 0.118419 1035 704 266 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 8.01 vpr 75.33 MiB 0.07 11224 -1 -1 1 0.30 -1 -1 40164 -1 -1 139 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77140 22 19 2218 1846 1 1177 185 16 16 256 clb mult_36 auto 37.7 MiB 0.45 6992 22277 3501 16397 2379 75.3 MiB 0.38 0.01 3.76036 -1246.15 -3.76036 3.76036 0.84 0.00324872 0.00289548 0.150898 0.134213 44 13367 31 6.32612e+06 3.72556e+06 649498. 2537.10 3.15 0.779021 0.687738 22336 155612 -1 9830 14 3513 4231 566237 143320 4.24116 4.24116 -1411.55 -4.24116 0 0 820238. 3204.05 0.33 0.24 0.15 -1 -1 0.33 0.119294 0.107996 1073 723 285 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 13.05 vpr 76.85 MiB 0.08 11828 -1 -1 1 0.33 -1 -1 40540 -1 -1 159 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78692 22 19 2536 2130 1 1298 205 17 17 289 clb auto 39.8 MiB 0.49 8211 35841 7056 25342 3443 76.8 MiB 0.58 0.01 4.12096 -1390.48 -4.12096 4.12096 0.94 0.00344989 0.00304579 0.228749 0.20101 48 13786 32 6.64007e+06 3.97672e+06 816265. 2824.45 7.30 1.31311 1.15329 25714 189529 -1 11430 13 3793 5050 674635 169766 4.36136 4.36136 -1587.67 -4.36136 0 0 986792. 3414.50 0.43 0.27 0.19 -1 -1 0.43 0.129079 0.117418 1228 851 304 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 10.81 vpr 77.25 MiB 0.09 12116 -1 -1 1 0.35 -1 -1 40552 -1 -1 165 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79108 22 19 2610 2187 1 1336 211 17 17 289 clb auto 40.1 MiB 0.51 8404 37204 7607 26089 3508 77.3 MiB 0.60 0.01 4.00076 -1396.4 -4.00076 4.00076 0.93 0.00376726 0.00335231 0.233357 0.205778 48 13820 35 6.64007e+06 4.05207e+06 816265. 2824.45 4.99 1.2116 1.06703 25714 189529 -1 11635 17 4022 4837 818724 203086 4.24116 4.24116 -1617.77 -4.24116 0 0 986792. 3414.50 0.41 0.36 0.18 -1 -1 0.41 0.172892 0.15724 1266 870 323 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 11.05 vpr 78.55 MiB 0.09 12460 -1 -1 1 0.36 -1 -1 40592 -1 -1 174 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80432 22 19 2778 2321 1 1434 221 18 18 324 clb auto 41.3 MiB 0.58 8888 42909 9627 29254 4028 78.5 MiB 0.69 0.01 4.00076 -1503.66 -4.00076 4.00076 1.16 0.0036029 0.00317771 0.271331 0.239544 44 16441 43 7.77114e+06 4.56109e+06 850563. 2625.19 4.56 1.1387 1.00155 28700 205432 -1 12481 15 4400 5575 824071 206880 4.36136 4.36136 -1744.48 -4.36136 0 0 1.07356e+06 3313.45 0.44 0.33 0.19 -1 -1 0.44 0.156023 0.140839 1344 925 342 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 11.86 vpr 78.61 MiB 0.09 12440 -1 -1 1 0.38 -1 -1 40448 -1 -1 178 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80492 22 19 2852 2378 1 1479 225 18 18 324 clb auto 41.5 MiB 0.62 8770 42633 8727 30229 3677 78.6 MiB 0.71 0.01 3.88056 -1517.78 -3.88056 3.88056 1.11 0.00400638 0.00355743 0.273412 0.241846 46 16363 43 7.77114e+06 4.61132e+06 895831. 2764.91 5.31 1.28841 1.13756 29024 211752 -1 12643 16 4417 5500 674101 171153 4.36136 4.36136 -1745.9 -4.36136 0 0 1.09776e+06 3388.15 0.47 0.31 0.20 -1 -1 0.47 0.160482 0.144846 1382 944 361 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 16.80 vpr 80.03 MiB 0.10 12984 -1 -1 1 0.40 -1 -1 41532 -1 -1 190 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81952 22 19 3057 2549 1 1586 237 18 18 324 clb auto 42.5 MiB 0.63 10740 46416 10026 32673 3717 80.0 MiB 0.77 0.01 4.00076 -1726.53 -4.00076 4.00076 1.10 0.00382178 0.00336342 0.291213 0.255013 50 17456 26 7.77114e+06 4.76202e+06 975281. 3010.13 9.84 1.83768 1.60617 29672 225968 -1 14378 15 4846 5985 813071 197795 4.36136 4.36136 -1902.91 -4.36136 0 0 1.16663e+06 3600.72 0.52 0.36 0.21 -1 -1 0.52 0.179178 0.161999 1479 1017 380 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 15.60 vpr 80.55 MiB 0.09 13136 -1 -1 1 0.42 -1 -1 40912 -1 -1 196 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82480 22 19 3131 2606 1 1626 243 19 19 361 clb auto 43.2 MiB 0.61 11347 46481 9585 33107 3789 80.5 MiB 0.77 0.01 4.12096 -1756.56 -4.12096 4.12096 1.25 0.00444758 0.00390033 0.299357 0.265911 48 19250 35 8.13532e+06 4.83737e+06 1.05176e+06 2913.46 8.45 1.5036 1.32208 32602 246183 -1 15643 13 4920 6187 916842 214351 4.36136 4.36136 -1999.1 -4.36136 0 0 1.27108e+06 3521.00 0.55 0.38 0.23 -1 -1 0.55 0.170763 0.153567 1517 1036 399 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 17.76 vpr 81.42 MiB 0.11 13408 -1 -1 1 0.45 -1 -1 42680 -1 -1 206 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83376 22 19 3301 2742 1 1720 254 19 19 361 clb auto 44.1 MiB 0.66 11396 55730 12189 39140 4401 81.4 MiB 0.96 0.01 3.88056 -1826.69 -3.88056 3.88056 1.29 0.00503564 0.00449233 0.368425 0.32784 52 19130 40 8.13532e+06 5.35895e+06 1.12439e+06 3114.66 9.99 1.97712 1.75233 33682 269119 -1 15247 17 4897 6182 855926 205544 4.24116 4.24116 -2117.9 -4.24116 0 0 1.38329e+06 3831.84 0.60 0.39 0.25 -1 -1 0.60 0.199394 0.17909 1597 1091 418 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 18.31 vpr 81.55 MiB 0.11 13836 -1 -1 1 0.46 -1 -1 42184 -1 -1 211 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83508 22 19 3375 2799 1 1765 259 19 19 361 clb auto 44.1 MiB 0.66 11548 49759 9812 36031 3916 81.6 MiB 0.86 0.01 4.00076 -1890.48 -4.00076 4.00076 1.25 0.00454541 0.00399408 0.314749 0.276032 54 18540 21 8.13532e+06 5.42174e+06 1.15452e+06 3198.10 10.63 2.04576 1.7952 34042 276675 -1 15358 17 4689 5861 785847 187050 4.36136 4.36136 -2197.08 -4.36136 0 0 1.41983e+06 3933.05 0.63 0.39 0.26 -1 -1 0.63 0.212232 0.192179 1635 1110 437 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 14.98 vpr 83.05 MiB 0.11 14340 -1 -1 1 0.50 -1 -1 42300 -1 -1 225 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85044 22 19 3615 3005 1 1878 273 20 20 400 clb auto 46.0 MiB 0.71 12994 54258 10466 39456 4336 83.1 MiB 0.94 0.01 4.00076 -2084.39 -4.00076 4.00076 1.39 0.0050175 0.00445775 0.343247 0.302214 50 21562 20 1.10386e+07 5.59755e+06 1.21483e+06 3037.08 6.64 1.58429 1.39625 36870 282114 -1 17902 15 5929 7599 1050121 247462 4.36136 4.36136 -2431.61 -4.36136 0 0 1.45344e+06 3633.59 0.65 0.46 0.26 -1 -1 0.65 0.224251 0.204182 1749 1201 456 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 15.93 vpr 83.38 MiB 0.12 14288 -1 -1 1 0.51 -1 -1 41900 -1 -1 230 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85376 22 19 3689 3062 1 1918 278 20 20 400 clb auto 46.2 MiB 0.71 12575 61954 12536 44219 5199 83.4 MiB 1.02 0.01 3.88056 -2076.55 -3.88056 3.88056 1.44 0.00501846 0.00441236 0.372801 0.326954 46 23368 47 1.10386e+07 5.66034e+06 1.11552e+06 2788.80 7.41 1.67448 1.46772 36070 264401 -1 17507 16 5993 7956 1006436 244661 4.36136 4.36136 -2383.13 -4.36136 0 0 1.36764e+06 3419.10 0.63 0.47 0.25 -1 -1 0.63 0.234255 0.212358 1787 1220 475 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 35.42 vpr 84.48 MiB 0.12 14812 -1 -1 1 0.54 -1 -1 42840 -1 -1 242 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86508 22 19 3871 3210 1 2023 291 21 21 441 clb auto 47.5 MiB 0.74 13778 69699 14883 49227 5589 84.5 MiB 1.17 0.02 4.00076 -2191.82 -4.00076 4.00076 1.63 0.00530321 0.00467223 0.427053 0.376744 48 23867 30 1.14404e+07 6.20704e+06 1.29409e+06 2934.45 26.05 2.58494 2.25963 40046 303487 -1 19601 17 6440 8172 1245227 285518 4.48156 4.48156 -2564.33 -4.48156 0 0 1.56480e+06 3548.29 0.69 0.53 0.28 -1 -1 0.69 0.243413 0.219264 1879 1275 494 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 33.66 vpr 85.17 MiB 0.12 14676 -1 -1 1 0.57 -1 -1 42344 -1 -1 247 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87212 22 19 3945 3267 1 2070 296 21 21 441 clb auto 48.3 MiB 0.76 13590 62414 12271 45436 4707 85.2 MiB 1.09 0.02 3.88056 -2190.79 -3.88056 3.88056 1.65 0.00553151 0.00490434 0.382423 0.336461 50 23190 45 1.14404e+07 6.26983e+06 1.34972e+06 3060.59 24.38 2.72177 2.37181 40486 313801 -1 18968 16 6205 7941 1089968 261420 4.36136 4.36136 -2560.77 -4.36136 0 0 1.61476e+06 3661.58 0.70 0.45 0.30 -1 -1 0.70 0.221877 0.20018 1917 1294 513 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 21.52 vpr 86.66 MiB 0.15 15096 -1 -1 1 0.60 -1 -1 42312 -1 -1 260 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88744 22 19 4159 3447 1 2186 310 22 22 484 mult_36 auto 49.5 MiB 0.84 15353 79958 17053 56673 6232 86.7 MiB 1.36 0.02 3.88056 -2266.17 -3.88056 3.88056 1.83 0.00611376 0.0054432 0.491508 0.433189 48 25856 34 1.26594e+07 6.82908e+06 1.44011e+06 2975.42 11.14 2.14134 1.88154 44390 338934 -1 21549 14 6775 8741 1304676 295332 4.36136 4.36136 -2705.7 -4.36136 0 0 1.74100e+06 3597.11 0.83 0.54 0.31 -1 -1 0.83 0.247575 0.22505 2023 1367 532 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 22.40 vpr 86.93 MiB 0.13 15252 -1 -1 1 0.61 -1 -1 44744 -1 -1 265 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89020 22 19 4233 3504 1 2225 315 22 22 484 mult_36 auto 49.9 MiB 0.87 15841 74214 15338 52474 6402 86.9 MiB 1.26 0.02 3.88056 -2320.72 -3.88056 3.88056 1.85 0.00587516 0.00520692 0.443016 0.390904 48 26732 29 1.26594e+07 6.89187e+06 1.44011e+06 2975.42 11.80 2.0874 1.83573 44390 338934 -1 22044 17 7172 8930 1480536 341569 4.48156 4.48156 -2846.22 -4.48156 0 0 1.74100e+06 3597.11 0.83 0.63 0.31 -1 -1 0.83 0.286873 0.260477 2061 1386 551 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 26.73 vpr 87.86 MiB 0.13 15632 -1 -1 1 0.62 -1 -1 43768 -1 -1 276 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89972 22 19 4410 3647 1 2335 326 22 22 484 clb mult_36 auto 51.1 MiB 0.85 16676 77675 17209 54692 5774 87.9 MiB 1.32 0.02 4.00076 -2464.46 -4.00076 4.00076 1.76 0.00633083 0.00564449 0.472929 0.418964 54 26858 22 1.26594e+07 7.03001e+06 1.58090e+06 3266.32 16.29 2.78965 2.4577 46322 380746 -1 22115 14 6742 8322 1466165 330088 4.48156 4.48156 -2937.52 -4.48156 0 0 1.94386e+06 4016.24 0.93 0.56 0.36 -1 -1 0.93 0.243661 0.220594 2148 1441 570 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 19.07 vpr 88.04 MiB 0.14 15704 -1 -1 1 0.64 -1 -1 44760 -1 -1 280 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90152 22 19 4484 3704 1 2374 330 22 22 484 clb mult_36 auto 51.4 MiB 0.88 16730 69809 12918 51951 4940 88.0 MiB 1.22 0.02 4.00076 -2487.53 -4.00076 4.00076 1.82 0.00632031 0.00559839 0.42158 0.37296 50 29674 45 1.26594e+07 7.08024e+06 1.50222e+06 3103.76 8.49 2.10121 1.84997 44874 350400 -1 23070 17 7455 9281 1425274 322420 4.60176 4.60176 -3013.72 -4.60176 0 0 1.79645e+06 3711.66 0.84 0.63 0.32 -1 -1 0.84 0.306845 0.277509 2186 1460 589 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 29.58 vpr 89.76 MiB 0.16 16684 -1 -1 1 0.73 -1 -1 44988 -1 -1 304 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 91912 22 19 4843 4029 1 2501 355 23 23 529 clb auto 53.0 MiB 0.96 17782 95811 22408 66843 6560 89.8 MiB 1.71 0.02 4.00076 -2779.41 -4.00076 4.00076 2.01 0.00721275 0.00646157 0.603008 0.532774 54 29517 34 1.31115e+07 7.77763e+06 1.73850e+06 3286.39 17.60 2.99149 2.62743 50466 419205 -1 23437 17 7140 8842 1299918 295956 4.48156 4.48156 -3471.15 -4.48156 0 0 2.13727e+06 4040.20 1.00 0.59 0.39 -1 -1 1.00 0.30699 0.277365 2364 1606 608 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 21.71 vpr 90.61 MiB 0.17 16872 -1 -1 1 0.75 -1 -1 44908 -1 -1 309 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92788 22 19 4917 4086 1 2542 360 23 23 529 clb auto 53.8 MiB 0.92 16937 92520 19762 66737 6021 90.6 MiB 1.50 0.02 4.00076 -2782.69 -4.00076 4.00076 2.03 0.00626046 0.00542362 0.51401 0.448407 50 29627 36 1.31115e+07 7.84042e+06 1.65241e+06 3123.66 9.90 2.44195 2.1276 48882 385791 -1 23528 16 8073 10385 1545524 354553 4.48156 4.48156 -3243.69 -4.48156 0 0 1.97533e+06 3734.07 0.97 0.65 0.35 -1 -1 0.97 0.301999 0.271715 2402 1625 627 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 27.69 vpr 91.27 MiB 0.17 17488 -1 -1 1 0.79 -1 -1 45996 -1 -1 320 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 93464 22 19 5093 4228 1 2643 371 23 23 529 clb auto 54.5 MiB 0.98 20181 104267 24008 73339 6920 91.3 MiB 1.80 0.02 4.12096 -3042.79 -4.12096 4.12096 2.10 0.00689546 0.00605968 0.602166 0.530744 54 34259 46 1.31115e+07 7.97856e+06 1.73850e+06 3286.39 15.21 2.76925 2.42057 50466 419205 -1 27205 15 8331 10425 1693655 380668 4.48156 4.48156 -3510.28 -4.48156 0 0 2.13727e+06 4040.20 1.00 0.65 0.39 -1 -1 1.00 0.287152 0.257887 2488 1680 646 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 20.32 vpr 91.90 MiB 0.17 17560 -1 -1 1 0.82 -1 -1 45240 -1 -1 324 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 94108 22 19 5167 4285 1 2691 375 23 23 529 clb auto 55.2 MiB 1.05 18382 100349 22605 70425 7319 91.9 MiB 1.65 0.02 3.88056 -2924.6 -3.88056 3.88056 2.01 0.00783973 0.00702522 0.557782 0.486325 52 30977 40 1.31115e+07 8.02879e+06 1.69338e+06 3201.10 7.99 2.20743 1.9265 49938 407647 -1 24844 17 8141 10170 1583958 380237 4.36136 4.36136 -3567.75 -4.36136 0 0 2.08190e+06 3935.53 0.98 0.68 0.38 -1 -1 0.98 0.328821 0.296208 2526 1699 665 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 31.12 vpr 93.00 MiB 0.18 17924 -1 -1 1 0.90 -1 -1 44428 -1 -1 337 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 95236 22 19 5380 4464 1 2808 389 24 24 576 clb mult_36 auto 56.5 MiB 1.12 19942 103976 22183 73759 8034 93.0 MiB 1.78 0.02 4.00076 -3061.06 -4.00076 4.00076 2.32 0.00704658 0.00612781 0.594661 0.517749 50 32777 32 1.52924e+07 8.58805e+06 1.78400e+06 3097.22 17.72 3.25246 2.85069 53074 415989 -1 27317 15 8570 10688 1764081 421975 4.48156 4.48156 -3663.13 -4.48156 0 0 2.13454e+06 3705.80 1.01 0.73 0.38 -1 -1 1.01 0.329222 0.297157 2631 1772 684 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 36.07 vpr 93.58 MiB 0.19 17884 -1 -1 1 0.90 -1 -1 44680 -1 -1 343 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 95828 22 19 5454 4521 1 2849 395 24 24 576 clb mult_36 auto 56.9 MiB 1.11 22150 107547 23952 76596 6999 93.6 MiB 1.93 0.02 4.24116 -3279.46 -4.24116 4.24116 2.36 0.00726837 0.00633933 0.626693 0.553857 54 38492 49 1.52924e+07 8.66339e+06 1.87785e+06 3260.16 22.38 3.46011 3.0404 54798 452027 -1 30003 14 8752 11060 1718689 374877 4.72196 4.72196 -3772.72 -4.72196 0 0 2.31032e+06 4010.97 1.14 0.68 0.42 -1 -1 1.14 0.314975 0.284905 2669 1791 703 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 33.00 vpr 94.35 MiB 0.19 18312 -1 -1 1 0.89 -1 -1 44684 -1 -1 353 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 96616 22 19 5629 4662 1 2951 405 25 25 625 clb auto 57.6 MiB 1.21 21250 114177 27056 79351 7770 94.4 MiB 1.97 0.03 4.00076 -3243.31 -4.00076 4.00076 2.51 0.00777471 0.00696548 0.651144 0.571183 50 38230 46 1.57822e+07 8.78897e+06 1.94653e+06 3114.45 18.73 3.07531 2.68589 57408 454416 -1 29339 14 9162 11667 1682293 387299 4.60176 4.60176 -3843.03 -4.60176 0 0 2.32897e+06 3726.35 1.11 0.68 0.42 -1 -1 1.11 0.325412 0.294919 2754 1846 722 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 33.03 vpr 94.50 MiB 0.18 18444 -1 -1 1 0.92 -1 -1 46212 -1 -1 358 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 96772 22 19 5703 4719 1 2994 410 25 25 625 clb auto 58.0 MiB 1.20 21312 114560 26562 80700 7298 94.5 MiB 1.96 0.02 4.24116 -3330.2 -4.24116 4.24116 2.42 0.00741164 0.00650029 0.642267 0.561356 56 31808 23 1.57822e+07 8.85176e+06 2.10056e+06 3360.90 18.73 3.13579 2.75263 59904 506958 -1 28630 14 8805 11217 1489603 352324 4.48156 4.48156 -3762.14 -4.48156 0 0 2.57664e+06 4122.63 1.23 0.68 0.47 -1 -1 1.23 0.332595 0.300911 2792 1865 741 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 27.56 vpr 96.05 MiB 0.19 18920 -1 -1 1 0.98 -1 -1 45248 -1 -1 374 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 98356 22 19 5950 4932 1 3111 427 25 25 625 clb auto 59.4 MiB 1.29 22701 130594 31285 91642 7667 96.1 MiB 2.24 0.03 4.00076 -3453.96 -4.00076 4.00076 2.40 0.00804486 0.00708981 0.719572 0.629312 54 36655 28 1.57822e+07 9.44869e+06 2.04878e+06 3278.05 12.71 3.06679 2.69109 59280 493784 -1 29785 13 8896 11555 1612690 380460 4.48156 4.48156 -4046.2 -4.48156 0 0 2.52006e+06 4032.10 1.29 0.73 0.46 -1 -1 1.29 0.358278 0.326749 2913 1956 760 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 26.14 vpr 96.58 MiB 0.19 19284 -1 -1 1 1.01 -1 -1 46824 -1 -1 377 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 98896 22 19 6024 4989 1 3151 430 25 25 625 clb auto 59.8 MiB 1.26 22708 126946 29293 87852 9801 96.6 MiB 2.15 0.03 4.12096 -3467.44 -4.12096 4.12096 2.39 0.00823436 0.00727291 0.700025 0.610211 54 35185 24 1.57822e+07 9.48637e+06 2.04878e+06 3278.05 11.36 2.94229 2.57971 59280 493784 -1 30096 14 8999 11448 1605430 375027 4.48156 4.48156 -4189.78 -4.48156 0 0 2.52006e+06 4032.10 1.24 0.72 0.46 -1 -1 1.24 0.35577 0.32206 2951 1975 779 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 24.61 vpr 98.02 MiB 0.21 19560 -1 -1 1 1.04 -1 -1 46220 -1 -1 389 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 100376 22 19 6198 5129 1 3252 442 25 25 625 clb auto 61.4 MiB 1.37 22631 141814 34568 96323 10923 98.0 MiB 2.43 0.03 4.24116 -3592.24 -4.24116 4.24116 2.44 0.00841299 0.00742758 0.777688 0.68354 52 37054 32 1.57822e+07 9.63706e+06 1.99531e+06 3192.49 9.24 2.81133 2.47908 58656 480125 -1 30518 11 9231 11908 1688948 392509 4.84216 4.84216 -4150.14 -4.84216 0 0 2.45448e+06 3927.17 1.28 0.69 0.45 -1 -1 1.28 0.319812 0.291319 3035 2030 798 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 37.78 vpr 97.75 MiB 0.22 19572 -1 -1 1 1.04 -1 -1 47480 -1 -1 394 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 100096 22 19 6272 5186 1 3297 447 26 26 676 clb auto 61.2 MiB 1.30 22311 135379 32593 94809 7977 97.8 MiB 2.37 0.03 4.12096 -3528.69 -4.12096 4.12096 2.69 0.00856899 0.00757893 0.748725 0.660691 52 40429 49 1.91291e+07 9.69985e+06 2.20423e+06 3260.69 21.54 3.55664 3.09992 65116 533202 -1 31084 14 9896 12723 1977772 456369 4.60176 4.60176 -4156.9 -4.60176 0 0 2.70930e+06 4007.84 1.33 0.79 0.48 -1 -1 1.33 0.348181 0.312953 3073 2049 817 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 42.98 vpr 99.16 MiB 0.20 20056 -1 -1 1 1.12 -1 -1 47532 -1 -1 407 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 101540 22 19 6485 5365 1 3415 461 26 26 676 clb auto 62.5 MiB 1.31 23798 155321 39059 106682 9580 99.1 MiB 2.71 0.03 4.00076 -3747.68 -4.00076 4.00076 2.71 0.00883931 0.00781309 0.849946 0.743097 56 36820 33 1.91291e+07 1.02591e+07 2.31971e+06 3431.53 26.31 4.46286 3.89023 66468 563034 -1 32690 14 9793 12718 1831643 436190 4.60176 4.60176 -4567.19 -4.60176 0 0 2.84390e+06 4206.95 1.36 0.83 0.52 -1 -1 1.36 0.401175 0.363987 3178 2122 836 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 37.29 vpr 99.71 MiB 0.21 20428 -1 -1 1 1.11 -1 -1 47684 -1 -1 412 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 102104 22 19 6559 5422 1 3449 466 26 26 676 clb auto 63.0 MiB 1.23 23654 152170 37808 104725 9637 99.7 MiB 2.73 0.03 4.00076 -3699 -4.00076 4.00076 2.77 0.00982079 0.00878635 0.859653 0.753705 54 37103 24 1.91291e+07 1.03219e+07 2.26288e+06 3347.46 21.00 3.88133 3.41174 65792 548382 -1 31520 14 9554 12504 1800212 425394 4.48156 4.48156 -4340.56 -4.48156 0 0 2.78165e+06 4114.86 1.19 0.73 0.51 -1 -1 1.19 0.336823 0.299064 3216 2141 855 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 43.41 vpr 101.09 MiB 0.22 20464 -1 -1 1 1.16 -1 -1 47704 -1 -1 422 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 103516 22 19 6735 5564 1 3561 476 26 26 676 clb auto 64.7 MiB 1.31 27307 145322 35018 100616 9688 101.1 MiB 2.53 0.03 4.12096 -3880.21 -4.12096 4.12096 2.79 0.00862874 0.00756287 0.802235 0.704498 56 43715 40 1.91291e+07 1.04475e+07 2.31971e+06 3431.53 26.66 3.58106 3.12009 66468 563034 -1 36773 15 11072 14610 2195004 491299 4.60176 4.60176 -4642.52 -4.60176 0 0 2.84390e+06 4206.95 1.40 0.88 0.52 -1 -1 1.40 0.398085 0.358374 3302 2196 874 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 38.32 vpr 101.99 MiB 0.23 20912 -1 -1 1 1.18 -1 -1 47624 -1 -1 428 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 104436 22 19 6809 5621 1 3598 482 26 26 676 clb auto 65.5 MiB 1.32 26693 155380 38658 106556 10166 101.1 MiB 2.74 0.03 4.24116 -3950.45 -4.24116 4.24116 2.77 0.00909271 0.00799063 0.857967 0.754611 56 39438 17 1.91291e+07 1.05228e+07 2.31971e+06 3431.53 21.35 3.74726 3.30108 66468 563034 -1 35807 12 10401 13012 1969259 461609 4.72196 4.72196 -4710.69 -4.72196 0 0 2.84390e+06 4206.95 1.37 0.80 0.53 -1 -1 1.37 0.362108 0.326207 3340 2215 893 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 43.43 vpr 106.73 MiB 0.23 21172 -1 -1 1 1.23 -1 -1 48368 -1 -1 444 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 109296 22 19 7094 5872 1 3718 499 27 27 729 clb auto 67.4 MiB 1.42 28920 154783 36243 110001 8539 103.6 MiB 2.76 0.03 4.24116 -4208.46 -4.24116 4.24116 3.02 0.010963 0.00983711 0.849321 0.749258 56 45216 25 1.9669e+07 1.11198e+07 2.51142e+06 3445.02 25.44 3.97362 3.4799 71406 610069 -1 39212 15 11359 14594 2348026 514766 4.72196 4.72196 -5018.62 -4.72196 0 0 3.07846e+06 4222.85 1.50 1.01 0.56 -1 -1 1.50 0.465062 0.421811 3481 2324 912 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 41.57 vpr 106.45 MiB 0.23 21472 -1 -1 1 1.26 -1 -1 47952 -1 -1 449 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 109004 22 19 7168 5929 1 3755 504 27 27 729 clb auto 67.0 MiB 1.40 27806 166919 40599 116596 9724 103.2 MiB 2.99 0.03 4.12096 -4166.57 -4.12096 4.12096 3.01 0.0106482 0.00950503 0.923149 0.802572 56 41397 24 1.9669e+07 1.11825e+07 2.51142e+06 3445.02 23.58 4.10669 3.59898 71406 610069 -1 37402 16 10952 13701 2027987 468070 4.48156 4.48156 -4864.81 -4.48156 0 0 3.07846e+06 4222.85 1.50 0.91 0.53 -1 -1 1.50 0.462073 0.416257 3519 2343 931 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 43.64 vpr 103.84 MiB 0.24 21680 -1 -1 1 1.34 -1 -1 48452 -1 -1 460 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 106332 22 19 7344 6071 1 3863 515 27 27 729 clb auto 68.2 MiB 1.51 29045 175870 42466 123362 10042 103.8 MiB 3.17 0.03 4.12096 -4344.11 -4.12096 4.12096 2.97 0.0110995 0.00996148 0.997094 0.878909 56 44575 27 1.9669e+07 1.13207e+07 2.51142e+06 3445.02 25.08 3.96761 3.47834 71406 610069 -1 39017 12 11553 14943 2352973 533450 4.72196 4.72196 -5481.71 -4.72196 0 0 3.07846e+06 4222.85 1.49 0.88 0.56 -1 -1 1.49 0.375211 0.337162 3605 2398 950 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 43.71 vpr 109.27 MiB 0.26 22132 -1 -1 1 1.35 -1 -1 48604 -1 -1 465 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 111892 22 19 7418 6128 1 3909 520 27 27 729 clb auto 68.7 MiB 1.46 29927 180260 45761 124265 10234 104.5 MiB 3.18 0.03 4.12096 -4348.7 -4.12096 4.12096 2.85 0.0103583 0.00917632 0.947274 0.825752 58 44844 32 1.9669e+07 1.13835e+07 2.56250e+06 3515.09 25.21 4.46221 3.90497 72862 642985 -1 39297 12 11098 14140 2080963 463135 4.72196 4.72196 -4968.85 -4.72196 0 0 3.20690e+06 4399.04 1.59 0.87 0.61 -1 -1 1.59 0.39663 0.359884 3643 2417 969 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 9.41 vpr 69.39 MiB 0.06 9420 -1 -1 1 0.19 -1 -1 38952 -1 -1 81 22 0 4 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71056 22 19 1246 925 1 736 126 16 16 256 mult_36 auto 31.7 MiB 0.41 4474 23751 5682 14581 3488 69.4 MiB 0.39 0.01 7.40158 -333.219 -7.40158 7.40158 0.80 0.00192135 0.0017318 0.151966 0.136648 38 9076 40 6.32612e+06 2.6012e+06 558663. 2182.28 5.11 0.653842 0.580649 21316 135884 -1 7004 21 4609 5382 871602 229697 7.86499 7.86499 -435.998 -7.86499 0 0 744679. 2908.90 0.29 0.28 0.13 -1 -1 0.29 0.0947737 0.08572 591 285 247 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 8.72 vpr 70.45 MiB 0.06 9756 -1 -1 1 0.19 -1 -1 38688 -1 -1 86 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72136 22 19 1344 989 1 796 132 16 16 256 mult_36 auto 32.7 MiB 0.38 4921 21907 5100 14284 2523 70.4 MiB 0.39 0.01 7.40158 -347.009 -7.40158 7.40158 0.80 0.00204237 0.00183931 0.144881 0.130603 40 8807 28 6.32612e+06 3.05999e+06 583096. 2277.72 4.23 0.655817 0.583542 21572 140635 -1 7960 25 6810 7638 1610649 396282 8.35499 8.35499 -470.787 -8.35499 0 0 763333. 2981.77 0.30 0.45 0.14 -1 -1 0.30 0.119215 0.107107 635 304 266 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 11.10 vpr 70.47 MiB 0.06 9856 -1 -1 1 0.21 -1 -1 38864 -1 -1 91 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72164 22 19 1418 1046 1 833 137 16 16 256 mult_36 auto 32.8 MiB 0.46 4880 24847 6018 14954 3875 70.5 MiB 0.43 0.01 7.40158 -375.439 -7.40158 7.40158 0.81 0.00219809 0.00198368 0.169623 0.153133 46 8700 28 6.32612e+06 3.12278e+06 684529. 2673.94 6.55 0.988178 0.875921 22592 160355 -1 6927 23 4870 5608 746106 202887 7.43739 7.43739 -505.144 -7.43739 0 0 838722. 3276.26 0.34 0.30 0.15 -1 -1 0.34 0.12339 0.112027 673 323 285 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 23.72 vpr 71.32 MiB 0.07 10320 -1 -1 1 0.21 -1 -1 38448 -1 -1 97 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73032 22 19 1518 1112 1 899 143 16 16 256 mult_36 auto 33.5 MiB 0.44 5234 25880 5878 14890 5112 71.3 MiB 0.45 0.01 7.83563 -402.479 -7.83563 7.83563 0.80 0.00233376 0.00210564 0.171937 0.153916 40 10036 31 6.32612e+06 3.19813e+06 583096. 2277.72 19.06 1.24047 1.09729 21572 140635 -1 8524 24 6941 7799 1305631 333240 9.34605 9.34605 -604.804 -9.34605 0 0 763333. 2981.77 0.31 0.42 0.13 -1 -1 0.31 0.133214 0.120566 719 342 304 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 10.07 vpr 71.70 MiB 0.07 10512 -1 -1 1 0.23 -1 -1 38812 -1 -1 102 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73420 22 19 1592 1169 1 937 148 16 16 256 mult_36 auto 34.0 MiB 0.52 5983 25954 6023 16574 3357 71.7 MiB 0.46 0.01 8.03203 -399.545 -8.03203 8.03203 0.80 0.0023459 0.00209405 0.174934 0.156454 44 12031 50 6.32612e+06 3.26092e+06 649498. 2537.10 5.19 0.862944 0.764694 22336 155612 -1 8982 23 6359 7337 1152651 301054 8.30705 8.30705 -545.164 -8.30705 0 0 820238. 3204.05 0.34 0.37 0.15 -1 -1 0.34 0.13107 0.118158 757 361 323 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 8.84 vpr 72.13 MiB 0.07 10676 -1 -1 1 0.23 -1 -1 39816 -1 -1 107 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73864 22 19 1688 1231 1 998 154 16 16 256 mult_36 auto 34.6 MiB 0.50 6143 26522 5762 17101 3659 72.1 MiB 0.47 0.01 8.02103 -421.266 -8.02103 8.02103 0.79 0.0025953 0.00233378 0.17793 0.159196 44 11801 44 6.32612e+06 3.71971e+06 649498. 2537.10 3.92 0.76815 0.681412 22336 155612 -1 8992 24 6161 7205 1289693 332506 8.35645 8.35645 -583.345 -8.35645 0 0 820238. 3204.05 0.33 0.44 0.15 -1 -1 0.33 0.148905 0.135037 799 380 342 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 11.25 vpr 72.79 MiB 0.08 10752 -1 -1 1 0.26 -1 -1 39104 -1 -1 112 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74540 22 19 1762 1288 1 1033 159 16 16 256 mult_36 auto 35.1 MiB 0.57 6278 22519 4481 13432 4606 72.8 MiB 0.39 0.01 8.07603 -422.89 -8.07603 8.07603 0.78 0.00244907 0.00218078 0.148212 0.132108 46 11283 38 6.32612e+06 3.7825e+06 684529. 2673.94 6.34 0.854526 0.757426 22592 160355 -1 8846 22 5090 5927 1119249 277051 8.48145 8.48145 -611.058 -8.48145 0 0 838722. 3276.26 0.34 0.39 0.15 -1 -1 0.34 0.145892 0.13258 837 399 361 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 14.16 vpr 73.31 MiB 0.08 11256 -1 -1 1 0.27 -1 -1 39716 -1 -1 119 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75072 22 19 1859 1351 1 1097 166 16 16 256 mult_36 auto 35.7 MiB 0.57 7083 28438 6142 18142 4154 73.3 MiB 0.60 0.01 7.94484 -455.64 -7.94484 7.94484 0.82 0.00289079 0.00260544 0.202325 0.181949 48 12606 43 6.32612e+06 3.8704e+06 714410. 2790.66 8.89 0.9819 0.873464 22848 165380 -1 10225 23 6523 7632 1490616 365297 8.54445 8.54445 -698.763 -8.54445 0 0 863353. 3372.47 0.32 0.45 0.16 -1 -1 0.32 0.145183 0.130756 880 418 380 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 14.54 vpr 73.74 MiB 0.09 11456 -1 -1 1 0.29 -1 -1 39700 -1 -1 123 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75508 22 19 1933 1408 1 1134 170 16 16 256 mult_36 auto 36.1 MiB 0.63 7497 28840 5744 17746 5350 73.7 MiB 0.54 0.01 8.19623 -478.603 -8.19623 8.19623 0.79 0.00272424 0.00243072 0.197455 0.176368 50 12629 39 6.32612e+06 3.92063e+06 744679. 2908.90 9.17 1.45731 1.29239 23104 171162 -1 10338 24 6782 7844 1500653 372502 8.65165 8.65165 -780.862 -8.65165 0 0 891356. 3481.86 0.34 0.48 0.16 -1 -1 0.34 0.157881 0.141799 918 437 399 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 17.10 vpr 74.25 MiB 0.09 11488 -1 -1 1 0.30 -1 -1 40316 -1 -1 131 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76036 22 19 2031 1472 1 1198 179 18 18 324 mult_36 auto 36.7 MiB 0.66 7800 33947 7578 22807 3562 74.3 MiB 0.67 0.01 8.07603 -491.36 -8.07603 8.07603 1.12 0.00310981 0.00273176 0.223027 0.200057 48 13478 26 7.77114e+06 4.4171e+06 935225. 2886.50 10.63 1.4929 1.32444 29348 218440 -1 11377 25 7995 9278 1619829 380294 8.42725 8.42725 -735.074 -8.42725 0 0 1.13028e+06 3488.51 0.48 0.53 0.20 -1 -1 0.48 0.18021 0.163194 962 456 418 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 11.83 vpr 74.54 MiB 0.09 11576 -1 -1 1 0.31 -1 -1 40024 -1 -1 136 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76324 22 19 2105 1529 1 1235 184 18 18 324 mult_36 auto 37.1 MiB 0.67 7613 38886 9363 25292 4231 74.5 MiB 0.72 0.01 8.03203 -519.437 -8.03203 8.03203 1.14 0.00332584 0.0028989 0.26418 0.236331 48 14281 30 7.77114e+06 4.47989e+06 935225. 2886.50 5.18 0.962196 0.854328 29348 218440 -1 11702 23 8308 9545 1820710 425423 8.68585 8.68585 -879.228 -8.68585 0 0 1.13028e+06 3488.51 0.48 0.57 0.21 -1 -1 0.48 0.173939 0.157286 1000 475 437 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 13.34 vpr 75.27 MiB 0.10 11900 -1 -1 1 0.34 -1 -1 40524 -1 -1 141 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77072 22 19 2201 1591 1 1295 189 18 18 324 mult_36 auto 37.7 MiB 0.70 8327 38671 8953 24931 4787 75.3 MiB 0.73 0.01 8.07603 -536.837 -8.07603 8.07603 1.11 0.00340577 0.00305819 0.254048 0.227505 46 15902 32 7.77114e+06 4.54268e+06 895831. 2764.91 6.76 1.12026 0.994724 29024 211752 -1 12203 23 7348 8833 1506585 366180 8.48645 8.48645 -788.96 -8.48645 0 0 1.09776e+06 3388.15 0.44 0.50 0.19 -1 -1 0.44 0.171507 0.153762 1042 494 456 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 16.86 vpr 75.41 MiB 0.10 12344 -1 -1 1 0.34 -1 -1 40296 -1 -1 145 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77224 22 19 2275 1648 1 1331 193 18 18 324 mult_36 auto 38.0 MiB 0.74 8579 35841 7324 24223 4294 75.4 MiB 0.71 0.01 8.02103 -559.227 -8.02103 8.02103 1.15 0.00366825 0.00332438 0.246615 0.221467 48 15164 30 7.77114e+06 4.59291e+06 935225. 2886.50 10.06 1.55564 1.37668 29348 218440 -1 12674 24 8732 10174 1568425 370483 8.55845 8.55845 -896.446 -8.55845 0 0 1.13028e+06 3488.51 0.47 0.55 0.21 -1 -1 0.47 0.192929 0.173836 1080 513 475 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 15.73 vpr 76.22 MiB 0.11 12572 -1 -1 1 0.37 -1 -1 40124 -1 -1 153 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78052 22 19 2385 1724 1 1408 202 18 18 324 mult_36 auto 38.7 MiB 0.78 9308 39866 8518 25758 5590 76.2 MiB 0.83 0.01 8.07603 -580.908 -8.07603 8.07603 1.14 0.00374707 0.00338193 0.27556 0.246991 48 16727 30 7.77114e+06 5.08937e+06 935225. 2886.50 8.51 1.19624 1.06279 29348 218440 -1 13802 24 9189 10823 2088637 482795 8.47665 8.47665 -1005.19 -8.47665 0 0 1.13028e+06 3488.51 0.49 0.68 0.21 -1 -1 0.49 0.214534 0.194766 1136 532 494 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 12.51 vpr 76.71 MiB 0.11 12384 -1 -1 1 0.39 -1 -1 40768 -1 -1 158 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78556 22 19 2459 1781 1 1444 207 18 18 324 mult_36 auto 39.3 MiB 0.80 9577 40599 8581 27617 4401 76.7 MiB 0.82 0.01 7.83563 -656.693 -7.83563 7.83563 1.14 0.00432427 0.00389647 0.269151 0.23982 48 16803 29 7.77114e+06 5.15216e+06 935225. 2886.50 5.25 1.06292 0.942861 29348 218440 -1 14324 24 10233 11677 2214911 526248 8.93225 8.93225 -974.812 -8.93225 0 0 1.13028e+06 3488.51 0.50 0.70 0.21 -1 -1 0.50 0.208143 0.187696 1174 551 513 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 24.23 vpr 77.30 MiB 0.11 12688 -1 -1 1 0.41 -1 -1 40732 -1 -1 165 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79152 22 19 2565 1853 1 1517 215 22 22 484 mult_36 auto 40.0 MiB 0.85 10055 44582 9568 30977 4037 77.3 MiB 0.88 0.01 7.93383 -595.828 -7.93383 7.93383 1.87 0.00413706 0.00374988 0.297116 0.266044 44 19542 41 1.26594e+07 5.63607e+06 1.30964e+06 2705.88 14.91 1.86711 1.657 43422 318546 -1 14418 23 10029 11797 2246039 529422 8.61085 8.61085 -852.992 -8.61085 0 0 1.65337e+06 3416.05 0.77 0.72 0.29 -1 -1 0.77 0.222927 0.201384 1226 570 532 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 22.05 vpr 77.95 MiB 0.11 13064 -1 -1 1 0.41 -1 -1 41000 -1 -1 170 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79816 22 19 2639 1910 1 1554 220 22 22 484 mult_36 auto 40.6 MiB 0.91 10348 45304 9394 30658 5252 77.9 MiB 0.93 0.01 7.83563 -591.613 -7.83563 7.83563 1.88 0.00412774 0.00362468 0.311656 0.277655 46 18905 37 1.26594e+07 5.69886e+06 1.37878e+06 2848.72 12.71 1.38 1.2224 43906 328446 -1 14509 22 8880 10422 1949392 448389 8.65285 8.65285 -1007.25 -8.65285 0 0 1.69059e+06 3492.95 0.76 0.62 0.30 -1 -1 0.76 0.203793 0.18384 1264 589 551 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 26.86 vpr 78.18 MiB 0.12 13360 -1 -1 1 0.42 -1 -1 40820 -1 -1 177 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80060 22 19 2744 1981 1 1626 227 22 22 484 mult_36 auto 40.9 MiB 0.87 10580 53511 12333 34516 6662 78.2 MiB 1.07 0.01 7.90083 -631.826 -7.90083 7.90083 1.82 0.00427151 0.0037266 0.363169 0.321204 46 20227 42 1.26594e+07 5.78677e+06 1.37878e+06 2848.72 17.45 2.25402 1.9896 43906 328446 -1 15257 23 10383 11974 2067252 503482 8.49445 8.49445 -922.23 -8.49445 0 0 1.69059e+06 3492.95 0.76 0.69 0.28 -1 -1 0.76 0.229499 0.20602 1315 608 570 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 24.63 vpr 78.70 MiB 0.12 13260 -1 -1 1 0.44 -1 -1 40748 -1 -1 181 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80584 22 19 2818 2038 1 1662 231 22 22 484 mult_36 auto 41.3 MiB 0.93 11144 56163 13328 37752 5083 78.7 MiB 1.11 0.01 8.06503 -690.229 -8.06503 8.06503 1.90 0.00458667 0.00415897 0.361656 0.322728 46 20008 32 1.26594e+07 5.837e+06 1.37878e+06 2848.72 14.97 1.93681 1.71132 43906 328446 -1 16138 23 10231 11925 1968299 448730 8.76405 8.76405 -1088.55 -8.76405 0 0 1.69059e+06 3492.95 0.75 0.64 0.30 -1 -1 0.75 0.224937 0.202792 1353 627 589 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 25.12 vpr 79.37 MiB 0.13 14076 -1 -1 1 0.46 -1 -1 41284 -1 -1 189 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81272 22 19 2923 2109 1 1730 240 22 22 484 mult_36 auto 41.8 MiB 0.92 11308 59095 13553 39571 5971 79.4 MiB 1.10 0.01 8.38989 -668.907 -8.38989 8.38989 1.92 0.00428686 0.00384069 0.372279 0.331435 46 20752 31 1.26594e+07 6.33346e+06 1.37878e+06 2848.72 15.31 2.09606 1.85573 43906 328446 -1 16068 22 10408 11942 2280205 542677 9.47631 9.47631 -1173.17 -9.47631 0 0 1.69059e+06 3492.95 0.74 0.70 0.27 -1 -1 0.74 0.219476 0.19709 1404 646 608 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 22.88 vpr 79.84 MiB 0.13 13884 -1 -1 1 0.47 -1 -1 41424 -1 -1 194 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81756 22 19 2997 2166 1 1769 245 22 22 484 mult_36 auto 42.4 MiB 1.16 11328 59993 14049 39404 6540 79.8 MiB 1.15 0.01 8.38989 -679.405 -8.38989 8.38989 1.84 0.00513904 0.00466504 0.397848 0.353625 48 20993 39 1.26594e+07 6.39625e+06 1.44011e+06 2975.42 12.65 1.64102 1.45437 44390 338934 -1 16805 22 12804 14718 2675836 628806 9.46671 9.46671 -1115.06 -9.46671 0 0 1.74100e+06 3597.11 0.83 0.83 0.31 -1 -1 0.83 0.241579 0.217632 1442 665 627 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 18.03 vpr 80.27 MiB 0.14 14412 -1 -1 1 0.48 -1 -1 41676 -1 -1 200 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82196 22 19 3101 2236 1 1838 251 22 22 484 mult_36 auto 43.0 MiB 1.10 12040 55621 11605 37734 6282 80.3 MiB 1.09 0.01 8.63029 -705.34 -8.63029 8.63029 1.90 0.00438782 0.00382535 0.357138 0.317981 46 22187 33 1.26594e+07 6.4716e+06 1.37878e+06 2848.72 7.99 1.36587 1.20433 43906 328446 -1 17272 22 9231 10943 2068757 482211 9.37811 9.37811 -1041.25 -9.37811 0 0 1.69059e+06 3492.95 0.77 0.71 0.30 -1 -1 0.77 0.248758 0.225342 1492 684 646 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 22.07 vpr 80.67 MiB 0.14 14232 -1 -1 1 0.50 -1 -1 41912 -1 -1 204 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82604 22 19 3175 2293 1 1872 255 22 22 484 mult_36 auto 43.3 MiB 1.20 13101 63279 13886 44150 5243 80.7 MiB 1.38 0.02 8.63029 -712.89 -8.63029 8.63029 1.90 0.00494261 0.00446991 0.422699 0.376851 48 24048 33 1.26594e+07 6.52183e+06 1.44011e+06 2975.42 11.35 1.65175 1.45612 44390 338934 -1 19228 21 11471 13426 2723996 615527 9.84731 9.84731 -1232.25 -9.84731 0 0 1.74100e+06 3597.11 0.83 0.84 0.31 -1 -1 0.83 0.243687 0.220192 1530 703 665 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 21.85 vpr 81.48 MiB 0.15 14564 -1 -1 1 0.52 -1 -1 41644 -1 -1 211 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83436 22 19 3280 2364 1 1945 263 24 24 576 mult_36 auto 44.4 MiB 1.22 13741 66781 15856 45862 5063 81.5 MiB 1.40 0.02 8.99089 -771.861 -8.99089 8.99089 2.30 0.00517502 0.00468291 0.437831 0.390658 46 24734 41 1.52924e+07 7.00574e+06 1.63708e+06 2842.15 10.05 1.64399 1.45722 51922 389946 -1 19091 24 9659 11675 2218681 526902 9.51851 9.51851 -1173.53 -9.51851 0 0 2.00908e+06 3487.99 0.96 0.81 0.36 -1 -1 0.96 0.280419 0.25411 1581 722 684 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 22.67 vpr 81.91 MiB 0.14 14600 -1 -1 1 0.58 -1 -1 42020 -1 -1 216 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83872 22 19 3354 2421 1 1981 268 24 24 576 mult_36 auto 44.9 MiB 1.30 12528 63267 13780 41350 8137 81.9 MiB 1.21 0.02 8.75049 -808.447 -8.75049 8.75049 2.19 0.00498062 0.0044649 0.404699 0.359169 44 24087 44 1.52924e+07 7.06853e+06 1.55518e+06 2699.97 10.96 1.65366 1.46001 51346 378163 -1 17640 24 10862 12851 2148539 520882 9.19191 9.19191 -1169.96 -9.19191 0 0 1.96475e+06 3411.02 0.95 0.79 0.35 -1 -1 0.95 0.293536 0.265145 1619 741 703 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 23.17 vpr 82.47 MiB 0.13 15192 -1 -1 1 0.53 -1 -1 41628 -1 -1 223 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84452 22 19 3457 2490 1 2052 275 24 24 576 mult_36 auto 45.3 MiB 1.18 13314 76180 18842 52006 5332 82.5 MiB 1.53 0.02 8.87069 -820.385 -8.87069 8.87069 2.12 0.0053231 0.00476031 0.478233 0.426872 46 25904 50 1.52924e+07 7.15643e+06 1.63708e+06 2842.15 11.47 1.94368 1.71426 51922 389946 -1 18961 22 11599 13662 2647450 640350 9.32311 9.32311 -1242.08 -9.32311 0 0 2.00908e+06 3487.99 0.96 0.88 0.34 -1 -1 0.96 0.284344 0.25565 1668 760 722 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 76.88 vpr 83.07 MiB 0.15 15044 -1 -1 1 0.56 -1 -1 41784 -1 -1 228 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85068 22 19 3531 2547 1 2089 280 24 24 576 mult_36 auto 45.8 MiB 1.35 14266 75310 17729 50841 6740 83.1 MiB 1.56 0.02 8.73949 -878.516 -8.73949 8.73949 2.21 0.0062376 0.00547063 0.505894 0.451481 48 24785 33 1.52924e+07 7.21922e+06 1.71014e+06 2969.00 64.60 3.48162 3.06657 52498 402441 -1 20442 22 13188 15170 2908335 669788 9.46651 9.46651 -1562.22 -9.46651 0 0 2.06880e+06 3591.66 1.02 0.97 0.38 -1 -1 1.02 0.306483 0.277825 1706 779 741 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 29.56 vpr 83.62 MiB 0.15 15324 -1 -1 1 0.59 -1 -1 41716 -1 -1 234 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85632 22 19 3634 2616 1 2155 287 24 24 576 mult_36 auto 46.7 MiB 1.36 13968 75967 17270 48168 10529 83.6 MiB 1.50 0.02 8.99089 -876.659 -8.99089 8.99089 2.18 0.00545301 0.00486251 0.464519 0.413041 48 22822 35 1.52924e+07 7.69057e+06 1.71014e+06 2969.00 17.30 2.65752 2.352 52498 402441 -1 19568 22 12163 14773 2637463 632064 9.26031 9.26031 -1343.89 -9.26031 0 0 2.06880e+06 3591.66 1.03 0.91 0.37 -1 -1 1.03 0.298643 0.268091 1755 798 760 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 93.79 vpr 84.30 MiB 0.16 15564 -1 -1 1 0.62 -1 -1 42416 -1 -1 239 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86320 22 19 3708 2673 1 2193 292 24 24 576 mult_36 auto 47.3 MiB 1.45 14146 74828 16454 47694 10680 84.3 MiB 1.48 0.02 8.75049 -903.639 -8.75049 8.75049 2.16 0.00549122 0.00491699 0.46335 0.412863 46 25148 48 1.52924e+07 7.75336e+06 1.63708e+06 2842.15 81.68 3.4681 3.04912 51922 389946 -1 19576 25 12856 15358 2117988 536946 9.57751 9.57751 -1389.04 -9.57751 0 0 2.00908e+06 3487.99 0.92 0.80 0.34 -1 -1 0.92 0.304009 0.271377 1793 817 779 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 22.03 vpr 84.48 MiB 0.16 15952 -1 -1 1 0.63 -1 -1 43160 -1 -1 245 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86504 22 19 3810 2741 1 2260 298 24 24 576 mult_36 auto 47.6 MiB 1.36 15011 78903 18701 53712 6490 84.5 MiB 1.69 0.02 8.83769 -911.047 -8.83769 8.83769 2.21 0.00538527 0.00465981 0.477779 0.423947 48 26788 32 1.52924e+07 7.82871e+06 1.71014e+06 2969.00 9.51 1.90578 1.69153 52498 402441 -1 21952 22 11795 14189 2330289 549296 9.72591 9.72591 -1554.07 -9.72591 0 0 2.06880e+06 3591.66 1.00 0.86 0.37 -1 -1 1.00 0.314164 0.28325 1841 836 798 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 31.28 vpr 85.12 MiB 0.17 15780 -1 -1 1 0.65 -1 -1 42664 -1 -1 250 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87168 22 19 3884 2798 1 2296 303 24 24 576 mult_36 auto 48.1 MiB 1.52 15154 75561 16132 50168 9261 85.1 MiB 1.48 0.02 8.84869 -891.149 -8.84869 8.84869 2.22 0.00581364 0.00522269 0.45797 0.404582 54 24127 29 1.52924e+07 7.8915e+06 1.87785e+06 3260.16 18.82 2.87515 2.53515 54798 452027 -1 20120 21 10091 11807 1810457 460138 9.07791 9.07791 -1253.94 -9.07791 0 0 2.31032e+06 4010.97 1.07 0.69 0.42 -1 -1 1.07 0.282934 0.253563 1879 855 817 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 35.57 vpr 85.24 MiB 0.17 16112 -1 -1 1 0.70 -1 -1 44244 -1 -1 257 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87288 22 19 3989 2869 1 2368 311 24 24 576 mult_36 auto 48.3 MiB 1.45 16768 76127 16285 52846 6996 85.2 MiB 1.69 0.02 8.61929 -890.536 -8.61929 8.61929 2.19 0.00662958 0.00600878 0.487343 0.4351 48 30283 37 1.52924e+07 8.37541e+06 1.71014e+06 2969.00 22.49 3.11412 2.74654 52498 402441 -1 23925 24 13581 16749 3450187 782779 9.66371 9.66371 -1457.58 -9.66371 0 0 2.06880e+06 3591.66 1.05 1.16 0.38 -1 -1 1.05 0.358781 0.32338 1930 874 836 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 30.67 vpr 86.07 MiB 0.17 16500 -1 -1 1 0.67 -1 -1 44600 -1 -1 261 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88140 22 19 4063 2926 1 2404 315 24 24 576 mult_36 auto 49.0 MiB 1.59 15772 79569 17458 52988 9123 86.1 MiB 1.66 0.02 8.99089 -984.835 -8.99089 8.99089 2.19 0.00595109 0.00531628 0.50586 0.446928 48 29056 50 1.52924e+07 8.42564e+06 1.71014e+06 2969.00 17.71 2.26256 1.98957 52498 402441 -1 23013 22 12906 16241 2628330 620901 9.62651 9.62651 -1767.06 -9.62651 0 0 2.06880e+06 3591.66 1.05 0.93 0.38 -1 -1 1.05 0.334371 0.301876 1968 893 855 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 29.08 vpr 85.88 MiB 0.18 16696 -1 -1 1 0.72 -1 -1 44468 -1 -1 268 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87944 22 19 4167 2996 1 2473 322 24 24 576 mult_36 auto 48.9 MiB 1.54 16190 97386 23893 63645 9848 85.9 MiB 2.10 0.02 8.75049 -970.96 -8.75049 8.75049 2.19 0.00620607 0.00554659 0.606249 0.537591 48 28649 42 1.52924e+07 8.51354e+06 1.71014e+06 2969.00 15.42 2.38523 2.10236 52498 402441 -1 23255 22 15571 18409 3475103 828304 9.44751 9.44751 -1647.07 -9.44751 0 0 2.06880e+06 3591.66 1.02 1.15 0.38 -1 -1 1.02 0.354157 0.319784 2018 912 874 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 26.59 vpr 86.39 MiB 0.19 16956 -1 -1 1 0.72 -1 -1 44740 -1 -1 273 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88464 22 19 4241 3053 1 2509 327 24 24 576 mult_36 auto 49.4 MiB 1.65 17432 91533 21746 61346 8441 86.4 MiB 1.98 0.02 9.11109 -1012.39 -9.11109 9.11109 2.16 0.00622599 0.00558248 0.555255 0.493906 50 28911 32 1.52924e+07 8.57633e+06 1.78400e+06 3097.22 13.13 2.23872 1.97238 53074 415989 -1 24176 23 12630 15333 3017740 694660 9.52771 9.52771 -1723.59 -9.52771 0 0 2.13454e+06 3705.80 1.03 1.02 0.39 -1 -1 1.03 0.349012 0.313787 2056 931 893 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 35.90 vpr 87.16 MiB 0.19 17128 -1 -1 1 0.73 -1 -1 45048 -1 -1 279 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89256 22 19 4346 3124 1 2580 334 24 24 576 mult_36 auto 50.3 MiB 1.86 17485 90658 20252 60366 10040 87.2 MiB 2.00 0.03 8.73949 -1051.19 -8.73949 8.73949 2.15 0.00729611 0.00661911 0.557307 0.493384 50 29401 46 1.52924e+07 9.04768e+06 1.78400e+06 3097.22 22.01 3.48899 3.07364 53074 415989 -1 24071 24 14362 16940 2849866 683184 9.06871 9.06871 -1621.73 -9.06871 0 0 2.13454e+06 3705.80 1.04 1.08 0.39 -1 -1 1.04 0.396515 0.356978 2107 950 912 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 25.48 vpr 87.55 MiB 0.20 17256 -1 -1 1 0.74 -1 -1 44720 -1 -1 284 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89656 22 19 4420 3181 1 2615 339 24 24 576 mult_36 auto 50.5 MiB 1.76 17374 94819 20863 63969 9987 87.6 MiB 1.99 0.02 8.81944 -1060.01 -8.81944 8.81944 2.18 0.00638123 0.0057194 0.541305 0.472067 54 27814 33 1.52924e+07 9.11047e+06 1.87785e+06 3260.16 11.69 2.30702 2.02668 54798 452027 -1 23229 20 12900 15242 2755527 656550 9.33111 9.33111 -1735.51 -9.33111 0 0 2.31032e+06 4010.97 1.13 0.95 0.42 -1 -1 1.13 0.332527 0.300083 2145 969 931 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 34.81 vpr 88.61 MiB 0.20 17736 -1 -1 1 0.78 -1 -1 44908 -1 -1 292 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90736 22 19 4524 3251 1 2687 347 24 24 576 mult_36 auto 51.7 MiB 1.73 20152 89261 19572 60784 8905 88.6 MiB 1.92 0.03 9.22029 -1126.44 -9.22029 9.22029 2.13 0.00671517 0.00600975 0.526209 0.466472 56 32788 49 1.52924e+07 9.21094e+06 1.92546e+06 3342.82 20.94 2.50593 2.20664 55374 464059 -1 27559 23 15294 17931 3161807 737908 9.83011 9.83011 -1863.37 -9.83011 0 0 2.36234e+06 4101.29 1.12 1.07 0.45 -1 -1 1.12 0.358697 0.320968 2195 988 950 19 0 0 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 28.94 vpr 88.90 MiB 0.20 17520 -1 -1 1 0.80 -1 -1 45240 -1 -1 296 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 91032 22 19 4598 3308 1 2721 351 24 24 576 mult_36 auto 52.0 MiB 1.83 19098 91889 20322 61820 9747 88.9 MiB 1.88 0.03 8.87069 -1147.12 -8.87069 8.87069 2.19 0.0071514 0.00589415 0.521644 0.458847 54 32808 34 1.52924e+07 9.26117e+06 1.87785e+06 3260.16 14.89 2.53455 2.23032 54798 452027 -1 26146 22 15091 18073 2691694 629251 9.50251 9.50251 -1739.56 -9.50251 0 0 2.31032e+06 4010.97 1.14 1.04 0.43 -1 -1 1.14 0.39676 0.357264 2233 1007 969 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 9.52 vpr 74.03 MiB 0.06 10704 -1 -1 1 0.26 -1 -1 39956 -1 -1 123 22 0 4 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75804 22 19 1974 1653 1 1039 168 16 16 256 mult_36 auto 36.4 MiB 2.03 6605 27485 5632 18672 3181 74.0 MiB 0.43 0.01 4.00076 -1086.49 -4.00076 4.00076 0.83 0.00271508 0.00238533 0.176288 0.156207 44 12656 45 6.34292e+06 3.14339e+06 649498. 2537.10 3.18 0.824166 0.722891 22336 155612 -1 9704 13 3443 4203 619972 155144 4.36136 4.36136 -1250.17 -4.36136 0 0 820238. 3204.05 0.33 0.23 0.15 -1 -1 0.33 0.102669 0.0933221 953 649 247 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 10.28 vpr 74.89 MiB 0.07 10932 -1 -1 1 0.28 -1 -1 40624 -1 -1 132 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76692 22 19 2144 1789 1 1138 178 16 16 256 clb mult_36 auto 37.3 MiB 2.18 6901 27678 5119 19374 3185 74.9 MiB 0.46 0.01 3.88056 -1151.89 -3.88056 3.88056 0.80 0.00330713 0.00297576 0.19264 0.172173 44 12817 42 6.34292e+06 3.6535e+06 649498. 2537.10 3.62 0.902107 0.79896 22336 155612 -1 9903 15 3657 4359 620662 158537 4.36136 4.36136 -1310.14 -4.36136 0 0 820238. 3204.05 0.33 0.27 0.15 -1 -1 0.33 0.130697 0.119266 1033 704 266 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 11.78 vpr 74.91 MiB 0.07 11048 -1 -1 1 0.30 -1 -1 40228 -1 -1 137 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76704 22 19 2218 1846 1 1177 183 16 16 256 clb mult_36 auto 37.4 MiB 2.39 7302 32361 6248 22318 3795 74.9 MiB 0.54 0.01 3.88056 -1196.39 -3.88056 3.88056 0.84 0.0031297 0.00274247 0.211389 0.187368 44 14313 48 6.34292e+06 3.71689e+06 649498. 2537.10 4.73 0.972089 0.857058 22336 155612 -1 10228 18 3748 4519 687086 171862 4.24116 4.24116 -1317.09 -4.24116 0 0 820238. 3204.05 0.33 0.29 0.14 -1 -1 0.33 0.140251 0.126681 1071 723 285 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 13.68 vpr 77.10 MiB 0.08 11956 -1 -1 1 0.32 -1 -1 40436 -1 -1 157 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78952 22 19 2536 2130 1 1298 203 17 17 289 clb auto 39.9 MiB 2.54 8460 32387 5875 23378 3134 77.1 MiB 0.52 0.01 4.00076 -1392.8 -4.00076 4.00076 0.97 0.00352658 0.00309255 0.210197 0.185188 48 14817 29 6.65987e+06 3.97045e+06 816265. 2824.45 5.93 1.08784 0.954388 25714 189529 -1 12225 16 4193 5127 763756 179569 4.36136 4.36136 -1645.17 -4.36136 0 0 986792. 3414.50 0.41 0.31 0.18 -1 -1 0.41 0.147832 0.133234 1226 851 304 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 16.46 vpr 77.34 MiB 0.08 12112 -1 -1 1 0.35 -1 -1 40400 -1 -1 163 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79196 22 19 2610 2187 1 1336 209 17 17 289 clb auto 40.0 MiB 2.74 8362 37409 8265 25131 4013 77.3 MiB 0.60 0.01 3.88056 -1423.48 -3.88056 3.88056 0.94 0.00363988 0.00322254 0.2365 0.208255 50 14445 47 6.65987e+06 4.04651e+06 851065. 2944.86 8.36 1.61178 1.41079 26002 196109 -1 11722 17 4354 5262 685221 175372 4.36136 4.36136 -1658.33 -4.36136 0 0 1.01866e+06 3524.77 0.43 0.31 0.19 -1 -1 0.43 0.157034 0.141662 1264 870 323 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 21.59 vpr 78.62 MiB 0.09 12596 -1 -1 1 0.36 -1 -1 40644 -1 -1 172 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80504 22 19 2778 2321 1 1434 219 18 18 324 clb auto 41.6 MiB 2.74 8883 41736 8351 30064 3321 78.6 MiB 0.65 0.01 3.88056 -1521.67 -3.88056 3.88056 1.07 0.0037031 0.00331139 0.26933 0.238966 46 16047 39 7.79418e+06 4.55662e+06 895831. 2764.91 13.14 1.91934 1.68536 29024 211752 -1 12500 17 4458 5370 698830 176654 4.24116 4.24116 -1734.9 -4.24116 0 0 1.09776e+06 3388.15 0.46 0.34 0.18 -1 -1 0.46 0.181313 0.164437 1342 925 342 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 12.91 vpr 79.16 MiB 0.09 12444 -1 -1 1 0.38 -1 -1 40764 -1 -1 176 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81064 22 19 2852 2378 1 1479 223 18 18 324 clb auto 42.1 MiB 3.04 9109 40783 8576 28506 3701 79.2 MiB 0.67 0.01 3.88056 -1559.41 -3.88056 3.88056 1.12 0.0038152 0.00334117 0.254415 0.223827 46 15865 25 7.79418e+06 4.60733e+06 895831. 2764.91 3.90 0.957547 0.838059 29024 211752 -1 12814 15 4347 5370 640843 156043 4.36136 4.36136 -1803.18 -4.36136 0 0 1.09776e+06 3388.15 0.46 0.31 0.20 -1 -1 0.46 0.163136 0.147903 1380 944 361 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 15.61 vpr 80.07 MiB 0.12 12940 -1 -1 1 0.39 -1 -1 41600 -1 -1 188 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81988 22 19 3057 2549 1 1586 235 18 18 324 clb auto 43.2 MiB 3.07 11180 48019 10216 33956 3847 80.1 MiB 0.82 0.01 4.12096 -1744.74 -4.12096 4.12096 1.08 0.00425008 0.00376109 0.306692 0.27072 50 18081 22 7.79418e+06 4.75946e+06 975281. 3010.13 6.32 1.32553 1.16486 29672 225968 -1 15401 15 4886 5802 788032 188629 4.36136 4.36136 -1991.45 -4.36136 0 0 1.16663e+06 3600.72 0.50 0.35 0.21 -1 -1 0.50 0.178297 0.16198 1477 1017 380 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 19.77 vpr 80.43 MiB 0.10 13264 -1 -1 1 0.42 -1 -1 40816 -1 -1 194 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82360 22 19 3131 2606 1 1626 241 19 19 361 clb auto 43.5 MiB 3.25 11034 46679 9387 33281 4011 80.4 MiB 0.81 0.01 4.00076 -1733.16 -4.00076 4.00076 1.26 0.0046106 0.0041319 0.315192 0.281553 48 19989 31 8.16184e+06 4.83553e+06 1.05176e+06 2913.46 9.78 1.45009 1.2728 32602 246183 -1 16140 15 5527 6647 1148764 251508 4.48156 4.48156 -2154.09 -4.48156 0 0 1.27108e+06 3521.00 0.53 0.44 0.23 -1 -1 0.53 0.187982 0.170238 1515 1036 399 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 17.52 vpr 81.96 MiB 0.11 13416 -1 -1 1 0.46 -1 -1 42544 -1 -1 204 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83924 22 19 3301 2742 1 1720 252 19 19 361 clb auto 45.0 MiB 3.43 12018 51132 10440 36707 3985 82.0 MiB 0.85 0.01 4.00076 -1846.43 -4.00076 4.00076 1.30 0.00534805 0.00480669 0.324446 0.286998 50 20234 27 8.16184e+06 5.35831e+06 1.09718e+06 3039.29 7.10 1.46064 1.28365 32962 254619 -1 16602 15 5834 7166 971996 222864 4.24116 4.24116 -2102.97 -4.24116 0 0 1.31179e+06 3633.76 0.54 0.38 0.24 -1 -1 0.54 0.181517 0.163478 1595 1091 418 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 21.74 vpr 81.81 MiB 0.11 13760 -1 -1 1 0.46 -1 -1 42548 -1 -1 209 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83772 22 19 3375 2799 1 1765 257 19 19 361 clb auto 44.7 MiB 3.47 12016 54113 11591 37494 5028 81.8 MiB 0.91 0.01 4.00076 -1887.1 -4.00076 4.00076 1.26 0.00503356 0.0044616 0.350264 0.311292 54 19297 20 8.16184e+06 5.4217e+06 1.15452e+06 3198.10 11.26 1.92292 1.69264 34042 276675 -1 16370 13 5106 6382 875550 204998 4.36136 4.36136 -2154.36 -4.36136 0 0 1.41983e+06 3933.05 0.59 0.35 0.25 -1 -1 0.59 0.170965 0.155124 1633 1110 437 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 19.28 vpr 82.85 MiB 0.12 14336 -1 -1 1 0.51 -1 -1 42520 -1 -1 223 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84836 22 19 3615 3005 1 1878 271 20 20 400 clb auto 45.8 MiB 3.78 12837 54583 10224 39915 4444 82.8 MiB 0.98 0.02 4.00076 -2067.39 -4.00076 4.00076 1.51 0.00525424 0.00466801 0.351875 0.310759 50 21264 23 1.10667e+07 5.59919e+06 1.21483e+06 3037.08 7.54 1.60568 1.4148 36870 282114 -1 18179 16 5961 7258 1108873 261228 4.36136 4.36136 -2364.32 -4.36136 0 0 1.45344e+06 3633.59 0.65 0.47 0.27 -1 -1 0.65 0.227386 0.205411 1747 1201 456 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 20.25 vpr 83.51 MiB 0.11 14192 -1 -1 1 0.52 -1 -1 42000 -1 -1 228 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85512 22 19 3689 3062 1 1918 276 20 20 400 clb auto 46.6 MiB 3.92 13577 57748 11248 41972 4528 83.5 MiB 1.05 0.02 4.00076 -2052.33 -4.00076 4.00076 1.53 0.00499726 0.00439033 0.373666 0.32822 48 24395 35 1.10667e+07 5.66258e+06 1.16517e+06 2912.92 8.21 1.75569 1.54123 36470 272802 -1 19585 17 6760 8089 1334669 303221 4.36136 4.36136 -2523.04 -4.36136 0 0 1.40818e+06 3520.44 0.64 0.52 0.26 -1 -1 0.64 0.22448 0.202007 1785 1220 475 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 21.84 vpr 85.24 MiB 0.13 14496 -1 -1 1 0.54 -1 -1 43344 -1 -1 240 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87284 22 19 3871 3210 1 2023 289 21 21 441 clb auto 48.0 MiB 4.15 14528 68094 14357 48331 5406 85.2 MiB 1.19 0.02 4.12096 -2200.55 -4.12096 4.12096 1.75 0.00539377 0.00476232 0.431154 0.380482 52 25962 32 1.14723e+07 6.21072e+06 1.38344e+06 3137.06 8.80 1.94547 1.7174 41366 331634 -1 20069 15 6176 7322 1083333 251006 4.36136 4.36136 -2536.12 -4.36136 0 0 1.70223e+06 3859.94 0.77 0.48 0.31 -1 -1 0.77 0.232968 0.211025 1877 1275 494 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 23.09 vpr 85.29 MiB 0.12 14864 -1 -1 1 0.55 -1 -1 42380 -1 -1 245 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87336 22 19 3945 3267 1 2070 294 21 21 441 clb auto 48.5 MiB 4.34 14422 62822 12811 45183 4828 85.3 MiB 1.15 0.02 4.00076 -2213.36 -4.00076 4.00076 1.74 0.0059315 0.00527181 0.420269 0.37204 50 24297 23 1.14723e+07 6.27411e+06 1.34972e+06 3060.59 9.95 1.83717 1.61684 40486 313801 -1 20344 16 6839 8409 1253444 290907 4.36136 4.36136 -2567.22 -4.36136 0 0 1.61476e+06 3661.58 0.72 0.49 0.29 -1 -1 0.72 0.227876 0.204994 1915 1294 513 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 23.69 vpr 86.87 MiB 0.13 15212 -1 -1 1 0.58 -1 -1 42412 -1 -1 258 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88952 22 19 4159 3447 1 2186 308 22 22 484 mult_36 auto 49.8 MiB 4.31 14990 69921 14511 50367 5043 86.9 MiB 1.25 0.02 3.88056 -2243.86 -3.88056 3.88056 1.86 0.00568688 0.00491895 0.460377 0.407104 48 26062 23 1.26954e+07 6.83492e+06 1.44011e+06 2975.42 9.96 1.94673 1.71345 44390 338934 -1 21152 15 6959 8424 1363728 314296 4.48156 4.48156 -2784.24 -4.48156 0 0 1.74100e+06 3597.11 0.82 0.54 0.31 -1 -1 0.82 0.244094 0.220823 2021 1367 532 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 25.08 vpr 86.96 MiB 0.13 15220 -1 -1 1 0.61 -1 -1 44128 -1 -1 263 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89052 22 19 4233 3504 1 2225 313 22 22 484 mult_36 auto 50.0 MiB 4.55 15847 69343 13805 50181 5357 87.0 MiB 1.25 0.02 4.00076 -2290.89 -4.00076 4.00076 1.89 0.00688481 0.00614204 0.450427 0.399297 50 26919 24 1.26954e+07 6.89831e+06 1.50222e+06 3103.76 10.94 2.03573 1.79734 44874 350400 -1 22034 14 7085 8826 1298435 292549 4.48156 4.48156 -2805.59 -4.48156 0 0 1.79645e+06 3711.66 0.83 0.54 0.32 -1 -1 0.83 0.252239 0.229078 2059 1386 551 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 21.42 vpr 87.96 MiB 0.18 15740 -1 -1 1 0.65 -1 -1 43524 -1 -1 274 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90068 22 19 4410 3647 1 2335 324 22 22 484 clb mult_36 auto 51.2 MiB 4.61 15203 73716 15512 52269 5935 88.0 MiB 1.38 0.02 3.88056 -2433.3 -3.88056 3.88056 1.87 0.0070435 0.00628528 0.497061 0.435811 50 25816 47 1.26954e+07 7.03777e+06 1.50222e+06 3103.76 7.01 2.027 1.7897 44874 350400 -1 21314 14 7298 9006 1235739 289117 4.48156 4.48156 -2886.3 -4.48156 0 0 1.79645e+06 3711.66 0.82 0.53 0.33 -1 -1 0.82 0.255096 0.231217 2146 1441 570 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 38.20 vpr 88.15 MiB 0.14 15816 -1 -1 1 0.67 -1 -1 44776 -1 -1 278 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90268 22 19 4484 3704 1 2374 328 22 22 484 clb mult_36 auto 51.3 MiB 4.91 15858 82818 17468 58641 6709 88.2 MiB 1.50 0.02 3.76036 -2405.41 -3.76036 3.76036 1.86 0.00659346 0.00587635 0.542532 0.47621 50 26310 28 1.26954e+07 7.08848e+06 1.50222e+06 3103.76 23.02 3.09457 2.69997 44874 350400 -1 22110 19 7662 9227 1387167 328242 4.24116 4.24116 -2876.37 -4.24116 0 0 1.79645e+06 3711.66 0.82 0.59 0.32 -1 -1 0.82 0.289999 0.259739 2184 1460 589 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 35.94 vpr 90.21 MiB 0.16 16768 -1 -1 1 0.73 -1 -1 45256 -1 -1 302 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92380 22 19 4843 4029 1 2501 353 23 23 529 clb auto 53.4 MiB 5.01 18871 88890 20104 62959 5827 90.2 MiB 1.58 0.02 4.00076 -2767.56 -4.00076 4.00076 2.10 0.00704476 0.00628555 0.546117 0.481694 54 30011 23 1.31518e+07 7.78876e+06 1.73850e+06 3286.39 19.87 3.22716 2.84317 50466 419205 -1 25632 17 8266 10036 1603652 375961 4.36136 4.36136 -3409.29 -4.36136 0 0 2.13727e+06 4040.20 0.98 0.70 0.38 -1 -1 0.98 0.319817 0.288782 2362 1606 608 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 26.16 vpr 90.80 MiB 0.17 16928 -1 -1 1 0.77 -1 -1 45136 -1 -1 308 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 92980 22 19 4917 4086 1 2542 359 23 23 529 clb auto 54.0 MiB 5.17 16971 85784 19100 61259 5425 90.8 MiB 1.50 0.02 3.88056 -2715.42 -3.88056 3.88056 2.06 0.0066501 0.00586182 0.524577 0.462667 50 28453 26 1.31518e+07 7.86482e+06 1.65241e+06 3123.66 10.05 2.40043 2.10977 48882 385791 -1 23639 16 8045 9803 1470925 351889 4.36136 4.36136 -3212.94 -4.36136 0 0 1.97533e+06 3734.07 0.92 0.65 0.35 -1 -1 0.92 0.317012 0.286001 2401 1625 627 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 57.16 vpr 91.39 MiB 0.17 17348 -1 -1 1 0.81 -1 -1 45628 -1 -1 319 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 93588 22 19 5093 4228 1 2643 370 23 23 529 clb auto 54.8 MiB 5.24 18623 95914 21280 68442 6192 91.4 MiB 1.64 0.02 4.00076 -2900.58 -4.00076 4.00076 2.01 0.00758317 0.00669318 0.569433 0.501452 48 34881 44 1.31518e+07 8.00428e+06 1.58369e+06 2993.75 40.74 4.30527 3.74943 48354 373213 -1 27029 16 9096 10935 1817903 405766 4.48156 4.48156 -3543.33 -4.48156 0 0 1.91452e+06 3619.14 0.93 0.70 0.34 -1 -1 0.93 0.306058 0.275722 2487 1680 646 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 36.46 vpr 92.04 MiB 0.17 17596 -1 -1 1 0.82 -1 -1 45348 -1 -1 323 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 94244 22 19 5167 4285 1 2691 374 23 23 529 clb auto 55.5 MiB 5.38 20284 98705 22011 70700 5994 92.0 MiB 1.71 0.03 4.24116 -3013.12 -4.24116 4.24116 2.00 0.00803262 0.00711945 0.563488 0.492848 56 34105 48 1.31518e+07 8.05499e+06 1.78215e+06 3368.90 19.69 3.2766 2.86039 50994 430361 -1 28166 15 8594 10692 1644915 366571 4.48156 4.48156 -3530.62 -4.48156 0 0 2.18505e+06 4130.54 1.02 0.65 0.41 -1 -1 1.02 0.291923 0.26287 2525 1699 665 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 49.41 vpr 93.39 MiB 0.18 17808 -1 -1 1 0.85 -1 -1 44236 -1 -1 336 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 95628 22 19 5380 4464 1 2808 388 24 24 576 mult_36 auto 56.8 MiB 5.63 18761 103610 22420 74011 7179 93.4 MiB 1.83 0.03 4.00076 -3025.23 -4.00076 4.00076 2.20 0.00806181 0.00718316 0.604862 0.527836 48 32410 39 1.53347e+07 8.61581e+06 1.71014e+06 2969.00 31.86 4.08317 3.55415 52498 402441 -1 26927 14 8877 11141 1810389 415034 4.60176 4.60176 -3624.29 -4.60176 0 0 2.06880e+06 3591.66 0.99 0.67 0.37 -1 -1 0.99 0.298374 0.26988 2630 1772 684 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 38.20 vpr 93.70 MiB 0.18 18056 -1 -1 1 0.88 -1 -1 44420 -1 -1 342 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 95948 22 19 5454 4521 1 2849 394 24 24 576 clb mult_36 auto 58.0 MiB 5.74 18969 108619 22830 76437 9352 93.7 MiB 1.91 0.02 4.12096 -3014.39 -4.12096 4.12096 2.23 0.00776352 0.00690737 0.642922 0.569333 52 33306 45 1.53347e+07 8.69188e+06 1.82869e+06 3174.81 20.31 3.92253 3.42278 54222 439550 -1 26050 15 8505 10184 1418748 339831 4.36136 4.36136 -3527.5 -4.36136 0 0 2.25030e+06 3906.77 1.09 0.66 0.41 -1 -1 1.09 0.344695 0.311838 2668 1791 703 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 35.34 vpr 94.76 MiB 0.19 18232 -1 -1 1 0.88 -1 -1 44448 -1 -1 352 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 97036 22 19 5629 4662 1 2951 404 24 24 576 clb mult_36 auto 58.8 MiB 5.94 23074 107828 22757 77650 7421 94.8 MiB 1.88 0.02 4.12096 -3322.13 -4.12096 4.12096 2.22 0.00781161 0.00695725 0.614647 0.541343 54 36781 30 1.53347e+07 8.81866e+06 1.87785e+06 3260.16 16.93 2.76098 2.41288 54798 452027 -1 30622 14 9152 11317 1639378 369010 4.60176 4.60176 -3815.13 -4.60176 0 0 2.31032e+06 4010.97 1.10 0.67 0.44 -1 -1 1.10 0.306474 0.27383 2753 1846 722 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 37.66 vpr 94.98 MiB 0.19 18540 -1 -1 1 0.92 -1 -1 46300 -1 -1 357 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 97264 22 19 5703 4719 1 2994 409 25 25 625 clb auto 59.1 MiB 5.98 22455 118735 27949 83237 7549 95.0 MiB 2.03 0.02 4.12096 -3280.52 -4.12096 4.12096 2.38 0.00856811 0.00766931 0.676303 0.59614 54 35345 36 1.58291e+07 8.88205e+06 2.04878e+06 3278.05 18.64 3.31449 2.92016 59280 493784 -1 29781 12 8935 10814 1519081 346395 4.72196 4.72196 -3802.36 -4.72196 0 0 2.52006e+06 4032.10 1.21 0.59 0.47 -1 -1 1.21 0.278509 0.250468 2791 1865 741 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 35.89 vpr 96.68 MiB 0.19 18916 -1 -1 1 0.97 -1 -1 44940 -1 -1 373 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 98996 22 19 5950 4932 1 3111 426 25 25 625 clb auto 60.4 MiB 6.18 22214 125382 29245 88404 7733 96.7 MiB 2.13 0.03 4.12096 -3381.2 -4.12096 4.12096 2.42 0.00799181 0.00701415 0.696498 0.609109 54 37386 41 1.58291e+07 9.48089e+06 2.04878e+06 3278.05 16.17 3.50526 3.06447 59280 493784 -1 29723 17 9599 11532 1750493 417276 4.36136 4.36136 -3949.16 -4.36136 0 0 2.52006e+06 4032.10 1.23 0.79 0.46 -1 -1 1.23 0.383371 0.342378 2912 1956 760 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 43.05 vpr 97.17 MiB 0.20 19132 -1 -1 1 1.00 -1 -1 46872 -1 -1 376 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 99504 22 19 6024 4989 1 3151 429 25 25 625 clb auto 61.0 MiB 6.42 24030 133023 32515 90790 9718 97.2 MiB 2.29 0.02 4.12096 -3410.85 -4.12096 4.12096 2.41 0.00821964 0.00727751 0.74494 0.653185 56 36005 18 1.58291e+07 9.51893e+06 2.10056e+06 3360.90 22.90 3.86208 3.383 59904 506958 -1 32141 15 9566 12233 1938940 453184 4.48156 4.48156 -4256.98 -4.48156 0 0 2.57664e+06 4122.63 1.17 0.77 0.48 -1 -1 1.17 0.346282 0.309262 2950 1975 779 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 41.19 vpr 98.01 MiB 0.20 19540 -1 -1 1 1.05 -1 -1 45828 -1 -1 388 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 100364 22 19 6198 5129 1 3252 441 25 25 625 clb auto 62.0 MiB 6.56 24214 124613 27908 88058 8647 98.0 MiB 2.12 0.02 4.24116 -3560.27 -4.24116 4.24116 2.41 0.00849811 0.00751447 0.685577 0.600994 56 37628 27 1.58291e+07 9.67106e+06 2.10056e+06 3360.90 20.86 3.63981 3.19124 59904 506958 -1 32490 13 9820 11880 2047554 479174 4.48156 4.48156 -4277.21 -4.48156 0 0 2.57664e+06 4122.63 1.24 0.80 0.47 -1 -1 1.24 0.343085 0.310259 3034 2030 798 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 35.27 vpr 99.05 MiB 0.20 19628 -1 -1 1 1.07 -1 -1 47140 -1 -1 393 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 101432 22 19 6272 5186 1 3297 446 26 26 676 clb auto 62.9 MiB 6.65 20938 133280 31755 93993 7532 99.1 MiB 2.23 0.03 4.00076 -3494.89 -4.00076 4.00076 2.65 0.00837643 0.00734428 0.705712 0.613692 52 38301 47 1.91809e+07 9.73445e+06 2.20423e+06 3260.69 14.16 3.44197 3.00883 65116 533202 -1 28941 14 9474 11830 1550928 367727 4.48156 4.48156 -4259.45 -4.48156 0 0 2.70930e+06 4007.84 1.37 0.72 0.49 -1 -1 1.37 0.366595 0.33001 3072 2049 817 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 44.70 vpr 100.34 MiB 0.22 20248 -1 -1 1 1.13 -1 -1 47580 -1 -1 406 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 102748 22 19 6485 5365 1 3415 460 26 26 676 clb auto 63.8 MiB 6.88 26447 140685 36315 95950 8420 99.8 MiB 2.44 0.03 4.12096 -3732.34 -4.12096 4.12096 2.70 0.00909788 0.00809318 0.762334 0.669428 56 40927 34 1.91809e+07 1.02953e+07 2.31971e+06 3431.53 22.70 4.08856 3.58903 66468 563034 -1 36204 16 10691 14227 2219697 507118 4.60176 4.60176 -4696.16 -4.60176 0 0 2.84390e+06 4206.95 1.30 0.87 0.53 -1 -1 1.30 0.382395 0.340839 3177 2122 836 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 35.34 vpr 100.05 MiB 0.21 20084 -1 -1 1 1.17 -1 -1 47604 -1 -1 411 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 102452 22 19 6559 5422 1 3449 465 26 26 676 clb auto 64.2 MiB 6.96 24810 144465 35631 100905 7929 100.1 MiB 2.56 0.03 4.36136 -3695.71 -4.36136 4.36136 2.75 0.00998842 0.00891995 0.80372 0.706643 54 39527 24 1.91809e+07 1.03587e+07 2.26288e+06 3347.46 13.29 3.25161 2.84903 65792 548382 -1 33140 13 10066 12275 1757491 398240 4.84216 4.84216 -4468.24 -4.84216 0 0 2.78165e+06 4114.86 1.36 0.78 0.50 -1 -1 1.36 0.381394 0.346381 3215 2141 855 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 38.82 vpr 101.52 MiB 0.23 20504 -1 -1 1 1.17 -1 -1 48008 -1 -1 421 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 103960 22 19 6735 5564 1 3561 475 26 26 676 clb auto 65.4 MiB 7.04 25405 154191 38002 105336 10853 101.5 MiB 2.67 0.03 4.12096 -3879.79 -4.12096 4.12096 2.65 0.00980552 0.00877219 0.8536 0.74688 56 39673 40 1.91809e+07 1.04854e+07 2.31971e+06 3431.53 16.53 3.63542 3.18406 66468 563034 -1 34999 15 10709 12845 1907784 445148 4.48156 4.48156 -4506.41 -4.48156 0 0 2.84390e+06 4206.95 1.34 0.82 0.51 -1 -1 1.34 0.394022 0.353634 3301 2196 874 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 39.80 vpr 101.76 MiB 0.26 20900 -1 -1 1 1.22 -1 -1 47568 -1 -1 427 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 104204 22 19 6809 5621 1 3598 481 26 26 676 clb auto 65.7 MiB 7.34 26041 169951 43592 115102 11257 101.8 MiB 2.96 0.03 4.24116 -3844.16 -4.24116 4.24116 2.69 0.00925297 0.00824465 0.929341 0.813065 54 40915 27 1.91809e+07 1.05615e+07 2.26288e+06 3347.46 16.73 3.90199 3.41378 65792 548382 -1 34393 14 10531 12519 1891771 443254 4.60176 4.60176 -4706.54 -4.60176 0 0 2.78165e+06 4114.86 1.37 0.84 0.49 -1 -1 1.37 0.405307 0.364643 3339 2215 893 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 46.95 vpr 105.81 MiB 0.23 21280 -1 -1 1 1.27 -1 -1 48516 -1 -1 443 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 108352 22 19 7094 5872 1 3718 498 27 27 729 clb auto 67.3 MiB 7.43 26257 160311 37135 112474 10702 103.3 MiB 2.75 0.03 4.00076 -4080.48 -4.00076 4.00076 2.96 0.009784 0.00858369 0.861954 0.755815 56 40660 33 1.9726e+07 1.11604e+07 2.51142e+06 3445.02 23.16 4.21049 3.69238 71406 610069 -1 36455 15 11201 13892 2089457 476839 4.36136 4.36136 -4809.75 -4.36136 0 0 3.07846e+06 4222.85 1.51 0.81 0.58 -1 -1 1.51 0.391097 0.351139 3480 2324 912 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 51.76 vpr 106.67 MiB 0.22 21440 -1 -1 1 1.30 -1 -1 47780 -1 -1 448 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 109232 22 19 7168 5929 1 3755 503 27 27 729 clb auto 67.7 MiB 7.19 28072 168419 40651 117312 10456 103.5 MiB 2.92 0.03 4.24116 -4077.95 -4.24116 4.24116 2.86 0.0102936 0.00915175 0.920982 0.807789 60 40436 17 1.9726e+07 1.12237e+07 2.62021e+06 3594.25 28.12 4.61262 4.03702 73590 657565 -1 36331 13 10751 13109 2240358 502678 4.60176 4.60176 -4702.88 -4.60176 0 0 3.26774e+06 4482.49 1.65 0.89 0.58 -1 -1 1.65 0.395837 0.356947 3518 2343 931 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 44.75 vpr 104.68 MiB 0.47 21720 -1 -1 1 1.35 -1 -1 48824 -1 -1 459 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 107192 22 19 7344 6071 1 3863 514 27 27 729 clb auto 68.8 MiB 7.77 28645 171328 41483 118883 10962 104.7 MiB 2.95 0.03 4.24116 -4186.67 -4.24116 4.24116 2.98 0.00952411 0.00838473 0.923877 0.813049 54 45890 33 1.9726e+07 1.13632e+07 2.44988e+06 3360.60 19.69 4.19575 3.67221 70678 594165 -1 38327 15 11660 14991 2155365 488792 4.60176 4.60176 -4999.92 -4.60176 0 0 3.01106e+06 4130.40 1.55 0.95 0.56 -1 -1 1.55 0.448506 0.403147 3604 2398 950 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 48.73 vpr 109.41 MiB 0.25 21988 -1 -1 1 1.41 -1 -1 48792 -1 -1 464 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 112032 22 19 7418 6128 1 3909 519 27 27 729 clb auto 69.3 MiB 7.92 29073 175575 42613 122728 10234 105.4 MiB 2.87 0.03 4.36136 -4337.8 -4.36136 4.36136 2.83 0.00888965 0.0077496 0.873671 0.75734 58 43150 25 1.9726e+07 1.14266e+07 2.56250e+06 3515.09 23.96 4.25381 3.7218 72862 642985 -1 38648 12 11058 13461 2150780 475865 4.72196 4.72196 -5145.99 -4.72196 0 0 3.20690e+06 4399.04 1.60 0.81 0.65 -1 -1 1.60 0.358382 0.32146 3642 2417 969 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 11.34 vpr 69.52 MiB 0.06 9508 -1 -1 1 0.20 -1 -1 38948 -1 -1 79 22 0 4 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71188 22 19 1246 925 1 736 124 16 16 256 mult_36 auto 32.0 MiB 1.51 4303 18355 4385 10323 3647 69.5 MiB 0.31 0.01 7.28138 -332.606 -7.28138 7.28138 0.81 0.0019722 0.00176736 0.126744 0.113615 46 7737 23 6.34292e+06 2.58556e+06 684529. 2673.94 5.96 0.79688 0.705373 22592 160355 -1 6224 21 3788 4263 571920 150464 7.56754 7.56754 -389.43 -7.56754 0 0 838722. 3276.26 0.32 0.22 0.15 -1 -1 0.32 0.0941775 0.0849177 589 285 247 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 12.27 vpr 70.11 MiB 0.06 9640 -1 -1 1 0.20 -1 -1 38880 -1 -1 84 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71792 22 19 1344 989 1 796 130 16 16 256 mult_36 auto 32.6 MiB 1.80 4700 19541 4260 12325 2956 70.1 MiB 0.35 0.01 7.18832 -337.044 -7.18832 7.18832 0.83 0.0020808 0.00188227 0.141857 0.127917 42 9489 35 6.34292e+06 3.04495e+06 613404. 2396.11 6.36 0.950644 0.844854 21828 146600 -1 7395 23 5967 6653 1098620 292956 7.69394 7.69394 -428.664 -7.69394 0 0 784202. 3063.29 0.30 0.35 0.14 -1 -1 0.30 0.114485 0.103199 633 304 266 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 12.65 vpr 70.29 MiB 0.06 10064 -1 -1 1 0.22 -1 -1 38800 -1 -1 89 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71976 22 19 1418 1046 1 833 135 16 16 256 mult_36 auto 32.6 MiB 1.77 5254 19857 4348 12309 3200 70.3 MiB 0.36 0.01 7.2672 -359.764 -7.2672 7.2672 0.83 0.00216506 0.00193846 0.145303 0.130789 44 9404 38 6.34292e+06 3.10834e+06 649498. 2537.10 6.71 0.997763 0.881471 22336 155612 -1 7256 22 4990 5549 819139 216828 7.52234 7.52234 -454.33 -7.52234 0 0 820238. 3204.05 0.32 0.28 0.15 -1 -1 0.32 0.111497 0.100262 671 323 285 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 20.98 vpr 71.12 MiB 0.09 10296 -1 -1 1 0.23 -1 -1 38560 -1 -1 95 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72832 22 19 1518 1112 1 899 141 16 16 256 mult_36 auto 33.4 MiB 1.91 5569 23931 5301 15551 3079 71.1 MiB 0.47 0.01 7.82463 -405.474 -7.82463 7.82463 0.83 0.00243536 0.00217975 0.173357 0.156204 40 12113 38 6.34292e+06 3.18441e+06 583096. 2277.72 14.65 1.11904 0.989826 21572 140635 -1 9370 23 7938 8785 1471801 371373 9.21754 9.21754 -555.873 -9.21754 0 0 763333. 2981.77 0.29 0.42 0.14 -1 -1 0.29 0.124499 0.112389 717 342 304 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 10.86 vpr 71.75 MiB 0.07 10608 -1 -1 1 0.24 -1 -1 38884 -1 -1 100 22 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73472 22 19 1592 1169 1 937 146 16 16 256 mult_36 auto 34.2 MiB 1.97 5796 29714 6695 16788 6231 71.8 MiB 0.52 0.01 7.94998 -412.358 -7.94998 7.94998 0.85 0.00269012 0.00238389 0.207081 0.185755 46 10009 42 6.34292e+06 3.2478e+06 684529. 2673.94 4.34 0.794653 0.706459 22592 160355 -1 8026 24 5425 6056 756115 202735 8.53465 8.53465 -497.512 -8.53465 0 0 838722. 3276.26 0.33 0.31 0.15 -1 -1 0.33 0.134381 0.121367 755 361 323 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 14.29 vpr 72.14 MiB 0.07 10772 -1 -1 1 0.23 -1 -1 39704 -1 -1 105 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73872 22 19 1688 1231 1 998 152 16 16 256 mult_36 auto 34.8 MiB 2.16 6209 22427 4321 15375 2731 72.1 MiB 0.50 0.01 7.87378 -431.1 -7.87378 7.87378 0.85 0.00287527 0.00260073 0.169386 0.152663 48 10602 26 6.34292e+06 3.70719e+06 714410. 2790.66 7.59 1.12116 0.994755 22848 165380 -1 9172 21 6169 7009 1216820 298908 8.29919 8.29919 -554.93 -8.29919 0 0 863353. 3372.47 0.34 0.37 0.16 -1 -1 0.34 0.126823 0.114311 797 380 342 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 12.50 vpr 72.66 MiB 0.08 10680 -1 -1 1 0.26 -1 -1 39372 -1 -1 110 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74408 22 19 1762 1288 1 1033 157 16 16 256 mult_36 auto 35.1 MiB 2.15 6161 27229 5582 17072 4575 72.7 MiB 0.50 0.01 7.98298 -432.699 -7.98298 7.98298 0.84 0.0030564 0.00268199 0.19565 0.174641 46 11543 28 6.34292e+06 3.77058e+06 684529. 2673.94 5.74 0.868685 0.771294 22592 160355 -1 8799 23 5381 6205 975777 247901 8.21185 8.21185 -536.419 -8.21185 0 0 838722. 3276.26 0.33 0.38 0.15 -1 -1 0.33 0.149166 0.1352 835 399 361 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 15.17 vpr 73.45 MiB 0.08 11176 -1 -1 1 0.27 -1 -1 39436 -1 -1 117 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75212 22 19 1859 1351 1 1097 164 16 16 256 mult_36 auto 35.8 MiB 2.45 7110 27044 5626 17805 3613 73.4 MiB 0.59 0.01 7.95478 -444.101 -7.95478 7.95478 0.89 0.0031608 0.00286814 0.209535 0.188305 52 12533 32 6.34292e+06 3.85933e+06 763333. 2981.77 7.89 1.30838 1.16558 23612 180979 -1 9814 22 5612 6312 1116617 283301 8.52834 8.52834 -614.391 -8.52834 0 0 940801. 3675.00 0.37 0.38 0.18 -1 -1 0.37 0.144391 0.130185 878 418 380 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 11.42 vpr 73.86 MiB 0.09 11228 -1 -1 1 0.28 -1 -1 39772 -1 -1 121 22 0 6 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75632 22 19 1933 1408 1 1134 168 16 16 256 mult_36 auto 36.4 MiB 2.39 7567 23781 4429 16334 3018 73.9 MiB 0.44 0.01 7.95372 -476.282 -7.95372 7.95372 0.81 0.00295916 0.00264227 0.155094 0.138861 48 12868 42 6.34292e+06 3.91004e+06 714410. 2790.66 4.41 0.853828 0.759448 22848 165380 -1 10822 25 6440 7553 1259518 310054 8.58254 8.58254 -636.722 -8.58254 0 0 863353. 3372.47 0.37 0.47 0.15 -1 -1 0.37 0.175644 0.158174 916 437 399 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 16.17 vpr 74.27 MiB 0.09 11540 -1 -1 1 0.30 -1 -1 40688 -1 -1 129 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76052 22 19 2031 1472 1 1198 177 18 18 324 mult_36 auto 36.6 MiB 2.55 7467 31921 6937 20750 4234 74.3 MiB 0.62 0.01 7.85178 -507.847 -7.85178 7.85178 1.13 0.00326778 0.00297328 0.229886 0.206496 46 14334 30 7.79418e+06 4.40746e+06 895831. 2764.91 7.98 1.04007 0.924464 29024 211752 -1 11220 23 7134 8184 1305612 307962 8.52453 8.52453 -686.543 -8.52453 0 0 1.09776e+06 3388.15 0.45 0.43 0.19 -1 -1 0.45 0.163041 0.147017 960 456 418 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 15.77 vpr 74.69 MiB 0.09 11876 -1 -1 1 0.31 -1 -1 39532 -1 -1 134 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76484 22 19 2105 1529 1 1235 182 18 18 324 mult_36 auto 37.2 MiB 2.61 7627 30052 6166 20026 3860 74.7 MiB 0.55 0.01 7.58423 -491.373 -7.58423 7.58423 1.09 0.00314826 0.00280675 0.199757 0.177739 46 14294 44 7.79418e+06 4.47085e+06 895831. 2764.91 7.71 1.06793 0.941059 29024 211752 -1 10980 21 7341 8255 1361022 324943 8.08185 8.08185 -727.806 -8.08185 0 0 1.09776e+06 3388.15 0.37 0.45 0.17 -1 -1 0.37 0.16351 0.147772 998 475 437 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 14.76 vpr 75.44 MiB 0.10 12056 -1 -1 1 0.34 -1 -1 40180 -1 -1 139 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77248 22 19 2201 1591 1 1295 187 18 18 324 mult_36 auto 37.8 MiB 2.91 7986 32761 6910 22211 3640 75.4 MiB 0.62 0.01 7.75358 -518.618 -7.75358 7.75358 1.10 0.00305474 0.00273085 0.209961 0.187258 46 15412 47 7.79418e+06 4.53424e+06 895831. 2764.91 5.96 1.02606 0.907211 29024 211752 -1 11807 23 7565 8638 1520916 366939 8.51534 8.51534 -782.812 -8.51534 0 0 1.09776e+06 3388.15 0.50 0.53 0.20 -1 -1 0.50 0.191574 0.172908 1040 494 456 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 20.94 vpr 75.51 MiB 0.10 12080 -1 -1 1 0.36 -1 -1 40664 -1 -1 143 22 0 7 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 77324 22 19 2275 1648 1 1331 191 18 18 324 mult_36 auto 38.0 MiB 2.89 8417 36974 8008 23386 5580 75.5 MiB 0.73 0.01 7.75358 -558.148 -7.75358 7.75358 1.09 0.00350015 0.00313355 0.265424 0.2364 50 15064 31 7.79418e+06 4.58495e+06 975281. 3010.13 11.96 1.60201 1.41467 29672 225968 -1 12230 21 8797 10039 1799154 413585 7.97179 7.97179 -817.343 -7.97179 0 0 1.16663e+06 3600.72 0.52 0.56 0.22 -1 -1 0.52 0.179214 0.162147 1078 513 475 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 16.44 vpr 76.48 MiB 0.10 12428 -1 -1 1 0.38 -1 -1 40076 -1 -1 151 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78320 22 19 2385 1724 1 1408 200 18 18 324 mult_36 auto 39.1 MiB 3.12 8778 38744 8386 25044 5314 76.5 MiB 0.67 0.01 7.87378 -563.178 -7.87378 7.87378 1.11 0.00335976 0.00299816 0.248161 0.221065 46 17613 49 7.79418e+06 5.08238e+06 895831. 2764.91 7.15 1.1405 1.01017 29024 211752 -1 12585 23 8008 9182 1557352 375477 8.19905 8.19905 -902.976 -8.19905 0 0 1.09776e+06 3388.15 0.51 0.58 0.20 -1 -1 0.51 0.216892 0.196278 1134 532 494 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 20.50 vpr 76.84 MiB 0.11 12500 -1 -1 1 0.38 -1 -1 41020 -1 -1 156 22 0 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78680 22 19 2459 1781 1 1444 205 18 18 324 mult_36 auto 39.6 MiB 3.12 8795 41277 8812 24112 8353 76.8 MiB 0.78 0.01 7.83563 -588.755 -7.83563 7.83563 1.14 0.00418719 0.00374725 0.297628 0.26751 48 16135 33 7.79418e+06 5.14577e+06 935225. 2886.50 10.92 1.71073 1.51624 29348 218440 -1 13198 23 8778 10056 1750274 413379 8.45028 8.45028 -914.13 -8.45028 0 0 1.13028e+06 3488.51 0.53 0.62 0.21 -1 -1 0.53 0.21944 0.198286 1172 551 513 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 19.03 vpr 77.15 MiB 0.10 12944 -1 -1 1 0.38 -1 -1 41036 -1 -1 163 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79004 22 19 2565 1853 1 1517 213 22 22 484 mult_36 auto 39.7 MiB 3.36 9673 42758 9619 29321 3818 77.2 MiB 0.84 0.01 7.93278 -566.329 -7.93278 7.93278 1.94 0.00428522 0.00368239 0.289589 0.257304 46 16967 31 1.26954e+07 5.63051e+06 1.37878e+06 2848.72 7.45 1.25717 1.1124 43906 328446 -1 13880 23 8841 10104 1538720 369186 8.39839 8.39839 -941.471 -8.39839 0 0 1.69059e+06 3492.95 0.79 0.56 0.30 -1 -1 0.79 0.217387 0.197387 1224 570 532 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 31.16 vpr 77.50 MiB 0.10 12904 -1 -1 1 0.39 -1 -1 41012 -1 -1 168 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79356 22 19 2639 1910 1 1554 218 22 22 484 mult_36 auto 40.7 MiB 3.29 11109 46723 10129 32229 4365 77.5 MiB 0.96 0.01 7.87378 -586.602 -7.87378 7.87378 1.83 0.00417059 0.0037375 0.311634 0.277932 48 19634 33 1.26954e+07 5.6939e+06 1.44011e+06 2975.42 19.19 1.93069 1.70411 44390 338934 -1 16197 22 11145 12615 3297493 726548 8.44065 8.44065 -944.674 -8.44065 0 0 1.74100e+06 3597.11 0.82 0.93 0.29 -1 -1 0.82 0.210953 0.18985 1262 589 551 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 21.09 vpr 78.16 MiB 0.11 13152 -1 -1 1 0.40 -1 -1 41072 -1 -1 175 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80032 22 19 2744 1981 1 1626 225 22 22 484 mult_36 auto 41.2 MiB 3.52 10647 50157 11441 34324 4392 78.2 MiB 0.95 0.01 7.71437 -591.934 -7.71437 7.71437 1.85 0.00434903 0.00393812 0.331083 0.295409 46 18619 26 1.26954e+07 5.78265e+06 1.37878e+06 2848.72 8.99 1.37738 1.22394 43906 328446 -1 15265 23 9917 11222 2472640 578379 8.23085 8.23085 -927.911 -8.23085 0 0 1.69059e+06 3492.95 0.80 0.78 0.28 -1 -1 0.80 0.23532 0.211694 1313 608 570 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 28.84 vpr 79.15 MiB 0.12 13428 -1 -1 1 0.43 -1 -1 40520 -1 -1 179 22 0 9 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81052 22 19 2818 2038 1 1662 229 22 22 484 mult_36 auto 42.1 MiB 3.63 11020 52029 11586 31396 9047 79.2 MiB 0.96 0.01 7.78063 -660.549 -7.78063 7.78063 1.89 0.00426621 0.00381102 0.342758 0.303011 50 19629 30 1.26954e+07 5.83336e+06 1.50222e+06 3103.76 16.49 2.05011 1.81254 44874 350400 -1 16034 22 11207 12648 2281344 506423 8.24314 8.24314 -1033.78 -8.24314 0 0 1.79645e+06 3711.66 0.83 0.75 0.33 -1 -1 0.83 0.234371 0.212077 1351 627 589 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 28.93 vpr 79.20 MiB 0.13 13808 -1 -1 1 0.44 -1 -1 41256 -1 -1 187 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81104 22 19 2923 2109 1 1730 238 22 22 484 mult_36 auto 42.2 MiB 3.65 11263 51828 11461 35042 5325 79.2 MiB 0.99 0.01 8.38883 -680.513 -8.38883 8.38883 1.83 0.00462365 0.00415911 0.345708 0.309719 46 20991 41 1.26954e+07 6.33079e+06 1.37878e+06 2848.72 16.62 2.25341 2.00746 43906 328446 -1 16501 23 10723 12138 2059672 476732 8.78405 8.78405 -1098.1 -8.78405 0 0 1.69059e+06 3492.95 0.76 0.74 0.30 -1 -1 0.76 0.260126 0.235135 1402 646 608 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 25.05 vpr 79.84 MiB 0.13 14096 -1 -1 1 0.47 -1 -1 41692 -1 -1 193 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81752 22 19 2997 2166 1 1769 244 22 22 484 mult_36 auto 42.7 MiB 3.88 12137 58156 12672 38653 6831 79.8 MiB 1.17 0.01 8.34484 -680.997 -8.34484 8.34484 1.81 0.00457183 0.00399571 0.385204 0.341692 46 21251 29 1.26954e+07 6.40685e+06 1.37878e+06 2848.72 12.35 1.52379 1.34526 43906 328446 -1 16773 21 9362 10736 1872176 442020 9.59665 9.59665 -1089.3 -9.59665 0 0 1.69059e+06 3492.95 0.78 0.66 0.30 -1 -1 0.78 0.233519 0.211394 1441 665 627 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 23.65 vpr 80.65 MiB 0.13 14368 -1 -1 1 0.49 -1 -1 41552 -1 -1 199 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82588 22 19 3101 2236 1 1838 250 22 22 484 mult_36 auto 43.4 MiB 4.07 12072 57701 13086 38657 5958 80.7 MiB 1.16 0.02 8.32389 -747.827 -8.32389 8.32389 1.82 0.00481787 0.00423848 0.381371 0.336414 46 21174 39 1.26954e+07 6.48292e+06 1.37878e+06 2848.72 10.62 1.58183 1.39163 43906 328446 -1 17089 23 10403 11820 1975249 471825 9.24405 9.24405 -1091.37 -9.24405 0 0 1.69059e+06 3492.95 0.79 0.71 0.30 -1 -1 0.79 0.258728 0.233231 1491 684 646 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 29.93 vpr 80.99 MiB 0.13 14364 -1 -1 1 0.50 -1 -1 41844 -1 -1 203 22 0 10 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 82932 22 19 3175 2293 1 1872 254 22 22 484 mult_36 auto 43.8 MiB 4.21 12308 58142 12767 38087 7288 81.0 MiB 1.08 0.01 8.45509 -688.807 -8.45509 8.45509 1.76 0.00470816 0.00420698 0.357254 0.314277 48 22194 37 1.26954e+07 6.53363e+06 1.44011e+06 2975.42 16.55 2.29536 2.02146 44390 338934 -1 18140 23 13979 15834 3170096 724127 9.42133 9.42133 -1118.69 -9.42133 0 0 1.74100e+06 3597.11 0.82 0.97 0.32 -1 -1 0.82 0.265457 0.238625 1529 703 665 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 24.82 vpr 81.77 MiB 0.14 14648 -1 -1 1 0.52 -1 -1 41580 -1 -1 210 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83736 22 19 3280 2364 1 1945 262 24 24 576 mult_36 auto 44.7 MiB 4.39 12376 69816 16443 47607 5766 81.8 MiB 1.41 0.02 8.59624 -809.865 -8.59624 8.59624 2.17 0.00546328 0.00487635 0.449816 0.395554 46 21961 35 1.53347e+07 7.01838e+06 1.63708e+06 2842.15 10.24 1.82157 1.60958 51922 389946 -1 17592 24 9871 11527 1786037 441258 8.97651 8.97651 -1336.7 -8.97651 0 0 2.00908e+06 3487.99 0.95 0.69 0.34 -1 -1 0.95 0.289523 0.2606 1580 722 684 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 23.40 vpr 82.07 MiB 0.14 14644 -1 -1 1 0.54 -1 -1 41936 -1 -1 215 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84040 22 19 3354 2421 1 1981 267 24 24 576 mult_36 auto 45.1 MiB 4.45 12502 68128 15699 46579 5850 82.1 MiB 1.34 0.02 8.50903 -802.402 -8.50903 8.50903 2.15 0.00482899 0.00427492 0.407837 0.357526 48 22536 41 1.53347e+07 7.08177e+06 1.71014e+06 2969.00 8.56 1.60015 1.41037 52498 402441 -1 18484 24 12958 14458 2286207 540179 9.41531 9.41531 -1216.42 -9.41531 0 0 2.06880e+06 3591.66 1.02 0.86 0.37 -1 -1 1.02 0.31598 0.284608 1618 741 703 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 24.89 vpr 82.83 MiB 0.15 14900 -1 -1 1 0.55 -1 -1 41596 -1 -1 222 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84820 22 19 3457 2490 1 2052 274 24 24 576 mult_36 auto 45.7 MiB 4.61 13414 66949 15478 45937 5534 82.8 MiB 1.34 0.02 8.50903 -821.422 -8.50903 8.50903 2.21 0.00532076 0.0048068 0.423088 0.379009 46 24618 28 1.53347e+07 7.17052e+06 1.63708e+06 2842.15 9.89 1.5403 1.36609 51922 389946 -1 19277 22 12651 14328 2593538 598824 8.86054 8.86054 -1136.22 -8.86054 0 0 2.00908e+06 3487.99 0.90 0.80 0.36 -1 -1 0.90 0.2553 0.228107 1667 760 722 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 24.65 vpr 83.18 MiB 0.15 15276 -1 -1 1 0.58 -1 -1 41696 -1 -1 227 22 0 11 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85172 22 19 3531 2547 1 2089 279 24 24 576 mult_36 auto 46.1 MiB 4.68 13932 77714 17814 54158 5742 83.2 MiB 1.58 0.02 8.36578 -880.945 -8.36578 8.36578 2.20 0.00515151 0.00449761 0.493691 0.436543 46 25093 43 1.53347e+07 7.23391e+06 1.63708e+06 2842.15 9.21 1.78492 1.58394 51922 389946 -1 19831 25 12693 14411 2442347 573109 9.10259 9.10259 -1492.05 -9.10259 0 0 2.00908e+06 3487.99 0.95 0.89 0.36 -1 -1 0.95 0.329998 0.296773 1705 779 741 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 44.55 vpr 83.82 MiB 0.15 15464 -1 -1 1 0.60 -1 -1 42000 -1 -1 233 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85832 22 19 3634 2616 1 2155 286 24 24 576 mult_36 auto 46.9 MiB 4.86 13939 76507 18256 51832 6419 83.8 MiB 1.52 0.02 8.62924 -870.811 -8.62924 8.62924 2.18 0.00549691 0.00493929 0.485986 0.433754 44 25118 31 1.53347e+07 7.70597e+06 1.55518e+06 2699.97 29.05 2.67998 2.3687 51346 378163 -1 19533 23 10630 12153 1845879 457002 9.15685 9.15685 -1412.13 -9.15685 0 0 1.96475e+06 3411.02 0.96 0.72 0.35 -1 -1 0.96 0.306957 0.276262 1754 798 760 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 35.60 vpr 84.47 MiB 0.15 15472 -1 -1 1 0.60 -1 -1 42604 -1 -1 238 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86500 22 19 3708 2673 1 2193 291 24 24 576 mult_36 auto 47.4 MiB 4.65 15641 78375 18649 52730 6996 84.5 MiB 1.57 0.02 8.48598 -921.123 -8.48598 8.48598 2.12 0.00583181 0.00500943 0.488186 0.429668 52 27405 34 1.53347e+07 7.76936e+06 1.82869e+06 3174.81 20.19 2.94524 2.59178 54222 439550 -1 21259 23 13113 14888 2521400 581567 8.97139 8.97139 -1387.06 -8.97139 0 0 2.25030e+06 3906.77 1.07 0.89 0.38 -1 -1 1.07 0.312085 0.279887 1792 817 779 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 27.71 vpr 85.09 MiB 0.17 15680 -1 -1 1 0.64 -1 -1 43144 -1 -1 244 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87136 22 19 3810 2741 1 2260 297 24 24 576 mult_36 auto 47.8 MiB 5.22 14855 75537 16524 48456 10557 85.1 MiB 1.52 0.02 8.47709 -906.743 -8.47709 8.47709 2.23 0.00586042 0.00525946 0.467781 0.41173 54 24757 36 1.53347e+07 7.84543e+06 1.87785e+06 3260.16 11.50 2.01818 1.78696 54798 452027 -1 20229 23 10519 12366 1982577 471012 8.72225 8.72225 -1406.08 -8.72225 0 0 2.31032e+06 4010.97 1.05 0.73 0.42 -1 -1 1.05 0.29546 0.265599 1840 836 798 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 30.95 vpr 85.31 MiB 0.17 15904 -1 -1 1 0.65 -1 -1 42600 -1 -1 249 22 0 12 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87360 22 19 3884 2798 1 2296 302 24 24 576 mult_36 auto 48.3 MiB 5.33 14875 89358 22008 59364 7986 85.3 MiB 1.91 0.02 8.62924 -947.26 -8.62924 8.62924 2.22 0.00564676 0.00503904 0.567669 0.501976 50 24954 44 1.53347e+07 7.90882e+06 1.78400e+06 3097.22 14.30 2.19263 1.93378 53074 415989 -1 20612 24 12745 14499 2435752 574182 9.41425 9.41425 -1467.66 -9.41425 0 0 2.13454e+06 3705.80 0.95 0.82 0.38 -1 -1 0.95 0.306012 0.272384 1878 855 817 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 36.75 vpr 85.71 MiB 0.17 16096 -1 -1 1 0.70 -1 -1 44368 -1 -1 256 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87768 22 19 3989 2869 1 2368 310 24 24 576 mult_36 auto 48.5 MiB 5.48 16069 85198 18474 59252 7472 85.7 MiB 1.96 0.03 8.50903 -919.033 -8.50903 8.50903 2.20 0.00674117 0.00595569 0.555056 0.490263 52 27397 33 1.53347e+07 8.39357e+06 1.82869e+06 3174.81 19.31 2.85498 2.52264 54222 439550 -1 22130 25 13619 15683 3474495 830124 8.83719 8.83719 -1318.88 -8.83719 0 0 2.25030e+06 3906.77 1.08 1.13 0.41 -1 -1 1.08 0.358622 0.322012 1929 874 836 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 26.65 vpr 85.96 MiB 0.17 16220 -1 -1 1 0.71 -1 -1 44512 -1 -1 260 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88020 22 19 4063 2926 1 2404 314 24 24 576 mult_36 auto 48.9 MiB 5.66 15866 79198 17254 55735 6209 86.0 MiB 1.64 0.02 8.98878 -920.993 -8.98878 8.98878 2.28 0.00620662 0.00558751 0.489545 0.436008 48 27407 40 1.53347e+07 8.44428e+06 1.71014e+06 2969.00 9.31 2.02542 1.79412 52498 402441 -1 23105 21 13213 15520 3065974 708369 9.39725 9.39725 -1581.61 -9.39725 0 0 2.06880e+06 3591.66 1.00 1.02 0.37 -1 -1 1.00 0.337161 0.305371 1967 893 855 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 31.34 vpr 86.45 MiB 0.19 16616 -1 -1 1 0.73 -1 -1 44048 -1 -1 267 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88524 22 19 4167 2996 1 2473 321 24 24 576 mult_36 auto 49.5 MiB 5.78 16666 93651 22036 62296 9319 86.4 MiB 1.95 0.02 8.62924 -919.448 -8.62924 8.62924 2.28 0.00633461 0.00570298 0.569883 0.507684 54 27056 29 1.53347e+07 8.53303e+06 1.87785e+06 3260.16 13.43 2.4412 2.15376 54798 452027 -1 22564 24 13389 15174 2813825 684398 9.03785 9.03785 -1421.57 -9.03785 0 0 2.31032e+06 4010.97 1.09 0.99 0.42 -1 -1 1.09 0.359718 0.324222 2017 912 874 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 63.35 vpr 86.89 MiB 0.19 16728 -1 -1 1 0.74 -1 -1 44572 -1 -1 272 22 0 13 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88972 22 19 4241 3053 1 2509 326 24 24 576 mult_36 auto 50.0 MiB 5.68 16903 92248 21170 57770 13308 86.9 MiB 1.72 0.02 8.62818 -1017.52 -8.62818 8.62818 2.14 0.00608726 0.00540547 0.521387 0.457549 50 29128 39 1.53347e+07 8.59642e+06 1.78400e+06 3097.22 46.07 3.14753 2.75459 53074 415989 -1 23547 23 14088 16309 2648784 637717 9.19673 9.19673 -1546.85 -9.19673 0 0 2.13454e+06 3705.80 1.03 0.95 0.39 -1 -1 1.03 0.337629 0.299883 2055 931 893 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 33.60 vpr 87.75 MiB 0.20 17156 -1 -1 1 0.75 -1 -1 44920 -1 -1 278 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89860 22 19 4346 3124 1 2580 333 24 24 576 mult_36 auto 50.8 MiB 5.84 18688 79890 17350 54390 8150 87.8 MiB 1.72 0.02 8.72849 -1041.82 -8.72849 8.72849 2.18 0.00601576 0.00532354 0.480609 0.420986 54 30739 46 1.53347e+07 9.06848e+06 1.87785e+06 3260.16 15.85 2.64421 2.32129 54798 452027 -1 25330 22 14720 17001 3056841 730357 9.33514 9.33514 -1551.56 -9.33514 0 0 2.31032e+06 4010.97 1.11 1.06 0.42 -1 -1 1.11 0.360067 0.324336 2106 950 912 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 47.00 vpr 88.06 MiB 0.20 17256 -1 -1 1 0.76 -1 -1 44700 -1 -1 283 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90172 22 19 4420 3181 1 2615 338 24 24 576 mult_36 auto 51.2 MiB 6.03 17076 95594 22708 57311 15575 88.1 MiB 1.87 0.02 8.57798 -1066.4 -8.57798 8.57798 2.24 0.00673492 0.00604821 0.578596 0.510882 58 26614 40 1.53347e+07 9.13187e+06 1.96475e+06 3411.02 28.83 3.60113 3.18341 56522 489154 -1 22894 24 12306 13636 2462602 569366 8.93345 8.93345 -1488.13 -8.93345 0 0 2.46106e+06 4272.68 1.20 0.94 0.43 -1 -1 1.20 0.388077 0.34813 2144 969 931 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 33.63 vpr 88.75 MiB 0.21 17500 -1 -1 1 0.82 -1 -1 45056 -1 -1 291 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 90884 22 19 4524 3251 1 2687 346 24 24 576 mult_36 auto 51.8 MiB 6.15 19116 93824 20236 64664 8924 88.8 MiB 2.00 0.03 8.86858 -1053.02 -8.86858 8.86858 2.22 0.00706762 0.00639589 0.581415 0.518884 56 31470 49 1.53347e+07 9.2333e+06 1.92546e+06 3342.82 15.17 2.61522 2.31546 55374 464059 -1 26637 22 14735 16793 3217701 765440 9.59754 9.59754 -1781.48 -9.59754 0 0 2.36234e+06 4101.29 1.04 1.04 0.44 -1 -1 1.04 0.338072 0.301749 2194 988 950 19 0 0 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 28.37 vpr 89.04 MiB 0.22 17664 -1 -1 1 0.81 -1 -1 45396 -1 -1 295 22 0 14 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 91180 22 19 4598 3308 1 2721 350 24 24 576 mult_36 auto 52.2 MiB 6.22 17806 95291 21587 62454 11250 89.0 MiB 1.87 0.02 8.50903 -1096 -8.50903 8.50903 2.18 0.00658477 0.00580573 0.545609 0.476813 50 29470 42 1.53347e+07 9.28401e+06 1.78400e+06 3097.22 9.97 2.18783 1.91634 53074 415989 -1 24640 24 16078 18593 3227560 771947 9.69685 9.69685 -1845.6 -9.69685 0 0 2.13454e+06 3705.80 1.02 1.12 0.38 -1 -1 1.02 0.39723 0.356401 2232 1007 969 19 0 0 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt index bb3c1aa0344..19ddc9b2774 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt @@ -1,1025 +1,1025 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 4.84 vpr 62.36 MiB 0.03 6836 -1 -1 14 0.34 -1 -1 32796 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63860 32 32 280 312 1 205 90 17 17 289 -1 unnamed_device 23.9 MiB 0.33 1364 62.4 MiB 0.09 0.00 6.5171 -132.639 -6.5171 6.5171 1.01 0.000741232 0.000669221 0.0344801 0.0314832 30 3212 19 6.55708e+06 313430 526063. 1820.29 1.15 0.12246 0.109864 21886 126133 -1 2729 19 1306 4119 195939 46732 0 0 195939 46732 4119 1959 0 0 13148 10614 0 0 19362 14521 0 0 4119 2420 0 0 76899 8596 0 0 78292 8622 0 0 4119 0 0 2813 4378 4647 32169 0 0 7.05196 7.05196 -157.735 -7.05196 0 0 666494. 2306.21 0.18 0.05 0.07 -1 -1 0.18 0.0213614 0.0191874 186 185 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 4.94 vpr 62.26 MiB 0.03 6652 -1 -1 14 0.32 -1 -1 32668 -1 -1 30 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63756 30 32 277 309 1 215 92 17 17 289 -1 unnamed_device 23.7 MiB 0.47 1296 62.3 MiB 0.10 0.00 6.98624 -139.787 -6.98624 6.98624 0.91 0.000654426 0.000598968 0.0430115 0.0391266 30 3298 17 6.55708e+06 361650 526063. 1820.29 1.32 0.147189 0.131411 21886 126133 -1 2771 18 1358 3832 199056 46387 0 0 199056 46387 3832 1860 0 0 12561 10170 0 0 17992 13688 0 0 3832 2272 0 0 80979 9215 0 0 79860 9182 0 0 3832 0 0 2474 4346 4124 29050 0 0 7.10644 7.10644 -155.92 -7.10644 0 0 666494. 2306.21 0.18 0.05 0.07 -1 -1 0.18 0.0208247 0.0187765 189 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 7.33 vpr 62.19 MiB 0.03 6804 -1 -1 11 0.23 -1 -1 32740 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63680 32 32 274 306 1 208 89 17 17 289 -1 unnamed_device 23.6 MiB 0.36 1279 62.2 MiB 0.07 0.00 5.48872 -115.921 -5.48872 5.48872 0.63 0.000419704 0.000386011 0.0275881 0.02528 36 3802 38 6.55708e+06 301375 612192. 2118.31 4.47 0.170892 0.151214 22750 144809 -1 2980 16 1386 4414 250377 57911 0 0 250377 57911 4414 2166 0 0 14701 12223 0 0 22669 16921 0 0 4414 2582 0 0 99850 12480 0 0 104329 11539 0 0 4414 0 0 3028 6105 6321 42058 0 0 5.82178 5.82178 -137.924 -5.82178 0 0 782063. 2706.10 0.20 0.06 0.08 -1 -1 0.20 0.0196705 0.0178211 180 179 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 5.53 vpr 62.37 MiB 0.03 6620 -1 -1 12 0.41 -1 -1 32772 -1 -1 29 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63868 29 32 269 301 1 203 90 17 17 289 -1 unnamed_device 23.7 MiB 0.39 1285 62.4 MiB 0.06 0.00 6.34804 -118.848 -6.34804 6.34804 0.82 0.000459787 0.000415877 0.0312247 0.028596 30 3590 34 6.55708e+06 349595 526063. 1820.29 1.90 0.169369 0.151057 21886 126133 -1 2869 23 1322 4070 264835 84769 0 0 264835 84769 4070 1893 0 0 13336 11144 0 0 19605 14631 0 0 4070 2391 0 0 113191 27242 0 0 110563 27468 0 0 4070 0 0 2748 4544 4301 31235 0 0 6.82884 6.82884 -139.425 -6.82884 0 0 666494. 2306.21 0.18 0.07 0.07 -1 -1 0.18 0.0233369 0.0208246 185 180 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 4.66 vpr 62.74 MiB 0.03 6572 -1 -1 13 0.39 -1 -1 32828 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64248 32 32 317 349 1 246 96 17 17 289 -1 unnamed_device 24.2 MiB 0.49 1585 62.7 MiB 0.11 0.00 6.46824 -138.353 -6.46824 6.46824 0.90 0.000838225 0.000769479 0.0436034 0.0398978 30 4095 23 6.55708e+06 385760 526063. 1820.29 1.18 0.131319 0.117416 21886 126133 -1 3371 17 1612 4598 228505 53933 0 0 228505 53933 4598 2158 0 0 14755 12033 0 0 20841 15784 0 0 4598 2629 0 0 94100 10310 0 0 89613 11019 0 0 4598 0 0 2986 4486 4458 32268 0 0 6.7183 6.7183 -153.674 -6.7183 0 0 666494. 2306.21 0.18 0.06 0.07 -1 -1 0.18 0.0237897 0.0215659 223 222 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 6.95 vpr 62.27 MiB 0.02 6752 -1 -1 12 0.34 -1 -1 32856 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63764 32 32 299 331 1 232 98 17 17 289 -1 unnamed_device 23.7 MiB 0.55 1486 62.3 MiB 0.07 0.00 6.19064 -124.909 -6.19064 6.19064 0.89 0.000445335 0.000404371 0.0263437 0.0240457 28 4706 32 6.55708e+06 409870 500653. 1732.36 3.42 0.120675 0.107114 21310 115450 -1 3576 17 1613 4756 308631 70116 0 0 308631 70116 4756 2592 0 0 16444 13431 0 0 25565 19166 0 0 4756 3031 0 0 127993 16108 0 0 129117 15788 0 0 4756 0 0 3143 6366 6901 41598 0 0 6.50638 6.50638 -150.568 -6.50638 0 0 612192. 2118.31 0.17 0.07 0.06 -1 -1 0.17 0.0212728 0.0191841 209 204 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 7.08 vpr 61.81 MiB 0.02 6456 -1 -1 12 0.21 -1 -1 32464 -1 -1 27 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63292 27 32 210 242 1 167 86 17 17 289 -1 unnamed_device 23.3 MiB 0.33 1093 61.8 MiB 0.07 0.00 5.77658 -104.791 -5.77658 5.77658 1.03 0.000566697 0.00052234 0.0318894 0.0294048 26 3278 33 6.55708e+06 325485 477104. 1650.88 3.55 0.128436 0.115348 21022 109990 -1 2709 19 1363 3855 363810 101878 0 0 363810 101878 3855 2254 0 0 12760 10348 0 0 21175 14682 0 0 3855 2648 0 0 164979 36723 0 0 157186 35223 0 0 3855 0 0 2492 4438 5028 27763 0 0 6.34038 6.34038 -127.38 -6.34038 0 0 585099. 2024.56 0.16 0.08 0.06 -1 -1 0.16 0.0170259 0.0152909 136 125 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 5.51 vpr 62.05 MiB 0.02 6692 -1 -1 11 0.21 -1 -1 32684 -1 -1 28 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63536 31 32 264 296 1 199 91 17 17 289 -1 unnamed_device 23.6 MiB 0.31 1254 62.0 MiB 0.09 0.00 5.18418 -108.446 -5.18418 5.18418 1.00 0.000642135 0.000577359 0.0387976 0.0353609 36 3092 18 6.55708e+06 337540 612192. 2118.31 1.94 0.164425 0.145751 22750 144809 -1 2656 16 1224 3859 218845 51040 0 0 218845 51040 3859 1712 0 0 13241 10838 0 0 20614 15459 0 0 3859 2151 0 0 89989 10400 0 0 87283 10480 0 0 3859 0 0 2635 5222 5831 37197 0 0 5.21372 5.21372 -124.09 -5.21372 0 0 782063. 2706.10 0.20 0.05 0.08 -1 -1 0.20 0.0182732 0.0165215 175 171 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 6.39 vpr 61.80 MiB 0.02 6588 -1 -1 12 0.20 -1 -1 32404 -1 -1 25 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63284 31 32 234 266 1 190 88 17 17 289 -1 unnamed_device 23.3 MiB 0.42 1197 61.8 MiB 0.08 0.00 5.61718 -124.3 -5.61718 5.61718 1.02 0.000576869 0.00053058 0.0303771 0.027831 26 3675 46 6.55708e+06 301375 477104. 1650.88 2.62 0.120469 0.107349 21022 109990 -1 2730 59 1713 5294 981550 512133 0 0 981550 512133 5294 3474 0 0 18194 15512 0 0 35516 24123 0 0 5294 3782 0 0 447704 232315 0 0 469548 232927 0 0 5294 0 0 3581 7696 7350 42977 0 0 6.33838 6.33838 -148.781 -6.33838 0 0 585099. 2024.56 0.16 0.24 0.06 -1 -1 0.16 0.0420153 0.0368118 145 141 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 5.04 vpr 62.00 MiB 0.02 6432 -1 -1 13 0.24 -1 -1 32804 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63484 32 32 253 285 1 194 89 17 17 289 -1 unnamed_device 23.4 MiB 0.53 1231 62.0 MiB 0.11 0.00 6.22784 -137.083 -6.22784 6.22784 1.04 0.000647633 0.000594101 0.0453709 0.0416253 32 3322 29 6.55708e+06 301375 554710. 1919.41 1.04 0.136307 0.121041 22174 131602 -1 2874 18 1255 3401 224069 52442 0 0 224069 52442 3401 2024 0 0 12371 10339 0 0 20827 15613 0 0 3401 2362 0 0 91411 11118 0 0 92658 10986 0 0 3401 0 0 2146 3447 3503 23089 0 0 6.29658 6.29658 -153.823 -6.29658 0 0 701300. 2426.64 0.25 0.06 0.13 -1 -1 0.25 0.0199988 0.0180393 162 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 5.33 vpr 61.59 MiB 0.02 6552 -1 -1 12 0.16 -1 -1 32628 -1 -1 22 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63072 30 32 217 249 1 169 84 17 17 289 -1 unnamed_device 23.2 MiB 0.34 1079 61.6 MiB 0.09 0.00 5.98944 -123.803 -5.98944 5.98944 1.07 0.000564623 0.000516565 0.0357354 0.0328078 28 2782 26 6.55708e+06 265210 500653. 1732.36 1.61 0.118321 0.106129 21310 115450 -1 2385 20 1016 2587 226097 76701 0 0 226097 76701 2587 1515 0 0 9061 7340 0 0 14521 10935 0 0 2587 1752 0 0 98288 28179 0 0 99053 26980 0 0 2587 0 0 1571 2273 2628 16619 0 0 6.22984 6.22984 -142.14 -6.22984 0 0 612192. 2118.31 0.21 0.06 0.11 -1 -1 0.21 0.0171686 0.0153916 132 126 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 5.49 vpr 61.86 MiB 0.02 6608 -1 -1 12 0.16 -1 -1 32648 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63344 32 32 227 259 1 176 85 17 17 289 -1 unnamed_device 23.3 MiB 0.24 1093 61.9 MiB 0.11 0.00 5.51886 -121.204 -5.51886 5.51886 1.07 0.00058981 0.000539098 0.0425946 0.0389735 28 3284 29 6.55708e+06 253155 500653. 1732.36 1.90 0.141294 0.126822 21310 115450 -1 2688 19 1135 3215 206532 47477 0 0 206532 47477 3215 1839 0 0 11184 9116 0 0 17393 12877 0 0 3215 2129 0 0 84898 10894 0 0 86627 10622 0 0 3215 0 0 2080 3654 3816 23731 0 0 5.66038 5.66038 -139.859 -5.66038 0 0 612192. 2118.31 0.17 0.05 0.07 -1 -1 0.17 0.0165888 0.0148928 138 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 5.31 vpr 62.49 MiB 0.02 6632 -1 -1 13 0.34 -1 -1 32828 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63992 32 32 306 338 1 235 94 17 17 289 -1 unnamed_device 23.7 MiB 0.41 1415 62.5 MiB 0.04 0.00 6.5609 -131.521 -6.5609 6.5609 0.84 0.000459452 0.000422954 0.0158838 0.0146722 28 3842 24 6.55708e+06 361650 500653. 1732.36 1.42 0.121334 0.108765 21310 115450 -1 3358 18 1557 4388 258208 60397 0 0 258208 60397 4388 2342 0 0 15292 12384 0 0 23240 17745 0 0 4388 2736 0 0 100293 13164 0 0 110607 12026 0 0 4388 0 0 2831 6411 6037 39281 0 0 6.9215 6.9215 -156.182 -6.9215 0 0 612192. 2118.31 0.27 0.11 0.11 -1 -1 0.27 0.04032 0.0365283 212 211 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 5.98 vpr 62.48 MiB 0.02 6644 -1 -1 14 0.42 -1 -1 33188 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63976 32 32 302 334 1 235 93 17 17 289 -1 unnamed_device 23.8 MiB 0.56 1512 62.5 MiB 0.13 0.00 7.41762 -151.614 -7.41762 7.41762 1.02 0.000760053 0.000691849 0.0538948 0.0492764 32 4760 48 6.55708e+06 349595 554710. 1919.41 1.88 0.207106 0.184271 22174 131602 -1 3682 18 1560 4267 274231 64431 0 0 274231 64431 4267 2434 0 0 15665 12818 0 0 25846 19629 0 0 4267 2817 0 0 112379 13246 0 0 111807 13487 0 0 4267 0 0 2707 5294 5362 32920 0 0 8.17802 8.17802 -182.786 -8.17802 0 0 701300. 2426.64 0.18 0.11 0.08 -1 -1 0.18 0.0403748 0.0366041 208 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 5.73 vpr 61.77 MiB 0.02 6472 -1 -1 11 0.21 -1 -1 32472 -1 -1 29 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63248 29 32 238 270 1 186 90 17 17 289 -1 unnamed_device 23.2 MiB 0.27 1092 61.8 MiB 0.10 0.00 5.15566 -106.737 -5.15566 5.15566 1.01 0.000598819 0.000547296 0.039442 0.0355892 28 3025 49 6.55708e+06 349595 500653. 1732.36 2.27 0.192589 0.171486 21310 115450 -1 2639 19 1273 3535 214250 49596 0 0 214250 49596 3535 1937 0 0 12026 9919 0 0 19134 14228 0 0 3535 2359 0 0 89281 10474 0 0 86739 10679 0 0 3535 0 0 2262 4197 4055 26041 0 0 5.59926 5.59926 -127.617 -5.59926 0 0 612192. 2118.31 0.18 0.07 0.07 -1 -1 0.18 0.0235981 0.0210916 160 149 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 6.95 vpr 62.45 MiB 0.03 6708 -1 -1 12 0.34 -1 -1 33000 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63948 32 32 306 338 1 235 98 17 17 289 -1 unnamed_device 23.8 MiB 0.64 1620 62.4 MiB 0.05 0.00 6.6001 -134.71 -6.6001 6.6001 0.99 0.000472737 0.000431089 0.0191715 0.0176202 30 4300 42 6.55708e+06 409870 526063. 1820.29 3.01 0.158922 0.141746 21886 126133 -1 3566 16 1535 4770 243424 56365 0 0 243424 56365 4770 2252 0 0 15481 12713 0 0 21714 16597 0 0 4770 2775 0 0 96799 11223 0 0 99890 10805 0 0 4770 0 0 3235 5897 6067 38927 0 0 6.6419 6.6419 -155.902 -6.6419 0 0 666494. 2306.21 0.18 0.06 0.07 -1 -1 0.18 0.0215683 0.0195907 213 211 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 6.44 vpr 62.66 MiB 0.02 6772 -1 -1 13 0.33 -1 -1 32724 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64168 32 32 311 343 1 239 96 17 17 289 -1 unnamed_device 23.9 MiB 0.35 1448 62.7 MiB 0.13 0.00 6.5961 -137.919 -6.5961 6.5961 1.04 0.000839819 0.000765663 0.0550673 0.0502696 28 4697 46 6.55708e+06 385760 500653. 1732.36 2.41 0.173155 0.154492 21310 115450 -1 3467 19 1566 4580 282118 65229 0 0 282118 65229 4580 2483 0 0 15845 12679 0 0 23973 18176 0 0 4580 2862 0 0 114921 14831 0 0 118219 14198 0 0 4580 0 0 3014 6337 6321 41148 0 0 7.0769 7.0769 -164.82 -7.0769 0 0 612192. 2118.31 0.26 0.11 0.11 -1 -1 0.26 0.0420839 0.0379862 217 216 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 4.91 vpr 61.97 MiB 0.02 6452 -1 -1 12 0.20 -1 -1 32444 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63456 32 32 230 262 1 182 86 17 17 289 -1 unnamed_device 23.5 MiB 0.66 1085 62.0 MiB 0.05 0.00 6.1219 -131.656 -6.1219 6.1219 1.02 0.000574696 0.000527292 0.0186774 0.0171997 30 2521 17 6.55708e+06 265210 526063. 1820.29 0.85 0.0724802 0.0648032 21886 126133 -1 2116 14 852 2450 113281 28362 0 0 113281 28362 2450 1110 0 0 8057 6394 0 0 11188 8653 0 0 2450 1273 0 0 44232 5565 0 0 44904 5367 0 0 2450 0 0 1598 2679 2748 19287 0 0 6.6027 6.6027 -152.775 -6.6027 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.024504 0.0222907 139 135 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 4.46 vpr 61.39 MiB 0.02 6468 -1 -1 10 0.13 -1 -1 32052 -1 -1 20 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62860 30 32 176 208 1 139 82 17 17 289 -1 unnamed_device 23.0 MiB 0.13 813 61.4 MiB 0.05 0.00 4.44306 -99.6509 -4.44306 4.44306 1.05 0.000455025 0.000416279 0.0181752 0.0166227 30 1929 16 6.55708e+06 241100 526063. 1820.29 1.15 0.0846679 0.0751499 21886 126133 -1 1718 14 632 1514 83328 19882 0 0 83328 19882 1514 858 0 0 5079 4039 0 0 7268 5640 0 0 1514 967 0 0 34463 4160 0 0 33490 4218 0 0 1514 0 0 882 1102 1113 8387 0 0 4.76446 4.76446 -115.733 -4.76446 0 0 666494. 2306.21 0.27 0.04 0.12 -1 -1 0.27 0.0170873 0.0153652 96 85 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 5.15 vpr 61.89 MiB 0.02 6584 -1 -1 13 0.21 -1 -1 32552 -1 -1 24 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63380 31 32 226 258 1 176 87 17 17 289 -1 unnamed_device 23.4 MiB 0.42 1120 61.9 MiB 0.05 0.00 6.22784 -130.123 -6.22784 6.22784 0.94 0.000360957 0.000332839 0.0203616 0.0186995 28 2930 43 6.55708e+06 289320 500653. 1732.36 1.57 0.143585 0.127583 21310 115450 -1 2475 20 1169 3266 182954 43114 0 0 182954 43114 3266 1725 0 0 10961 8772 0 0 17790 13028 0 0 3266 2066 0 0 74910 8571 0 0 72761 8952 0 0 3266 0 0 2097 3370 3445 22220 0 0 6.41678 6.41678 -149.612 -6.41678 0 0 612192. 2118.31 0.23 0.05 0.11 -1 -1 0.23 0.0176428 0.0158088 139 133 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 6.08 vpr 62.47 MiB 0.02 6596 -1 -1 13 0.39 -1 -1 32864 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63968 32 32 302 334 1 228 95 17 17 289 -1 unnamed_device 23.8 MiB 0.44 1465 62.5 MiB 0.11 0.00 6.22984 -126.721 -6.22984 6.22984 1.04 0.000830521 0.000764482 0.0445844 0.0406389 30 3604 39 6.55708e+06 373705 526063. 1820.29 1.89 0.171447 0.153027 21886 126133 -1 3246 25 2158 7330 459635 145440 0 0 459635 145440 7330 3200 0 0 22534 18766 0 0 35641 25043 0 0 7330 4132 0 0 187631 47592 0 0 199169 46707 0 0 7330 0 0 5172 11389 10220 66849 0 0 6.71064 6.71064 -150.392 -6.71064 0 0 666494. 2306.21 0.29 0.20 0.12 -1 -1 0.29 0.0592626 0.0539334 208 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 7.73 vpr 62.41 MiB 0.02 6760 -1 -1 13 0.36 -1 -1 33088 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63904 32 32 299 331 1 237 98 17 17 289 -1 unnamed_device 23.9 MiB 0.58 1616 62.4 MiB 0.10 0.00 6.5191 -137.159 -6.5191 6.5191 1.02 0.000794248 0.000724986 0.0419144 0.0383779 38 3829 33 6.55708e+06 409870 638502. 2209.35 3.86 0.234308 0.208211 23326 155178 -1 3185 17 1306 4512 229969 51910 0 0 229969 51910 4512 1866 0 0 14418 11828 0 0 21669 15744 0 0 4512 2267 0 0 92641 10014 0 0 92217 10191 0 0 4512 0 0 3206 6416 6570 43560 0 0 6.90724 6.90724 -152.74 -6.90724 0 0 851065. 2944.86 0.21 0.06 0.09 -1 -1 0.21 0.0216357 0.0196025 207 204 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 4.05 vpr 61.20 MiB 0.02 6544 -1 -1 9 0.11 -1 -1 32052 -1 -1 21 26 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62664 26 32 149 181 1 119 79 17 17 289 -1 unnamed_device 22.6 MiB 0.36 696 61.2 MiB 0.04 0.00 3.89854 -77.4529 -3.89854 3.89854 0.82 0.000241291 0.000223235 0.0129898 0.0120215 26 1824 21 6.55708e+06 253155 477104. 1650.88 0.78 0.054183 0.0476082 21022 109990 -1 1676 18 679 1707 112169 26616 0 0 112169 26616 1707 1028 0 0 6158 5201 0 0 9882 7435 0 0 1707 1180 0 0 46584 5910 0 0 46131 5862 0 0 1707 0 0 1028 1465 1453 10381 0 0 4.16848 4.16848 -93.4634 -4.16848 0 0 585099. 2024.56 0.24 0.05 0.08 -1 -1 0.24 0.0176613 0.0156814 83 66 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 5.27 vpr 62.27 MiB 0.02 6648 -1 -1 13 0.38 -1 -1 32848 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63760 32 32 304 336 1 228 94 17 17 289 -1 unnamed_device 23.7 MiB 0.25 1515 62.3 MiB 0.06 0.00 6.8405 -130.754 -6.8405 6.8405 1.05 0.000773161 0.000708777 0.0224134 0.0205842 30 3416 19 6.55708e+06 361650 526063. 1820.29 1.46 0.150717 0.134501 21886 126133 -1 2994 18 1343 3765 179861 42745 0 0 179861 42745 3765 1778 0 0 12302 9864 0 0 17066 13160 0 0 3765 2159 0 0 70624 7959 0 0 72339 7825 0 0 3765 0 0 2422 4382 3924 26771 0 0 7.0809 7.0809 -149.407 -7.0809 0 0 666494. 2306.21 0.30 0.10 0.13 -1 -1 0.30 0.0413241 0.0373186 211 209 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 5.34 vpr 61.25 MiB 0.02 6304 -1 -1 8 0.10 -1 -1 31036 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62716 32 32 155 187 1 113 81 17 17 289 -1 unnamed_device 22.7 MiB 0.24 432 61.2 MiB 0.04 0.00 3.72586 -71.6208 -3.72586 3.72586 1.02 0.000342873 0.000312903 0.0119348 0.0109625 34 1304 14 6.55708e+06 204935 585099. 2024.56 1.80 0.110734 0.0973741 22462 138074 -1 1027 25 539 1087 121733 65541 0 0 121733 65541 1087 718 0 0 3974 3191 0 0 7148 5332 0 0 1087 788 0 0 54048 27622 0 0 54389 27890 0 0 1087 0 0 548 816 509 5044 0 0 3.9958 3.9958 -86.573 -3.9958 0 0 742403. 2568.87 0.31 0.07 0.12 -1 -1 0.31 0.0221117 0.0195819 77 60 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 6.59 vpr 62.17 MiB 0.03 6624 -1 -1 15 0.28 -1 -1 33244 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63660 32 32 253 285 1 192 89 17 17 289 -1 unnamed_device 23.5 MiB 0.30 1122 62.2 MiB 0.10 0.00 7.12896 -136.985 -7.12896 7.12896 0.85 0.0006759 0.000615388 0.041001 0.0376511 36 2951 42 6.55708e+06 301375 612192. 2118.31 2.84 0.256656 0.227494 22750 144809 -1 2377 18 1044 3075 175221 41714 0 0 175221 41714 3075 1361 0 0 10478 8575 0 0 16340 12203 0 0 3075 1666 0 0 70399 9022 0 0 71854 8887 0 0 3075 0 0 2031 3227 4050 24681 0 0 7.36935 7.36935 -154.505 -7.36935 0 0 782063. 2706.10 0.33 0.08 0.14 -1 -1 0.33 0.0339334 0.0306316 161 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 7.40 vpr 62.49 MiB 0.03 6484 -1 -1 12 0.33 -1 -1 32704 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63992 32 32 309 341 1 232 95 17 17 289 -1 unnamed_device 23.8 MiB 0.24 1431 62.5 MiB 0.14 0.00 5.73938 -123.875 -5.73938 5.73938 0.96 0.000793959 0.000725584 0.062054 0.0566558 36 3842 23 6.55708e+06 373705 612192. 2118.31 3.64 0.275837 0.247538 22750 144809 -1 3060 18 1472 4790 249239 58366 0 0 249239 58366 4790 2122 0 0 15996 13102 0 0 24568 18212 0 0 4790 2726 0 0 98364 11200 0 0 100731 11004 0 0 4790 0 0 3318 6266 7461 45575 0 0 6.09998 6.09998 -140.818 -6.09998 0 0 782063. 2706.10 0.28 0.07 0.14 -1 -1 0.28 0.0252556 0.0229408 218 214 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 7.83 vpr 62.31 MiB 0.02 6800 -1 -1 13 0.36 -1 -1 32708 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63808 32 32 289 321 1 218 92 17 17 289 -1 unnamed_device 23.7 MiB 0.30 1446 62.3 MiB 0.10 0.00 5.98944 -130.404 -5.98944 5.98944 1.04 0.00080296 0.000727037 0.0389874 0.0354397 28 4509 37 6.55708e+06 337540 500653. 1732.36 4.04 0.18527 0.164949 21310 115450 -1 3463 20 1789 5615 381497 91851 0 0 381497 91851 5615 2839 0 0 18900 15415 0 0 30498 21946 0 0 5615 3522 0 0 158350 24311 0 0 162519 23818 0 0 5615 0 0 3826 8807 8550 51504 0 0 6.47024 6.47024 -155.687 -6.47024 0 0 612192. 2118.31 0.27 0.14 0.11 -1 -1 0.27 0.0434894 0.0393849 196 194 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 4.92 vpr 61.84 MiB 0.02 6516 -1 -1 12 0.22 -1 -1 32288 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63320 32 32 239 271 1 188 86 17 17 289 -1 unnamed_device 23.3 MiB 0.30 1170 61.8 MiB 0.07 0.00 5.35486 -120.577 -5.35486 5.35486 1.06 0.000430253 0.000382552 0.0268862 0.0246165 30 2693 26 6.55708e+06 265210 526063. 1820.29 1.18 0.129043 0.114709 21886 126133 -1 2367 14 933 2580 129392 30839 0 0 129392 30839 2580 1334 0 0 8510 6756 0 0 12081 9295 0 0 2580 1538 0 0 52341 5916 0 0 51300 6000 0 0 2580 0 0 1647 2416 2702 18225 0 0 5.80812 5.80812 -136.791 -5.80812 0 0 666494. 2306.21 0.26 0.06 0.10 -1 -1 0.26 0.0260497 0.0236088 146 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 5.40 vpr 61.54 MiB 0.02 6456 -1 -1 11 0.20 -1 -1 32652 -1 -1 23 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63020 30 32 213 245 1 162 85 17 17 289 -1 unnamed_device 23.2 MiB 0.24 1033 61.5 MiB 0.07 0.00 5.08892 -110.458 -5.08892 5.08892 1.05 0.000345903 0.000318238 0.0302083 0.0277768 28 2725 32 6.55708e+06 277265 500653. 1732.36 1.66 0.133341 0.118704 21310 115450 -1 2388 22 1068 2956 249075 79655 0 0 249075 79655 2956 1687 0 0 10091 8216 0 0 15933 11972 0 0 2956 1911 0 0 109105 29187 0 0 108034 26682 0 0 2956 0 0 1888 3187 3202 21253 0 0 5.20912 5.20912 -124.621 -5.20912 0 0 612192. 2118.31 0.26 0.10 0.12 -1 -1 0.26 0.0296285 0.0264679 128 122 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 5.48 vpr 61.76 MiB 0.02 6464 -1 -1 11 0.20 -1 -1 32388 -1 -1 27 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63244 28 32 221 253 1 183 87 17 17 289 -1 unnamed_device 23.2 MiB 0.33 1194 61.8 MiB 0.04 0.00 5.38078 -108.16 -5.38078 5.38078 1.03 0.000357562 0.00032929 0.015192 0.0139785 30 2973 39 6.55708e+06 325485 526063. 1820.29 1.73 0.116935 0.104045 21886 126133 -1 2344 16 917 2738 135686 31416 0 0 135686 31416 2738 1391 0 0 8790 7004 0 0 12341 9350 0 0 2738 1610 0 0 53320 6289 0 0 55759 5772 0 0 2738 0 0 1821 2988 2979 20478 0 0 5.71746 5.71746 -125.633 -5.71746 0 0 666494. 2306.21 0.30 0.07 0.12 -1 -1 0.30 0.026761 0.0241453 142 134 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 6.65 vpr 62.31 MiB 0.02 6472 -1 -1 12 0.22 -1 -1 32336 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63804 32 32 273 305 1 210 92 17 17 289 -1 unnamed_device 23.6 MiB 0.25 1310 62.3 MiB 0.07 0.00 5.77598 -133.314 -5.77598 5.77598 1.00 0.000597814 0.000546843 0.0271942 0.0249169 28 3716 39 6.55708e+06 337540 500653. 1732.36 3.01 0.173363 0.154403 21310 115450 -1 3175 19 1467 3807 244517 56270 0 0 244517 56270 3807 2090 0 0 13406 10824 0 0 19857 15279 0 0 3807 2499 0 0 101837 12861 0 0 101803 12717 0 0 3807 0 0 2340 3914 4049 25474 0 0 6.01638 6.01638 -158.706 -6.01638 0 0 612192. 2118.31 0.27 0.10 0.10 -1 -1 0.27 0.0337695 0.0302292 180 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 5.52 vpr 61.87 MiB 0.02 6444 -1 -1 11 0.22 -1 -1 32648 -1 -1 23 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63352 31 32 238 270 1 182 86 17 17 289 -1 unnamed_device 23.4 MiB 0.35 1025 61.9 MiB 0.03 0.00 5.53052 -114.027 -5.53052 5.53052 0.71 0.00036149 0.000331132 0.0121137 0.0112042 28 3148 48 6.55708e+06 277265 500653. 1732.36 1.92 0.123615 0.109235 21310 115450 -1 2612 28 1658 4662 404739 139832 0 0 404739 139832 4662 2570 0 0 15597 13056 0 0 26515 18895 0 0 4662 3110 0 0 172681 50033 0 0 180622 52168 0 0 4662 0 0 3004 5275 5185 33552 0 0 5.94198 5.94198 -138.967 -5.94198 0 0 612192. 2118.31 0.28 0.17 0.12 -1 -1 0.28 0.0454509 0.040834 147 145 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 5.15 vpr 61.60 MiB 0.02 6492 -1 -1 10 0.17 -1 -1 32808 -1 -1 24 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63080 29 32 221 253 1 165 85 17 17 289 -1 unnamed_device 23.2 MiB 0.27 909 61.6 MiB 0.09 0.00 5.05172 -101.001 -5.05172 5.05172 1.03 0.000580354 0.000531418 0.0408558 0.0374269 30 2450 43 6.55708e+06 289320 526063. 1820.29 1.47 0.161423 0.14415 21886 126133 -1 1750 15 828 2365 105867 26480 0 0 105867 26480 2365 1150 0 0 7690 6227 0 0 10601 8131 0 0 2365 1366 0 0 41023 4869 0 0 41823 4737 0 0 2365 0 0 1537 2730 2599 19109 0 0 5.41232 5.41232 -113.336 -5.41232 0 0 666494. 2306.21 0.30 0.06 0.12 -1 -1 0.30 0.0256799 0.0232057 138 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 7.40 vpr 62.76 MiB 0.03 6788 -1 -1 13 0.45 -1 -1 33124 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64264 32 32 333 365 1 249 97 17 17 289 -1 unnamed_device 24.2 MiB 0.38 1576 62.8 MiB 0.07 0.00 6.0409 -126.834 -6.0409 6.0409 1.07 0.000829125 0.000759483 0.0297409 0.0272505 36 3827 20 6.55708e+06 397815 612192. 2118.31 3.08 0.245829 0.218477 22750 144809 -1 3308 17 1444 4775 284009 62990 0 0 284009 62990 4775 2013 0 0 16169 13093 0 0 25389 19068 0 0 4775 2504 0 0 114547 13778 0 0 118354 12534 0 0 4775 0 0 3331 8111 7923 53562 0 0 6.5217 6.5217 -146.708 -6.5217 0 0 782063. 2706.10 0.35 0.13 0.15 -1 -1 0.35 0.0462793 0.0420006 239 238 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 7.49 vpr 62.43 MiB 0.02 6688 -1 -1 13 0.38 -1 -1 32988 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63924 32 32 297 329 1 227 93 17 17 289 -1 unnamed_device 23.7 MiB 0.51 1472 62.4 MiB 0.08 0.00 6.46824 -141.83 -6.46824 6.46824 1.05 0.000796311 0.000729898 0.0330976 0.0303724 38 3616 28 6.55708e+06 349595 638502. 2209.35 3.12 0.240905 0.213941 23326 155178 -1 2987 19 1352 4529 234692 52854 0 0 234692 52854 4529 1923 0 0 14490 12151 0 0 21988 15965 0 0 4529 2455 0 0 91860 10654 0 0 97296 9706 0 0 4529 0 0 3177 6870 6784 45214 0 0 6.7601 6.7601 -155.469 -6.7601 0 0 851065. 2944.86 0.36 0.10 0.16 -1 -1 0.36 0.0396994 0.0357662 203 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 5.93 vpr 61.64 MiB 0.02 6460 -1 -1 12 0.20 -1 -1 32820 -1 -1 25 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63124 31 32 234 266 1 181 88 17 17 289 -1 unnamed_device 23.1 MiB 0.38 1186 61.6 MiB 0.05 0.00 5.38078 -116.722 -5.38078 5.38078 1.00 0.00036031 0.000329601 0.0178176 0.0163868 28 3233 50 6.55708e+06 301375 500653. 1732.36 2.21 0.13816 0.122817 21310 115450 -1 2778 17 1170 3256 214775 47553 0 0 214775 47553 3256 1856 0 0 11139 9010 0 0 17300 12849 0 0 3256 2143 0 0 87970 11347 0 0 91854 10348 0 0 3256 0 0 2086 4331 4227 25878 0 0 6.26398 6.26398 -147.274 -6.26398 0 0 612192. 2118.31 0.30 0.10 0.12 -1 -1 0.30 0.0332516 0.0303959 150 141 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 5.44 vpr 62.69 MiB 0.02 6632 -1 -1 12 0.32 -1 -1 33232 -1 -1 34 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64192 31 32 310 342 1 234 97 17 17 289 -1 unnamed_device 24.0 MiB 0.27 1486 62.7 MiB 0.13 0.00 6.3969 -132.406 -6.3969 6.3969 0.73 0.000786182 0.000720072 0.0554815 0.0508126 30 4027 35 6.55708e+06 409870 526063. 1820.29 1.78 0.217146 0.194598 21886 126133 -1 2997 17 1516 4545 218088 52717 0 0 218088 52717 4545 2130 0 0 14743 12059 0 0 20835 15805 0 0 4545 2587 0 0 86604 9943 0 0 86816 10193 0 0 4545 0 0 3029 4450 5357 35659 0 0 6.5955 6.5955 -149.916 -6.5955 0 0 666494. 2306.21 0.31 0.11 0.13 -1 -1 0.31 0.0420813 0.0382399 219 217 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 8.03 vpr 62.34 MiB 0.02 6860 -1 -1 14 0.45 -1 -1 33204 -1 -1 28 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63832 31 32 284 316 1 221 91 17 17 289 -1 unnamed_device 23.8 MiB 0.28 1494 62.3 MiB 0.10 0.00 6.5543 -132.531 -6.5543 6.5543 0.95 0.00092049 0.000860501 0.0419665 0.0384347 28 4146 47 6.55708e+06 337540 500653. 1732.36 3.95 0.206156 0.183487 21310 115450 -1 3460 18 1506 4257 264877 60315 0 0 264877 60315 4257 2434 0 0 14519 11932 0 0 22917 17112 0 0 4257 2838 0 0 109856 12756 0 0 109071 13243 0 0 4257 0 0 2751 4128 5340 30640 0 0 7.20876 7.20876 -157.979 -7.20876 0 0 612192. 2118.31 0.28 0.12 0.11 -1 -1 0.28 0.0432622 0.0393497 194 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 6.50 vpr 62.26 MiB 0.02 6656 -1 -1 13 0.32 -1 -1 32836 -1 -1 28 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63752 31 32 271 303 1 212 91 17 17 289 -1 unnamed_device 23.7 MiB 0.36 1357 62.3 MiB 0.09 0.00 6.74784 -138.35 -6.74784 6.74784 0.80 0.00072237 0.000662805 0.0354342 0.0323485 36 3521 21 6.55708e+06 337540 612192. 2118.31 2.92 0.24022 0.214926 22750 144809 -1 2948 18 1252 3568 196484 45987 0 0 196484 45987 3568 1792 0 0 12225 9848 0 0 18346 14045 0 0 3568 2242 0 0 79383 9107 0 0 79394 8953 0 0 3568 0 0 2316 3148 3822 24258 0 0 6.98824 6.98824 -155.141 -6.98824 0 0 782063. 2706.10 0.33 0.09 0.13 -1 -1 0.33 0.0347968 0.0312576 181 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 6.80 vpr 62.24 MiB 0.02 6812 -1 -1 12 0.31 -1 -1 33024 -1 -1 30 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63736 31 32 280 312 1 211 93 17 17 289 -1 unnamed_device 23.7 MiB 0.56 1398 62.2 MiB 0.08 0.00 5.59164 -120.742 -5.59164 5.59164 0.74 0.000453279 0.000418531 0.0330276 0.0303602 30 3864 28 6.55708e+06 361650 526063. 1820.29 2.90 0.164635 0.14679 21886 126133 -1 2948 16 1288 4021 199084 46001 0 0 199084 46001 4021 1832 0 0 12790 10496 0 0 18015 13487 0 0 4021 2164 0 0 79875 9109 0 0 80362 8913 0 0 4021 0 0 2733 5043 5381 36054 0 0 6.19264 6.19264 -140.729 -6.19264 0 0 666494. 2306.21 0.30 0.09 0.13 -1 -1 0.30 0.0341507 0.0309899 189 187 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 4.61 vpr 62.17 MiB 0.02 6668 -1 -1 12 0.25 -1 -1 32852 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63660 32 32 264 296 1 194 88 17 17 289 -1 unnamed_device 23.6 MiB 0.22 1307 62.2 MiB 0.06 0.00 5.8025 -120.324 -5.8025 5.8025 0.96 0.000448733 0.000411283 0.0255283 0.0233404 28 3479 25 6.55708e+06 289320 500653. 1732.36 1.21 0.0978371 0.0869144 21310 115450 -1 2919 21 1407 4273 267080 59935 0 0 267080 59935 4273 2215 0 0 14928 12092 0 0 22487 16965 0 0 4273 2629 0 0 112241 12919 0 0 108878 13115 0 0 4273 0 0 2866 5788 6178 37473 0 0 6.4035 6.4035 -146.429 -6.4035 0 0 612192. 2118.31 0.27 0.11 0.10 -1 -1 0.27 0.0378177 0.0338848 172 169 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 10.79 vpr 62.63 MiB 0.03 6752 -1 -1 14 0.59 -1 -1 32464 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64132 32 32 339 371 1 259 98 17 17 289 -1 unnamed_device 24.2 MiB 0.46 1718 62.6 MiB 0.10 0.00 6.5197 -139.307 -6.5197 6.5197 1.05 0.000885697 0.000802829 0.0387137 0.0353415 36 4621 48 6.55708e+06 409870 612192. 2118.31 6.18 0.349931 0.311829 22750 144809 -1 3784 18 1642 5599 336028 74024 0 0 336028 74024 5599 2498 0 0 18807 15497 0 0 29996 21815 0 0 5599 3085 0 0 140910 14995 0 0 135117 16134 0 0 5599 0 0 3957 8954 9606 59434 0 0 6.9613 6.9613 -159.243 -6.9613 0 0 782063. 2706.10 0.34 0.13 0.15 -1 -1 0.34 0.0451609 0.0409899 245 244 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 7.81 vpr 61.93 MiB 0.02 6604 -1 -1 11 0.24 -1 -1 32416 -1 -1 26 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63420 31 32 246 278 1 188 89 17 17 289 -1 unnamed_device 23.3 MiB 0.30 1203 61.9 MiB 0.12 0.00 5.30238 -114.4 -5.30238 5.30238 0.82 0.000659921 0.000606667 0.0522492 0.0478994 28 3596 33 6.55708e+06 313430 500653. 1732.36 4.11 0.187959 0.168605 21310 115450 -1 3033 19 1307 3594 283042 72537 0 0 283042 72537 3594 1976 0 0 12162 9987 0 0 19153 14179 0 0 3594 2320 0 0 123875 22168 0 0 120664 21907 0 0 3594 0 0 2287 3880 4164 25459 0 0 5.69252 5.69252 -137.695 -5.69252 0 0 612192. 2118.31 0.27 0.12 0.11 -1 -1 0.27 0.0354353 0.0319811 160 153 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 6.30 vpr 62.28 MiB 0.02 6700 -1 -1 13 0.33 -1 -1 32664 -1 -1 27 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63772 31 32 268 300 1 203 90 17 17 289 -1 unnamed_device 23.8 MiB 0.52 1363 62.3 MiB 0.07 0.00 6.33076 -127.785 -6.33076 6.33076 1.06 0.000738878 0.000676626 0.0264715 0.0243779 38 3051 17 6.55708e+06 325485 638502. 2209.35 2.11 0.186642 0.165831 23326 155178 -1 2775 16 1193 3928 199350 45267 0 0 199350 45267 3928 1668 0 0 12598 10384 0 0 18521 13637 0 0 3928 2106 0 0 80103 8776 0 0 80272 8696 0 0 3928 0 0 2735 5601 5913 38479 0 0 6.6007 6.6007 -141.803 -6.6007 0 0 851065. 2944.86 0.24 0.09 0.11 -1 -1 0.24 0.0326688 0.0296266 177 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 6.33 vpr 62.36 MiB 0.03 6604 -1 -1 12 0.34 -1 -1 32716 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63860 32 32 318 350 1 229 98 17 17 289 -1 unnamed_device 23.8 MiB 0.38 1554 62.4 MiB 0.09 0.00 6.01898 -130.646 -6.01898 6.01898 1.05 0.00086304 0.000782684 0.0359263 0.0325865 30 4118 24 6.55708e+06 409870 526063. 1820.29 2.29 0.168051 0.149803 21886 126133 -1 3243 16 1375 4919 240871 55666 0 0 240871 55666 4919 2009 0 0 15813 13146 0 0 23013 17144 0 0 4919 2506 0 0 96922 10171 0 0 95285 10690 0 0 4919 0 0 3544 7200 8029 51294 0 0 6.14118 6.14118 -145.751 -6.14118 0 0 666494. 2306.21 0.28 0.11 0.11 -1 -1 0.28 0.0416474 0.0377206 227 223 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 6.77 vpr 62.29 MiB 0.02 6712 -1 -1 13 0.32 -1 -1 32712 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63784 32 32 273 305 1 205 92 17 17 289 -1 unnamed_device 23.8 MiB 0.23 1234 62.3 MiB 0.13 0.00 6.30884 -130.584 -6.30884 6.30884 1.04 0.000753288 0.000675348 0.0549021 0.049769 34 3512 44 6.55708e+06 337540 585099. 2024.56 2.78 0.287537 0.255583 22462 138074 -1 2902 19 1346 3788 226808 53637 0 0 226808 53637 3788 2011 0 0 13464 11198 0 0 21154 16003 0 0 3788 2471 0 0 90387 11332 0 0 94227 10622 0 0 3788 0 0 2442 3612 4001 26659 0 0 6.63024 6.63024 -151.193 -6.63024 0 0 742403. 2568.87 0.31 0.10 0.14 -1 -1 0.31 0.0343298 0.0308005 184 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 6.66 vpr 62.05 MiB 0.02 6696 -1 -1 13 0.28 -1 -1 32648 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63536 32 32 269 301 1 197 89 17 17 289 -1 unnamed_device 23.6 MiB 0.39 1223 62.0 MiB 0.13 0.00 6.1219 -132.483 -6.1219 6.1219 0.70 0.000688363 0.000628951 0.0547404 0.0499375 28 3861 37 6.55708e+06 301375 500653. 1732.36 3.21 0.196924 0.175646 21310 115450 -1 2959 18 1265 3737 254345 60402 0 0 254345 60402 3737 2006 0 0 13153 10753 0 0 20133 15477 0 0 3737 2355 0 0 107158 15143 0 0 106427 14668 0 0 3737 0 0 2472 5354 5319 34050 0 0 6.55124 6.55124 -153.126 -6.55124 0 0 612192. 2118.31 0.28 0.11 0.10 -1 -1 0.28 0.0387396 0.035128 175 174 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 6.73 vpr 62.41 MiB 0.02 6736 -1 -1 12 0.36 -1 -1 32984 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63904 32 32 298 330 1 223 95 17 17 289 -1 unnamed_device 23.9 MiB 0.78 1434 62.4 MiB 0.08 0.00 5.63344 -124.299 -5.63344 5.63344 1.00 0.000679114 0.000602993 0.0317242 0.028615 30 3566 34 6.55708e+06 373705 526063. 1820.29 2.29 0.189185 0.167716 21886 126133 -1 3068 17 1320 4653 220849 51300 0 0 220849 51300 4653 1861 0 0 14809 12266 0 0 21318 15855 0 0 4653 2318 0 0 88129 9461 0 0 87287 9539 0 0 4653 0 0 3333 6736 7628 50718 0 0 5.87384 5.87384 -142.341 -5.87384 0 0 666494. 2306.21 0.29 0.11 0.13 -1 -1 0.29 0.0417233 0.037962 205 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 7.15 vpr 62.44 MiB 0.02 6572 -1 -1 13 0.36 -1 -1 32704 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63940 32 32 299 331 1 235 93 17 17 289 -1 unnamed_device 23.8 MiB 0.44 1543 62.4 MiB 0.08 0.00 6.2813 -128.6 -6.2813 6.2813 1.05 0.000817591 0.000749419 0.0331982 0.0304942 30 4025 33 6.55708e+06 349595 526063. 1820.29 2.99 0.186885 0.167272 21886 126133 -1 3192 16 1409 4328 215059 50307 0 0 215059 50307 4328 2018 0 0 14025 11479 0 0 19732 14983 0 0 4328 2476 0 0 85682 9678 0 0 86964 9673 0 0 4328 0 0 2919 5449 5656 38126 0 0 6.6393 6.6393 -149.704 -6.6393 0 0 666494. 2306.21 0.31 0.10 0.13 -1 -1 0.31 0.0388556 0.0354217 205 204 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 8.12 vpr 62.03 MiB 0.02 6692 -1 -1 14 0.34 -1 -1 32820 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63520 32 32 259 291 1 193 89 17 17 289 -1 unnamed_device 23.7 MiB 0.47 1261 62.0 MiB 0.11 0.00 6.5151 -134.739 -6.5151 6.5151 1.03 0.000708374 0.000649768 0.0424853 0.0389267 26 3794 44 6.55708e+06 301375 477104. 1650.88 3.91 0.19041 0.169816 21022 109990 -1 3065 22 1508 4850 359648 93627 0 0 359648 93627 4850 2520 0 0 16749 13879 0 0 27679 19474 0 0 4850 3045 0 0 152551 27903 0 0 152969 26806 0 0 4850 0 0 3342 6902 6454 41180 0 0 7.0769 7.0769 -159.431 -7.0769 0 0 585099. 2024.56 0.26 0.14 0.11 -1 -1 0.26 0.0440303 0.0397928 167 164 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 6.35 vpr 62.25 MiB 0.02 6720 -1 -1 13 0.34 -1 -1 32912 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63744 32 32 293 325 1 226 94 17 17 289 -1 unnamed_device 23.6 MiB 0.66 1449 62.2 MiB 0.08 0.00 6.52936 -137.992 -6.52936 6.52936 1.04 0.00076207 0.000699162 0.032782 0.0299967 30 3702 33 6.55708e+06 361650 526063. 1820.29 2.05 0.178597 0.159474 21886 126133 -1 2978 17 1440 4116 205340 48682 0 0 205340 48682 4116 1899 0 0 13679 11270 0 0 19319 14919 0 0 4116 2457 0 0 80990 9200 0 0 83120 8937 0 0 4116 0 0 2676 4421 4324 29921 0 0 6.97096 6.97096 -156.27 -6.97096 0 0 666494. 2306.21 0.28 0.10 0.12 -1 -1 0.28 0.0365091 0.0329395 199 198 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 6.31 vpr 62.51 MiB 0.03 6692 -1 -1 13 0.35 -1 -1 32912 -1 -1 32 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64012 31 32 311 343 1 231 95 17 17 289 -1 unnamed_device 24.0 MiB 0.37 1538 62.5 MiB 0.11 0.00 6.88536 -140.416 -6.88536 6.88536 0.96 0.00071103 0.000650185 0.045082 0.040974 30 3784 36 6.55708e+06 385760 526063. 1820.29 2.24 0.219724 0.196636 21886 126133 -1 3216 27 1356 4505 388719 148736 0 0 388719 148736 4505 2039 0 0 14444 11854 0 0 21585 16004 0 0 4505 2492 0 0 175554 60149 0 0 168126 56198 0 0 4505 0 0 3149 6707 6627 42736 0 0 7.56736 7.56736 -161.365 -7.56736 0 0 666494. 2306.21 0.29 0.19 0.11 -1 -1 0.29 0.0570923 0.0513686 221 218 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 9.06 vpr 62.64 MiB 0.02 6644 -1 -1 12 0.36 -1 -1 32596 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64144 32 32 324 356 1 240 96 17 17 289 -1 unnamed_device 24.1 MiB 0.54 1611 62.6 MiB 0.10 0.00 6.31084 -138.51 -6.31084 6.31084 0.97 0.00080519 0.000731012 0.0418157 0.0380536 36 4066 32 6.55708e+06 385760 612192. 2118.31 4.77 0.305034 0.273029 22750 144809 -1 3388 16 1457 4583 247995 56805 0 0 247995 56805 4583 2080 0 0 15504 12382 0 0 23559 17726 0 0 4583 2596 0 0 98362 11373 0 0 101404 10648 0 0 4583 0 0 3126 5582 5982 37617 0 0 6.79164 6.79164 -157.384 -6.79164 0 0 782063. 2706.10 0.34 0.11 0.13 -1 -1 0.34 0.0393003 0.0356376 231 229 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 5.22 vpr 61.75 MiB 0.02 6520 -1 -1 11 0.17 -1 -1 32364 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63236 32 32 216 248 1 165 83 17 17 289 -1 unnamed_device 23.4 MiB 0.26 1077 61.8 MiB 0.09 0.00 4.97132 -113.985 -4.97132 4.97132 1.02 0.000552318 0.000504346 0.0385452 0.0353209 28 2882 40 6.55708e+06 229045 500653. 1732.36 1.65 0.165813 0.147725 21310 115450 -1 2427 19 974 2723 175659 41053 0 0 175659 41053 2723 1543 0 0 9432 7597 0 0 14699 10890 0 0 2723 1757 0 0 73509 9675 0 0 72573 9591 0 0 2723 0 0 1749 2735 2771 18948 0 0 5.21172 5.21172 -134.068 -5.21172 0 0 612192. 2118.31 0.27 0.08 0.12 -1 -1 0.27 0.0268845 0.0241118 127 121 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 6.54 vpr 61.92 MiB 0.02 6468 -1 -1 13 0.22 -1 -1 32812 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63408 32 32 245 277 1 195 91 17 17 289 -1 unnamed_device 23.4 MiB 0.61 1303 61.9 MiB 0.08 0.00 6.82684 -145.66 -6.82684 6.82684 1.05 0.000556905 0.000508583 0.0223153 0.020677 28 3437 31 6.55708e+06 325485 500653. 1732.36 2.46 0.165853 0.148949 21310 115450 -1 3037 32 1236 3598 383642 141872 0 0 383642 141872 3598 2063 0 0 12302 9858 0 0 20495 14797 0 0 3598 2400 0 0 170741 57451 0 0 172908 55303 0 0 3598 0 0 2362 4879 4907 28676 0 0 7.06724 7.06724 -164.178 -7.06724 0 0 612192. 2118.31 0.27 0.18 0.12 -1 -1 0.27 0.0520163 0.0465253 156 150 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 8.88 vpr 62.63 MiB 0.03 6728 -1 -1 14 0.54 -1 -1 33036 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64136 32 32 361 393 1 263 100 17 17 289 -1 unnamed_device 24.5 MiB 0.50 1725 62.6 MiB 0.09 0.00 7.24596 -154.761 -7.24596 7.24596 1.01 0.00093799 0.000840381 0.0359769 0.0323259 34 4913 41 6.55708e+06 433980 585099. 2024.56 4.47 0.384418 0.343733 22462 138074 -1 3927 17 1862 5681 336064 76881 0 0 336064 76881 5681 2687 0 0 20263 16516 0 0 30736 23414 0 0 5681 3355 0 0 133770 16221 0 0 139933 14688 0 0 5681 0 0 3819 7637 7863 47800 0 0 7.75889 7.75889 -176.946 -7.75889 0 0 742403. 2568.87 0.32 0.09 0.14 -1 -1 0.32 0.0304986 0.0278873 267 266 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 6.54 vpr 62.84 MiB 0.02 6660 -1 -1 13 0.40 -1 -1 32748 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64348 32 32 318 350 1 241 95 17 17 289 -1 unnamed_device 24.2 MiB 0.47 1465 62.8 MiB 0.12 0.00 6.59044 -139.011 -6.59044 6.59044 0.88 0.000841584 0.000768696 0.0509515 0.046502 28 3976 33 6.55708e+06 373705 500653. 1732.36 2.50 0.207423 0.184452 21310 115450 -1 3441 21 1766 5334 296099 68794 0 0 296099 68794 5334 2825 0 0 18114 14821 0 0 28604 20813 0 0 5334 3257 0 0 117480 13780 0 0 121233 13298 0 0 5334 0 0 3568 6973 7441 47018 0 0 6.9215 6.9215 -159.535 -6.9215 0 0 612192. 2118.31 0.29 0.13 0.12 -1 -1 0.29 0.0487874 0.0441226 224 223 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 4.96 vpr 61.94 MiB 0.04 6636 -1 -1 11 0.20 -1 -1 32684 -1 -1 23 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63428 30 32 223 255 1 165 85 17 17 289 -1 unnamed_device 23.4 MiB 0.26 975 61.9 MiB 0.07 0.00 5.42198 -108.929 -5.42198 5.42198 0.95 0.000577603 0.00052123 0.0312369 0.0285156 30 2379 21 6.55708e+06 277265 526063. 1820.29 1.34 0.12248 0.109673 21886 126133 -1 2022 16 884 2765 129618 31015 0 0 129618 31015 2765 1144 0 0 8807 7227 0 0 12997 9527 0 0 2765 1453 0 0 52165 5745 0 0 50119 5919 0 0 2765 0 0 1881 2988 3506 22870 0 0 5.70158 5.70158 -126.334 -5.70158 0 0 666494. 2306.21 0.29 0.07 0.12 -1 -1 0.29 0.027463 0.0248776 137 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 7.61 vpr 62.64 MiB 0.03 6892 -1 -1 15 0.59 -1 -1 33016 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64144 32 32 335 367 1 253 97 17 17 289 -1 unnamed_device 24.1 MiB 0.34 1747 62.6 MiB 0.06 0.00 7.16555 -148.955 -7.16555 7.16555 0.67 0.000896931 0.000819892 0.0227808 0.020973 30 4786 43 6.55708e+06 397815 526063. 1820.29 3.86 0.188815 0.167244 21886 126133 -1 3774 25 2200 7451 429765 102848 0 0 429765 102848 7451 3328 0 0 23719 19757 0 0 36314 26314 0 0 7451 4112 0 0 175955 24988 0 0 178875 24349 0 0 7451 0 0 5251 11345 11502 72903 0 0 8.03389 8.03389 -182.171 -8.03389 0 0 666494. 2306.21 0.28 0.17 0.12 -1 -1 0.28 0.0553336 0.049805 241 240 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 8.18 vpr 62.66 MiB 0.02 6692 -1 -1 13 0.42 -1 -1 33244 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64168 32 32 301 333 1 226 93 17 17 289 -1 unnamed_device 24.0 MiB 0.46 1460 62.7 MiB 0.16 0.00 6.4015 -131.383 -6.4015 6.4015 0.80 0.000794168 0.000725466 0.0699548 0.0641398 36 3907 25 6.55708e+06 349595 612192. 2118.31 4.14 0.29935 0.266859 22750 144809 -1 3139 17 1413 4002 220522 51820 0 0 220522 51820 4002 2072 0 0 13664 10994 0 0 20337 15491 0 0 4002 2571 0 0 87958 10677 0 0 90559 10015 0 0 4002 0 0 2589 4277 4257 29065 0 0 6.6419 6.6419 -151.584 -6.6419 0 0 782063. 2706.10 0.31 0.09 0.12 -1 -1 0.31 0.0381028 0.0344873 207 206 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 5.25 vpr 61.82 MiB 0.02 6428 -1 -1 11 0.17 -1 -1 32676 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63300 32 32 238 270 1 183 88 17 17 289 -1 unnamed_device 23.3 MiB 0.28 1185 61.8 MiB 0.06 0.00 5.37818 -115.152 -5.37818 5.37818 0.96 0.000584506 0.000535321 0.0216657 0.01985 28 2962 31 6.55708e+06 289320 500653. 1732.36 1.63 0.113757 0.101138 21310 115450 -1 2540 17 1034 2789 158079 37021 0 0 158079 37021 2789 1434 0 0 9672 7712 0 0 14597 11099 0 0 2789 1667 0 0 63422 7848 0 0 64810 7261 0 0 2789 0 0 1755 3020 3161 21105 0 0 5.73878 5.73878 -136.047 -5.73878 0 0 612192. 2118.31 0.28 0.07 0.11 -1 -1 0.28 0.0269839 0.0243431 149 143 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 6.82 vpr 62.57 MiB 0.03 6840 -1 -1 12 0.38 -1 -1 32748 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64072 32 32 308 340 1 230 95 17 17 289 -1 unnamed_device 23.9 MiB 0.46 1475 62.6 MiB 0.08 0.00 6.01898 -125.784 -6.01898 6.01898 1.05 0.000820162 0.000740724 0.0321031 0.0294182 30 3747 44 6.55708e+06 373705 526063. 1820.29 2.49 0.208737 0.185902 21886 126133 -1 3147 31 1941 6753 470646 130953 0 0 470646 130953 6753 2946 0 0 21373 17687 0 0 34283 24212 0 0 6753 3735 0 0 204618 41272 0 0 196866 41101 0 0 6753 0 0 4812 12228 12084 74197 0 0 6.19264 6.19264 -143.954 -6.19264 0 0 666494. 2306.21 0.30 0.21 0.11 -1 -1 0.30 0.0661052 0.0588116 217 213 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 5.18 vpr 62.01 MiB 0.02 6416 -1 -1 12 0.26 -1 -1 32376 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63500 32 32 253 285 1 192 90 17 17 289 -1 unnamed_device 23.6 MiB 0.32 1249 62.0 MiB 0.09 0.00 6.2421 -127.001 -6.2421 6.2421 0.87 0.000688038 0.000633236 0.0358621 0.0329752 30 2887 18 6.55708e+06 313430 526063. 1820.29 1.44 0.144122 0.129216 21886 126133 -1 2403 16 1086 3031 136363 33528 0 0 136363 33528 3031 1428 0 0 9872 7986 0 0 13602 10498 0 0 3031 1694 0 0 53870 5928 0 0 52957 5994 0 0 3031 0 0 1945 2811 2711 19890 0 0 6.4825 6.4825 -145.669 -6.4825 0 0 666494. 2306.21 0.29 0.07 0.13 -1 -1 0.29 0.030402 0.0274639 164 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 5.76 vpr 61.75 MiB 0.02 6568 -1 -1 12 0.23 -1 -1 32664 -1 -1 21 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63228 30 32 227 259 1 163 83 17 17 289 -1 unnamed_device 23.3 MiB 0.26 996 61.7 MiB 0.07 0.00 6.02864 -123.283 -6.02864 6.02864 1.03 0.000589725 0.000533752 0.0272869 0.0249674 24 3201 42 6.55708e+06 253155 448715. 1552.65 2.11 0.157717 0.141345 20734 103517 -1 2292 19 1050 3010 182935 42699 0 0 182935 42699 3010 1557 0 0 10994 9032 0 0 16983 12351 0 0 3010 1764 0 0 75004 8981 0 0 73934 9014 0 0 3010 0 0 1960 3561 3834 24367 0 0 6.57818 6.57818 -143.467 -6.57818 0 0 554710. 1919.41 0.24 0.08 0.08 -1 -1 0.24 0.0310829 0.0280351 139 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 6.35 vpr 62.48 MiB 0.02 6712 -1 -1 12 0.39 -1 -1 32732 -1 -1 32 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63980 29 32 292 324 1 222 93 17 17 289 -1 unnamed_device 23.9 MiB 0.32 1365 62.5 MiB 0.05 0.00 5.93798 -112.647 -5.93798 5.93798 0.91 0.000523282 0.00048521 0.0221985 0.0203378 28 3952 24 6.55708e+06 385760 500653. 1732.36 2.37 0.124533 0.110771 21310 115450 -1 3291 19 1644 5233 339839 81182 0 0 339839 81182 5233 2689 0 0 17800 14633 0 0 28050 20590 0 0 5233 3103 0 0 142091 20367 0 0 141432 19800 0 0 5233 0 0 3589 7617 7895 49412 0 0 6.27364 6.27364 -133.803 -6.27364 0 0 612192. 2118.31 0.28 0.15 0.10 -1 -1 0.28 0.0498953 0.0449788 208 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 5.42 vpr 62.53 MiB 0.02 6756 -1 -1 14 0.40 -1 -1 33072 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64028 32 32 316 348 1 253 96 17 17 289 -1 unnamed_device 23.9 MiB 0.52 1500 62.5 MiB 0.10 0.00 6.85076 -144.99 -6.85076 6.85076 0.86 0.000831786 0.000761787 0.0385589 0.0353389 30 3924 22 6.55708e+06 385760 526063. 1820.29 1.69 0.191134 0.171775 21886 126133 -1 3135 17 1554 4431 200118 48503 0 0 200118 48503 4431 2090 0 0 14197 11523 0 0 19573 14976 0 0 4431 2541 0 0 77533 8963 0 0 79953 8410 0 0 4431 0 0 2877 5009 4455 32696 0 0 7.1579 7.1579 -164.639 -7.1579 0 0 666494. 2306.21 0.30 0.10 0.12 -1 -1 0.30 0.0440542 0.0402121 227 221 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 7.29 vpr 62.41 MiB 0.03 6640 -1 -1 12 0.24 -1 -1 32736 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63908 32 32 286 318 1 212 91 17 17 289 -1 unnamed_device 23.8 MiB 0.52 1468 62.4 MiB 0.10 0.00 6.07044 -130.174 -6.07044 6.07044 1.03 0.000755315 0.00069296 0.0405125 0.037 28 4288 34 6.55708e+06 325485 500653. 1732.36 3.23 0.206288 0.185288 21310 115450 -1 3427 27 1769 5498 472612 143213 0 0 472612 143213 5498 2927 0 0 18366 14646 0 0 29606 21267 0 0 5498 3525 0 0 208128 51924 0 0 205516 48924 0 0 5498 0 0 3729 7764 8122 47943 0 0 6.74984 6.74984 -158.113 -6.74984 0 0 612192. 2118.31 0.27 0.20 0.11 -1 -1 0.27 0.0567633 0.0509589 192 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 5.19 vpr 61.66 MiB 0.02 6508 -1 -1 12 0.18 -1 -1 32672 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63144 32 32 221 253 1 168 87 17 17 289 -1 unnamed_device 23.2 MiB 0.51 1147 61.7 MiB 0.06 0.00 5.37878 -117.138 -5.37878 5.37878 1.02 0.000576802 0.000529371 0.0221917 0.020442 30 2590 28 6.55708e+06 277265 526063. 1820.29 1.32 0.124647 0.1114 21886 126133 -1 2270 17 847 2646 139902 32565 0 0 139902 32565 2646 1266 0 0 8797 7249 0 0 12733 9702 0 0 2646 1522 0 0 57590 6200 0 0 55490 6626 0 0 2646 0 0 1799 2994 3776 23681 0 0 5.73938 5.73938 -134.415 -5.73938 0 0 666494. 2306.21 0.30 0.07 0.08 -1 -1 0.30 0.0276254 0.0250085 133 126 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 4.72 vpr 62.01 MiB 0.02 6672 -1 -1 12 0.27 -1 -1 32296 -1 -1 25 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63500 31 32 261 293 1 199 88 17 17 289 -1 unnamed_device 23.4 MiB 0.33 1122 62.0 MiB 0.13 0.00 6.1611 -118.405 -6.1611 6.1611 1.01 0.000684565 0.000616185 0.0542708 0.0494339 30 3094 21 6.55708e+06 301375 526063. 1820.29 0.90 0.117466 0.104815 21886 126133 -1 2281 17 1036 2784 127848 32273 0 0 127848 32273 2784 1406 0 0 9238 7478 0 0 12687 9910 0 0 2784 1645 0 0 48432 6112 0 0 51923 5722 0 0 2784 0 0 1748 2954 2959 20907 0 0 6.4825 6.4825 -134.739 -6.4825 0 0 666494. 2306.21 0.27 0.07 0.13 -1 -1 0.27 0.0320486 0.0289299 170 168 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 5.67 vpr 62.17 MiB 0.02 6624 -1 -1 11 0.23 -1 -1 32672 -1 -1 28 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63664 30 32 277 309 1 208 90 17 17 289 -1 unnamed_device 23.7 MiB 0.30 1278 62.2 MiB 0.10 0.00 5.13472 -109.701 -5.13472 5.13472 1.07 0.000740827 0.000674124 0.0426223 0.0389087 30 3282 23 6.55708e+06 337540 526063. 1820.29 1.67 0.168934 0.151231 21886 126133 -1 2669 18 1269 4499 212163 49153 0 0 212163 49153 4499 1690 0 0 14177 11531 0 0 20989 15421 0 0 4499 2160 0 0 86063 8824 0 0 81936 9527 0 0 4499 0 0 3230 6376 6591 45866 0 0 5.25492 5.25492 -125.365 -5.25492 0 0 666494. 2306.21 0.29 0.10 0.11 -1 -1 0.29 0.0423708 0.0383223 189 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 6.31 vpr 62.14 MiB 0.03 6740 -1 -1 11 0.26 -1 -1 32596 -1 -1 28 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63628 28 32 251 283 1 194 88 17 17 289 -1 unnamed_device 23.6 MiB 0.44 1218 62.1 MiB 0.09 0.00 5.38078 -98.2735 -5.38078 5.38078 1.07 0.000669031 0.000613212 0.0376073 0.0344873 30 3351 36 6.55708e+06 337540 526063. 1820.29 2.04 0.174592 0.156012 21886 126133 -1 2635 38 1155 3945 452104 216342 0 0 452104 216342 3945 1691 0 0 12540 10459 0 0 19809 14405 0 0 3945 2114 0 0 206010 95344 0 0 205855 92329 0 0 3945 0 0 2790 5520 5533 38506 0 0 5.38078 5.38078 -112.755 -5.38078 0 0 666494. 2306.21 0.30 0.23 0.13 -1 -1 0.30 0.0621367 0.0554544 171 164 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 5.12 vpr 61.84 MiB 0.02 6504 -1 -1 13 0.24 -1 -1 32736 -1 -1 25 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63328 30 32 223 255 1 182 87 17 17 289 -1 unnamed_device 23.3 MiB 0.52 1085 61.8 MiB 0.05 0.00 6.3185 -124.03 -6.3185 6.3185 0.98 0.000499027 0.000455482 0.0179438 0.0163896 30 2690 18 6.55708e+06 301375 526063. 1820.29 1.15 0.108813 0.0969358 21886 126133 -1 2179 16 901 2437 124275 29398 0 0 124275 29398 2437 1229 0 0 8123 6429 0 0 11304 8788 0 0 2437 1444 0 0 49082 6009 0 0 50892 5499 0 0 2437 0 0 1536 2321 2253 16183 0 0 6.3995 6.3995 -139.295 -6.3995 0 0 666494. 2306.21 0.31 0.08 0.13 -1 -1 0.31 0.0286274 0.0259594 142 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 5.51 vpr 62.30 MiB 0.02 6524 -1 -1 12 0.22 -1 -1 32408 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63796 32 32 269 301 1 211 91 17 17 289 -1 unnamed_device 23.6 MiB 0.40 1341 62.3 MiB 0.07 0.00 6.06784 -131.714 -6.06784 6.06784 0.97 0.000656837 0.000601541 0.0296875 0.0270826 28 3612 34 6.55708e+06 325485 500653. 1732.36 1.73 0.171383 0.152517 21310 115450 -1 3028 16 1310 3526 209398 48603 0 0 209398 48603 3526 1998 0 0 12125 9800 0 0 18994 14277 0 0 3526 2326 0 0 86843 9846 0 0 84384 10356 0 0 3526 0 0 2216 3700 4366 25502 0 0 6.30824 6.30824 -151.602 -6.30824 0 0 612192. 2118.31 0.27 0.09 0.10 -1 -1 0.27 0.0324315 0.0292539 180 174 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 7.05 vpr 62.46 MiB 0.03 6608 -1 -1 13 0.35 -1 -1 32732 -1 -1 30 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63960 31 32 283 315 1 212 93 17 17 289 -1 unnamed_device 23.8 MiB 0.43 1207 62.5 MiB 0.13 0.00 6.5609 -125.433 -6.5609 6.5609 1.02 0.000664067 0.00060986 0.0575391 0.0522464 36 3341 32 6.55708e+06 361650 612192. 2118.31 2.80 0.291428 0.258851 22750 144809 -1 2503 18 1218 3643 188149 45260 0 0 188149 45260 3643 1678 0 0 12268 9852 0 0 18722 14058 0 0 3643 2060 0 0 73452 8995 0 0 76421 8617 0 0 3643 0 0 2425 4383 4676 30973 0 0 6.9607 6.9607 -144.237 -6.9607 0 0 782063. 2706.10 0.33 0.10 0.15 -1 -1 0.33 0.039396 0.0355078 195 190 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 7.27 vpr 62.57 MiB 0.02 6712 -1 -1 14 0.37 -1 -1 32812 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64076 32 32 308 340 1 227 95 17 17 289 -1 unnamed_device 24.1 MiB 0.35 1402 62.6 MiB 0.10 0.00 6.9587 -139.321 -6.9587 6.9587 1.01 0.000752474 0.000678997 0.0448014 0.0405755 28 4043 50 6.55708e+06 373705 500653. 1732.36 3.20 0.221226 0.196446 21310 115450 -1 3600 19 1752 5301 432064 117999 0 0 432064 117999 5301 2905 0 0 18363 14829 0 0 29047 21728 0 0 5301 3340 0 0 189264 38944 0 0 184788 36253 0 0 5301 0 0 3549 7788 7984 47816 0 0 7.1991 7.1991 -160.633 -7.1991 0 0 612192. 2118.31 0.28 0.15 0.10 -1 -1 0.28 0.0393783 0.0356584 215 213 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 8.69 vpr 62.38 MiB 0.02 6816 -1 -1 14 0.33 -1 -1 32772 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63872 32 32 277 309 1 210 91 17 17 289 -1 unnamed_device 23.9 MiB 0.43 1362 62.4 MiB 0.09 0.00 6.49016 -128.354 -6.49016 6.49016 0.85 0.00074307 0.000681014 0.0353348 0.0324407 36 3473 45 6.55708e+06 325485 612192. 2118.31 4.94 0.286675 0.255127 22750 144809 -1 2924 18 1231 3895 231142 53346 0 0 231142 53346 3895 1725 0 0 13151 10644 0 0 20721 15336 0 0 3895 2142 0 0 94961 11851 0 0 94519 11648 0 0 3895 0 0 2664 5596 5890 38067 0 0 6.6817 6.6817 -142.967 -6.6817 0 0 782063. 2706.10 0.33 0.10 0.15 -1 -1 0.33 0.0358698 0.0323895 183 182 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 6.03 vpr 62.35 MiB 0.02 6760 -1 -1 13 0.43 -1 -1 33408 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63848 32 32 288 320 1 218 91 17 17 289 -1 unnamed_device 23.7 MiB 0.47 1392 62.4 MiB 0.13 0.00 6.60776 -134.289 -6.60776 6.60776 1.01 0.000703197 0.00064382 0.056702 0.0518553 30 3415 38 6.55708e+06 325485 526063. 1820.29 1.74 0.239814 0.215868 21886 126133 -1 2893 17 1277 3846 195080 45357 0 0 195080 45357 3846 1777 0 0 12409 10119 0 0 17601 13330 0 0 3846 2180 0 0 78279 9102 0 0 79099 8849 0 0 3846 0 0 2569 4429 4501 30363 0 0 7.08856 7.08856 -153.85 -7.08856 0 0 666494. 2306.21 0.30 0.12 0.11 -1 -1 0.30 0.0481069 0.0437598 195 193 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 5.90 vpr 61.83 MiB 0.02 6600 -1 -1 13 0.22 -1 -1 32632 -1 -1 24 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63312 30 32 230 262 1 183 86 17 17 289 -1 unnamed_device 23.3 MiB 0.35 1165 61.8 MiB 0.06 0.00 6.5569 -135.001 -6.5569 6.5569 1.03 0.000619223 0.000568513 0.0257897 0.0237041 36 2652 17 6.55708e+06 289320 612192. 2118.31 1.99 0.17478 0.15482 22750 144809 -1 2393 14 889 2320 131716 30187 0 0 131716 30187 2320 1270 0 0 7850 6258 0 0 12074 9015 0 0 2320 1514 0 0 54303 6050 0 0 52849 6080 0 0 2320 0 0 1431 2095 2298 14662 0 0 7.1187 7.1187 -150.958 -7.1187 0 0 782063. 2706.10 0.35 0.10 0.15 -1 -1 0.35 0.0312578 0.0287208 146 139 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 6.75 vpr 62.64 MiB 0.03 6648 -1 -1 13 0.59 -1 -1 32872 -1 -1 31 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64148 30 32 294 326 1 230 93 17 17 289 -1 unnamed_device 23.9 MiB 0.36 1381 62.6 MiB 0.11 0.00 6.69136 -133.069 -6.69136 6.69136 1.05 0.000824601 0.000738805 0.0455231 0.0415853 30 4004 42 6.55708e+06 373705 526063. 1820.29 2.64 0.214973 0.191684 21886 126133 -1 3096 18 1516 4357 219759 51594 0 0 219759 51594 4357 2140 0 0 13960 11311 0 0 19441 14660 0 0 4357 2624 0 0 87437 10772 0 0 90207 10087 0 0 4357 0 0 2841 4530 4844 32570 0 0 6.88996 6.88996 -151.512 -6.88996 0 0 666494. 2306.21 0.30 0.10 0.10 -1 -1 0.30 0.0396729 0.0359137 208 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 5.29 vpr 62.34 MiB 0.02 6748 -1 -1 14 0.38 -1 -1 31404 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63836 32 32 276 308 1 206 94 17 17 289 -1 unnamed_device 23.9 MiB 0.37 1380 62.3 MiB 0.08 0.00 6.25538 -134.831 -6.25538 6.25538 1.04 0.000741219 0.000678947 0.0332752 0.0303803 30 3257 20 6.55708e+06 361650 526063. 1820.29 1.23 0.126897 0.113341 21886 126133 -1 2831 19 1403 4766 223751 51349 0 0 223751 51349 4766 2017 0 0 14876 12350 0 0 21920 15839 0 0 4766 2458 0 0 87623 9453 0 0 89800 9232 0 0 4766 0 0 3363 7868 7269 48744 0 0 6.57678 6.57678 -151.131 -6.57678 0 0 666494. 2306.21 0.30 0.10 0.11 -1 -1 0.30 0.0394639 0.0356288 184 181 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 7.99 vpr 62.45 MiB 0.02 6860 -1 -1 12 0.30 -1 -1 32980 -1 -1 32 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63948 31 32 293 325 1 227 95 17 17 289 -1 unnamed_device 23.8 MiB 0.33 1495 62.4 MiB 0.07 0.00 6.6373 -134.482 -6.6373 6.6373 1.05 0.000755417 0.000687146 0.027016 0.0246975 36 3723 19 6.55708e+06 385760 612192. 2118.31 3.93 0.240764 0.214428 22750 144809 -1 3252 16 1333 4004 235966 53039 0 0 235966 53039 4004 1987 0 0 13722 11040 0 0 21398 15973 0 0 4004 2384 0 0 96938 10674 0 0 95900 10981 0 0 4004 0 0 2671 5303 5376 34780 0 0 7.2775 7.2775 -155.628 -7.2775 0 0 782063. 2706.10 0.33 0.11 0.15 -1 -1 0.33 0.039342 0.035805 203 200 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 5.39 vpr 62.26 MiB 0.03 6780 -1 -1 13 0.29 -1 -1 32644 -1 -1 28 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63756 30 32 273 305 1 212 90 17 17 289 -1 unnamed_device 23.8 MiB 0.32 1278 62.3 MiB 0.04 0.00 6.23244 -112.435 -6.23244 6.23244 0.73 0.000427628 0.000394872 0.0153552 0.0142195 30 3774 35 6.55708e+06 337540 526063. 1820.29 2.01 0.16762 0.148352 21886 126133 -1 2820 16 1264 3670 195221 44651 0 0 195221 44651 3670 1714 0 0 12040 9737 0 0 16932 12953 0 0 3670 2083 0 0 80740 8921 0 0 78169 9243 0 0 3670 0 0 2406 5424 5230 33698 0 0 6.4433 6.4433 -130.018 -6.4433 0 0 666494. 2306.21 0.19 0.06 0.08 -1 -1 0.19 0.0225728 0.0205678 186 182 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 6.08 vpr 62.49 MiB 0.02 6704 -1 -1 14 0.43 -1 -1 32940 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63988 32 32 310 342 1 239 96 17 17 289 -1 unnamed_device 24.0 MiB 0.60 1591 62.5 MiB 0.12 0.00 7.25822 -142.86 -7.25822 7.25822 1.05 0.000887128 0.000810972 0.0513821 0.0468818 30 4021 29 6.55708e+06 385760 526063. 1820.29 1.79 0.182462 0.162643 21886 126133 -1 3428 19 1513 4570 237127 54864 0 0 237127 54864 4570 2148 0 0 14844 12032 0 0 20957 15968 0 0 4570 2565 0 0 97409 10895 0 0 94777 11256 0 0 4570 0 0 3057 4428 5192 34727 0 0 7.64835 7.64835 -163.474 -7.64835 0 0 666494. 2306.21 0.19 0.09 0.07 -1 -1 0.19 0.0382484 0.0345771 220 215 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 6.30 vpr 62.14 MiB 0.02 6648 -1 -1 11 0.36 -1 -1 32800 -1 -1 29 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63628 29 32 259 291 1 190 90 17 17 289 -1 unnamed_device 23.7 MiB 0.38 1169 62.1 MiB 0.07 0.00 5.54984 -107.818 -5.54984 5.54984 0.79 0.000714692 0.000652583 0.0257053 0.023614 28 3403 43 6.55708e+06 349595 500653. 1732.36 2.56 0.151263 0.134331 21310 115450 -1 2788 15 1174 3727 233012 52365 0 0 233012 52365 3727 1939 0 0 12758 10379 0 0 19903 14792 0 0 3727 2291 0 0 96949 11634 0 0 95948 11330 0 0 3727 0 0 2553 5363 5354 34525 0 0 6.03064 6.03064 -127.695 -6.03064 0 0 612192. 2118.31 0.26 0.06 0.12 -1 -1 0.26 0.0205132 0.0186013 174 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 5.63 vpr 61.79 MiB 0.02 6392 -1 -1 13 0.19 -1 -1 32556 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63268 32 32 225 257 1 185 87 17 17 289 -1 unnamed_device 23.3 MiB 0.39 1128 61.8 MiB 0.07 0.00 6.2793 -138.533 -6.2793 6.2793 0.94 0.000629076 0.000579567 0.0282145 0.025955 26 3204 39 6.55708e+06 277265 477104. 1650.88 1.95 0.157169 0.141036 21022 109990 -1 2662 19 1207 2938 174325 41481 0 0 174325 41481 2938 1761 0 0 10337 8554 0 0 16326 12120 0 0 2938 2013 0 0 72041 8326 0 0 69745 8707 0 0 2938 0 0 1731 2616 2813 17622 0 0 6.70864 6.70864 -158.453 -6.70864 0 0 585099. 2024.56 0.26 0.08 0.10 -1 -1 0.26 0.0302117 0.0271574 142 130 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 6.53 vpr 62.26 MiB 0.02 6804 -1 -1 14 0.29 -1 -1 32732 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63752 32 32 273 305 1 209 91 17 17 289 -1 unnamed_device 23.8 MiB 0.30 1329 62.3 MiB 0.07 0.00 6.57116 -133.256 -6.57116 6.57116 1.01 0.000738361 0.000677891 0.0295466 0.02701 28 3826 48 6.55708e+06 325485 500653. 1732.36 2.80 0.189991 0.169041 21310 115450 -1 2936 16 1237 3487 201558 46903 0 0 201558 46903 3487 1766 0 0 12220 9929 0 0 18745 14111 0 0 3487 2114 0 0 82884 9344 0 0 80735 9639 0 0 3487 0 0 2250 3967 4224 28286 0 0 7.09116 7.09116 -154.073 -7.09116 0 0 612192. 2118.31 0.25 0.06 0.10 -1 -1 0.25 0.0201318 0.0182443 183 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 7.86 vpr 62.45 MiB 0.03 6748 -1 -1 15 0.45 -1 -1 33324 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63952 32 32 322 354 1 251 96 17 17 289 -1 unnamed_device 23.9 MiB 0.71 1605 62.5 MiB 0.05 0.00 7.73501 -162.833 -7.73501 7.73501 1.03 0.000508549 0.000469268 0.0212624 0.0195388 28 4909 48 6.55708e+06 385760 500653. 1732.36 3.32 0.185776 0.166191 21310 115450 -1 3971 16 1826 5023 317297 76047 0 0 317297 76047 5023 2876 0 0 17289 14316 0 0 26489 20074 0 0 5023 3273 0 0 131030 18026 0 0 132443 17482 0 0 5023 0 0 3197 5389 5788 36433 0 0 8.09561 8.09561 -188.653 -8.09561 0 0 612192. 2118.31 0.27 0.14 0.12 -1 -1 0.27 0.0419502 0.0382518 228 227 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 5.01 vpr 61.75 MiB 0.02 6624 -1 -1 11 0.19 -1 -1 32420 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63232 32 32 218 250 1 164 86 17 17 289 -1 unnamed_device 23.3 MiB 0.67 1049 61.8 MiB 0.06 0.00 5.47144 -114.161 -5.47144 5.47144 0.94 0.000473625 0.000432941 0.0227803 0.0208258 30 2454 18 6.55708e+06 265210 526063. 1820.29 1.18 0.109801 0.0976872 21886 126133 -1 2139 16 859 2555 121112 28823 0 0 121112 28823 2555 1177 0 0 8246 6639 0 0 11816 8891 0 0 2555 1453 0 0 48290 5399 0 0 47650 5264 0 0 2555 0 0 1696 3130 2874 20911 0 0 5.47144 5.47144 -128.63 -5.47144 0 0 666494. 2306.21 0.29 0.07 0.07 -1 -1 0.29 0.0262332 0.0236778 126 123 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 5.71 vpr 62.11 MiB 0.02 6444 -1 -1 12 0.22 -1 -1 32488 -1 -1 26 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63596 31 32 244 276 1 192 89 17 17 289 -1 unnamed_device 23.5 MiB 0.49 1224 62.1 MiB 0.11 0.00 5.98944 -127.755 -5.98944 5.98944 0.96 0.000638653 0.000584628 0.0467167 0.0428077 32 3316 50 6.55708e+06 313430 554710. 1919.41 1.78 0.194133 0.173196 22174 131602 -1 2904 26 1587 4904 429915 143133 0 0 429915 143133 4904 2688 0 0 16735 13878 0 0 29998 21054 0 0 4904 3237 0 0 186402 52170 0 0 186972 50106 0 0 4904 0 0 3317 5437 6059 37005 0 0 6.70098 6.70098 -153.457 -6.70098 0 0 701300. 2426.64 0.26 0.11 0.12 -1 -1 0.26 0.0262324 0.0234349 157 151 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 5.57 vpr 62.51 MiB 0.02 6656 -1 -1 12 0.38 -1 -1 32932 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64012 32 32 301 333 1 231 95 17 17 289 -1 unnamed_device 23.8 MiB 0.46 1502 62.5 MiB 0.08 0.00 6.2029 -136.791 -6.2029 6.2029 0.81 0.000490178 0.000451806 0.0331192 0.0302704 28 4245 24 6.55708e+06 373705 500653. 1732.36 1.85 0.139856 0.124152 21310 115450 -1 3653 19 1568 4621 310080 72774 0 0 310080 72774 4621 2645 0 0 16310 13533 0 0 25254 19294 0 0 4621 3058 0 0 131137 16934 0 0 128137 17310 0 0 4621 0 0 3053 5953 6071 38066 0 0 6.6837 6.6837 -163.867 -6.6837 0 0 612192. 2118.31 0.20 0.08 0.12 -1 -1 0.20 0.0252681 0.0228182 209 206 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 6.19 vpr 62.33 MiB 0.03 6596 -1 -1 12 0.28 -1 -1 32764 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63824 32 32 278 310 1 215 92 17 17 289 -1 unnamed_device 23.7 MiB 0.54 1436 62.3 MiB 0.09 0.00 6.42844 -135.086 -6.42844 6.42844 0.70 0.000429771 0.000395465 0.0350309 0.0322082 36 3569 20 6.55708e+06 337540 612192. 2118.31 2.53 0.227152 0.201754 22750 144809 -1 3146 20 1438 4454 259470 59423 0 0 259470 59423 4454 2179 0 0 15145 12582 0 0 23606 17556 0 0 4454 2642 0 0 104099 12661 0 0 107712 11803 0 0 4454 0 0 3016 6467 6532 40041 0 0 6.78904 6.78904 -154.648 -6.78904 0 0 782063. 2706.10 0.35 0.11 0.15 -1 -1 0.35 0.0419645 0.0378547 186 183 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 8.27 vpr 62.92 MiB 0.03 6668 -1 -1 14 0.58 -1 -1 33208 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64428 32 32 333 365 1 247 99 17 17 289 -1 unnamed_device 24.3 MiB 0.37 1587 62.9 MiB 0.09 0.00 7.16496 -146.142 -7.16496 7.16496 1.02 0.000896521 0.000819818 0.0384481 0.035209 36 4114 39 6.55708e+06 421925 612192. 2118.31 3.88 0.332255 0.297328 22750 144809 -1 3497 18 1611 4813 261699 61947 0 0 261699 61947 4813 2235 0 0 16775 13710 0 0 25584 19384 0 0 4813 2766 0 0 103527 12147 0 0 106187 11705 0 0 4813 0 0 3202 5072 6089 37604 0 0 7.52815 7.52815 -165.723 -7.52815 0 0 782063. 2706.10 0.33 0.12 0.13 -1 -1 0.33 0.0477135 0.0431574 241 238 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 8.21 vpr 62.21 MiB 0.03 6740 -1 -1 11 0.31 -1 -1 32408 -1 -1 27 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63700 30 32 261 293 1 202 89 17 17 289 -1 unnamed_device 23.7 MiB 0.57 1224 62.2 MiB 0.13 0.00 5.26258 -106.392 -5.26258 5.26258 1.02 0.000704024 0.00064492 0.055806 0.0510377 28 3864 47 6.55708e+06 325485 500653. 1732.36 4.17 0.220032 0.196813 21310 115450 -1 2977 21 1521 4849 307659 68484 0 0 307659 68484 4849 2421 0 0 16315 13515 0 0 25181 18572 0 0 4849 2966 0 0 130190 15569 0 0 126275 15441 0 0 4849 0 0 3328 7610 7788 48137 0 0 5.86358 5.86358 -129.178 -5.86358 0 0 612192. 2118.31 0.18 0.08 0.07 -1 -1 0.18 0.0274989 0.024849 176 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 5.50 vpr 61.94 MiB 0.02 6616 -1 -1 11 0.25 -1 -1 32324 -1 -1 25 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63428 27 32 217 249 1 157 84 17 17 289 -1 unnamed_device 23.4 MiB 0.30 932 61.9 MiB 0.05 0.00 5.50038 -100.346 -5.50038 5.50038 0.91 0.000626788 0.000577677 0.0220254 0.0202266 26 2460 19 6.55708e+06 301375 477104. 1650.88 1.94 0.117122 0.10429 21022 109990 -1 2211 17 974 2742 157319 38014 0 0 157319 38014 2742 1508 0 0 9656 7863 0 0 15084 11265 0 0 2742 1777 0 0 62952 7781 0 0 64143 7820 0 0 2742 0 0 1768 2876 3131 20237 0 0 5.98118 5.98118 -119.568 -5.98118 0 0 585099. 2024.56 0.22 0.07 0.07 -1 -1 0.22 0.0262014 0.0235174 138 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 9.71 vpr 62.79 MiB 0.02 6808 -1 -1 13 0.51 -1 -1 32724 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64296 32 32 373 405 1 274 104 17 17 289 -1 unnamed_device 24.5 MiB 0.36 1902 62.8 MiB 0.11 0.00 6.42904 -133.695 -6.42904 6.42904 0.77 0.00105029 0.000966201 0.0460548 0.0420343 34 5892 44 6.55708e+06 482200 585099. 2024.56 5.65 0.356402 0.316621 22462 138074 -1 4483 37 1998 7100 832035 355296 0 0 832035 355296 7100 3327 0 0 24748 20069 0 0 41670 30480 0 0 7100 4046 0 0 370778 149971 0 0 380639 147403 0 0 7100 0 0 5102 12746 12906 76282 0 0 7.09878 7.09878 -160.096 -7.09878 0 0 742403. 2568.87 0.21 0.26 0.08 -1 -1 0.21 0.0571028 0.0512333 280 278 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 5.76 vpr 62.16 MiB 0.02 6792 -1 -1 14 0.33 -1 -1 33052 -1 -1 26 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63652 31 32 269 301 1 201 89 17 17 289 -1 unnamed_device 23.6 MiB 0.36 1320 62.2 MiB 0.12 0.00 6.88536 -138.325 -6.88536 6.88536 1.05 0.000651459 0.000597142 0.0501628 0.0460592 30 3402 23 6.55708e+06 313430 526063. 1820.29 1.73 0.170415 0.15295 21886 126133 -1 2932 18 1364 3940 208419 47587 0 0 208419 47587 3940 2019 0 0 12839 10412 0 0 18308 13822 0 0 3940 2440 0 0 83799 9698 0 0 85593 9196 0 0 3940 0 0 2576 4545 4367 29118 0 0 7.40996 7.40996 -158.368 -7.40996 0 0 666494. 2306.21 0.22 0.08 0.13 -1 -1 0.22 0.0327669 0.0295017 178 176 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 6.57 vpr 61.78 MiB 0.02 6508 -1 -1 12 0.20 -1 -1 32268 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63260 32 32 228 260 1 185 91 17 17 289 -1 unnamed_device 23.2 MiB 0.39 1161 61.8 MiB 0.12 0.00 6.25538 -137.354 -6.25538 6.25538 0.95 0.000608697 0.000547518 0.0472081 0.0429469 34 3170 20 6.55708e+06 325485 585099. 2024.56 3.01 0.214163 0.191274 22462 138074 -1 2645 16 1058 2999 188570 42216 0 0 188570 42216 2999 1667 0 0 10392 8407 0 0 16484 12191 0 0 2999 2041 0 0 76868 9117 0 0 78828 8793 0 0 2999 0 0 1941 3186 3316 21764 0 0 6.49778 6.49778 -155.635 -6.49778 0 0 742403. 2568.87 0.31 0.08 0.09 -1 -1 0.31 0.0279109 0.0251897 144 133 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 6.35 vpr 62.15 MiB 0.03 6664 -1 -1 13 0.39 -1 -1 32732 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63644 32 32 265 297 1 201 89 17 17 289 -1 unnamed_device 23.7 MiB 0.57 1207 62.2 MiB 0.07 0.00 6.7203 -130.556 -6.7203 6.7203 1.06 0.000717582 0.000658196 0.026567 0.0243418 30 3264 45 6.55708e+06 301375 526063. 1820.29 1.99 0.166869 0.148231 21886 126133 -1 2761 18 1304 3945 219133 51176 0 0 219133 51176 3945 1936 0 0 12952 10777 0 0 18818 14271 0 0 3945 2278 0 0 90649 11073 0 0 88824 10841 0 0 3945 0 0 2641 4533 4602 31447 0 0 6.9607 6.9607 -152.081 -6.9607 0 0 666494. 2306.21 0.29 0.10 0.12 -1 -1 0.29 0.0353176 0.0317715 172 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 5.96 vpr 62.68 MiB 0.03 6844 -1 -1 13 0.36 -1 -1 33368 -1 -1 35 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64180 31 32 325 357 1 256 98 17 17 289 -1 unnamed_device 24.1 MiB 0.43 1673 62.7 MiB 0.08 0.00 6.5197 -134.644 -6.5197 6.5197 1.04 0.000874387 0.000803924 0.0337048 0.0310334 30 4091 31 6.55708e+06 421925 526063. 1820.29 1.86 0.19427 0.175294 21886 126133 -1 3383 16 1525 4374 209303 49658 0 0 209303 49658 4374 2047 0 0 14253 11205 0 0 19984 15358 0 0 4374 2541 0 0 83539 9105 0 0 82779 9402 0 0 4374 0 0 2849 5330 5070 36265 0 0 6.7601 6.7601 -152.651 -6.7601 0 0 666494. 2306.21 0.31 0.10 0.10 -1 -1 0.31 0.0394716 0.0359911 235 232 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 5.81 vpr 62.40 MiB 0.02 6576 -1 -1 11 0.31 -1 -1 32832 -1 -1 32 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63900 30 32 287 319 1 210 94 17 17 289 -1 unnamed_device 23.8 MiB 0.51 1426 62.4 MiB 0.12 0.00 5.91044 -118.756 -5.91044 5.91044 1.04 0.000767515 0.000696276 0.0499103 0.0454433 30 3436 43 6.55708e+06 385760 526063. 1820.29 1.63 0.187662 0.167396 21886 126133 -1 2939 15 1249 4275 209241 48289 0 0 209241 48289 4275 1880 0 0 13793 11229 0 0 19534 14819 0 0 4275 2285 0 0 84735 8896 0 0 82629 9180 0 0 4275 0 0 3026 6571 6059 42849 0 0 6.15084 6.15084 -134.96 -6.15084 0 0 666494. 2306.21 0.30 0.09 0.12 -1 -1 0.30 0.0344941 0.031182 199 196 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 7.40 vpr 62.57 MiB 0.02 6664 -1 -1 15 0.42 -1 -1 33028 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64072 32 32 297 329 1 230 93 17 17 289 -1 unnamed_device 23.8 MiB 0.38 1410 62.6 MiB 0.13 0.00 7.33722 -149.469 -7.33722 7.33722 1.01 0.000819794 0.000753739 0.0541435 0.0493909 36 3941 21 6.55708e+06 349595 612192. 2118.31 3.16 0.242525 0.214746 22750 144809 -1 3220 18 1390 4473 253666 58992 0 0 253666 58992 4473 2118 0 0 15083 12398 0 0 23678 17518 0 0 4473 2667 0 0 99413 12611 0 0 106546 11680 0 0 4473 0 0 3083 5817 6050 39481 0 0 7.81801 7.81801 -168.496 -7.81801 0 0 782063. 2706.10 0.33 0.12 0.13 -1 -1 0.33 0.0418758 0.0378799 203 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 5.22 vpr 62.50 MiB 0.02 6620 -1 -1 13 0.39 -1 -1 32904 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64004 32 32 311 343 1 238 96 17 17 289 -1 unnamed_device 23.8 MiB 0.24 1562 62.5 MiB 0.08 0.00 6.49216 -137.708 -6.49216 6.49216 0.93 0.000805254 0.000736468 0.0351973 0.0322897 30 3829 26 6.55708e+06 385760 526063. 1820.29 1.55 0.182936 0.163284 21886 126133 -1 3094 16 1440 4306 212530 49650 0 0 212530 49650 4306 1983 0 0 14060 11418 0 0 19633 15090 0 0 4306 2391 0 0 86084 9275 0 0 84141 9493 0 0 4306 0 0 2866 5230 5379 36075 0 0 6.85276 6.85276 -157.49 -6.85276 0 0 666494. 2306.21 0.30 0.10 0.12 -1 -1 0.30 0.0388533 0.0352488 217 216 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 6.34 vpr 61.79 MiB 0.02 6484 -1 -1 12 0.26 -1 -1 32112 -1 -1 29 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63276 29 32 236 268 1 192 90 17 17 289 -1 unnamed_device 23.2 MiB 0.60 1153 61.8 MiB 0.07 0.00 5.81778 -125.088 -5.81778 5.81778 1.07 0.000639619 0.000588747 0.0296778 0.0273035 28 3087 31 6.55708e+06 349595 500653. 1732.36 2.15 0.150653 0.134755 21310 115450 -1 2573 18 1240 3102 198376 47550 0 0 198376 47550 3102 1796 0 0 10899 8885 0 0 16762 12787 0 0 3102 2154 0 0 82651 11125 0 0 81860 10803 0 0 3102 0 0 1862 2725 2539 17708 0 0 6.05818 6.05818 -144.212 -6.05818 0 0 612192. 2118.31 0.26 0.09 0.11 -1 -1 0.26 0.0300081 0.0269934 159 147 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 6.10 vpr 61.84 MiB 0.02 6544 -1 -1 11 0.20 -1 -1 32480 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63320 32 32 231 263 1 179 86 17 17 289 -1 unnamed_device 23.2 MiB 0.49 1194 61.8 MiB 0.05 0.00 5.65838 -120.723 -5.65838 5.65838 0.99 0.000539067 0.000495093 0.0200859 0.018363 28 3301 25 6.55708e+06 265210 500653. 1732.36 1.92 0.133736 0.119689 21310 115450 -1 2843 56 2510 8126 927125 417629 0 0 927125 417629 8126 4348 0 0 26571 22387 0 0 48988 32383 0 0 8126 5233 0 0 406859 177587 0 0 428455 175691 0 0 8126 0 0 5616 10554 11492 63114 0 0 5.8692 5.8692 -145.221 -5.8692 0 0 612192. 2118.31 0.28 0.38 0.12 -1 -1 0.28 0.0729615 0.0642661 138 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 5.96 vpr 62.40 MiB 0.02 6724 -1 -1 13 0.37 -1 -1 32700 -1 -1 31 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63900 31 32 294 326 1 224 94 17 17 289 -1 unnamed_device 23.7 MiB 0.45 1539 62.4 MiB 0.09 0.00 6.74584 -137.864 -6.74584 6.74584 1.07 0.000805102 0.000738973 0.0363912 0.0333965 30 3915 47 6.55708e+06 373705 526063. 1820.29 1.67 0.183028 0.162126 21886 126133 -1 3143 24 1675 5613 389599 122812 0 0 389599 122812 5613 2433 0 0 17774 14833 0 0 26551 19322 0 0 5613 3075 0 0 165965 42801 0 0 168083 40348 0 0 5613 0 0 3938 9175 7982 54542 0 0 7.06724 7.06724 -153.083 -7.06724 0 0 666494. 2306.21 0.28 0.16 0.12 -1 -1 0.28 0.0495731 0.0446096 204 201 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 6.89 vpr 61.88 MiB 0.02 6640 -1 -1 10 0.20 -1 -1 32712 -1 -1 24 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63360 29 32 221 253 1 166 85 17 17 289 -1 unnamed_device 23.4 MiB 0.28 1049 61.9 MiB 0.09 0.00 5.00992 -101.498 -5.00992 5.00992 0.87 0.000576314 0.000524464 0.0398352 0.0363922 26 3182 44 6.55708e+06 289320 477104. 1650.88 3.24 0.164389 0.146434 21022 109990 -1 2448 23 1211 3655 336652 112438 0 0 336652 112438 3655 1964 0 0 13072 10947 0 0 21402 15824 0 0 3655 2264 0 0 150136 42002 0 0 144732 39437 0 0 3655 0 0 2444 4838 4879 30800 0 0 5.90478 5.90478 -125.835 -5.90478 0 0 585099. 2024.56 0.26 0.16 0.10 -1 -1 0.26 0.0385349 0.0347748 138 132 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 4.52 vpr 61.94 MiB 0.02 6532 -1 -1 14 0.24 -1 -1 32620 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63424 32 32 240 272 1 178 88 17 17 289 -1 unnamed_device 23.4 MiB 0.53 1103 61.9 MiB 0.10 0.00 6.3185 -130.338 -6.3185 6.3185 0.67 0.000609614 0.000556524 0.0437664 0.0399729 30 2810 22 6.55708e+06 289320 526063. 1820.29 1.04 0.124713 0.111625 21886 126133 -1 2303 16 1036 3018 150656 35963 0 0 150656 35963 3018 1421 0 0 9900 8128 0 0 14177 10737 0 0 3018 1697 0 0 60063 6986 0 0 60480 6994 0 0 3018 0 0 1982 3437 3188 23245 0 0 6.7601 6.7601 -149.594 -6.7601 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.029558 0.0268246 149 145 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 6.74 vpr 62.37 MiB 0.03 6792 -1 -1 12 0.33 -1 -1 32864 -1 -1 29 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63868 31 32 292 324 1 211 92 17 17 289 -1 unnamed_device 23.8 MiB 0.36 1349 62.4 MiB 0.16 0.00 6.2787 -129.822 -6.2787 6.2787 0.97 0.000735539 0.000670434 0.0714031 0.0649332 36 3467 26 6.55708e+06 349595 612192. 2118.31 2.74 0.242729 0.216411 22750 144809 -1 2881 15 1188 3813 214462 49237 0 0 214462 49237 3813 1819 0 0 12944 10642 0 0 20124 14882 0 0 3813 2185 0 0 85592 10108 0 0 88176 9601 0 0 3813 0 0 2625 4931 5381 34502 0 0 6.8797 6.8797 -153.892 -6.8797 0 0 782063. 2706.10 0.33 0.09 0.14 -1 -1 0.33 0.0339106 0.0307816 201 199 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 6.03 vpr 61.66 MiB 0.02 6572 -1 -1 12 0.18 -1 -1 32468 -1 -1 23 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63136 31 32 229 261 1 182 86 17 17 289 -1 unnamed_device 23.1 MiB 0.41 1060 61.7 MiB 0.06 0.00 5.49898 -119.703 -5.49898 5.49898 1.07 0.000598446 0.000547197 0.0219716 0.020184 28 2897 29 6.55708e+06 277265 500653. 1732.36 2.35 0.11932 0.106128 21310 115450 -1 2479 16 1004 2651 154578 37239 0 0 154578 37239 2651 1526 0 0 9160 7467 0 0 14108 10646 0 0 2651 1739 0 0 64042 7709 0 0 61966 8152 0 0 2651 0 0 1647 2492 2970 18152 0 0 5.85958 5.85958 -140.993 -5.85958 0 0 612192. 2118.31 0.18 0.05 0.07 -1 -1 0.18 0.0166015 0.0150376 141 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 8.38 vpr 62.16 MiB 0.02 6644 -1 -1 12 0.25 -1 -1 32884 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63656 32 32 282 314 1 205 91 17 17 289 -1 unnamed_device 23.7 MiB 0.38 1369 62.2 MiB 0.09 0.00 5.75364 -126.276 -5.75364 5.75364 1.05 0.000728782 0.000663808 0.0366877 0.0335278 26 3821 50 6.55708e+06 325485 477104. 1650.88 4.67 0.190215 0.169032 21022 109990 -1 3184 17 1338 4262 278470 61777 0 0 278470 61777 4262 2187 0 0 14714 12130 0 0 23516 17233 0 0 4262 2602 0 0 114723 13683 0 0 116993 13942 0 0 4262 0 0 2924 7485 7658 45657 0 0 6.00932 6.00932 -148.823 -6.00932 0 0 585099. 2024.56 0.23 0.11 0.11 -1 -1 0.23 0.0350552 0.031546 188 187 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 7.70 vpr 62.18 MiB 0.02 6596 -1 -1 13 0.36 -1 -1 32796 -1 -1 29 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63672 31 32 269 301 1 216 92 17 17 289 -1 unnamed_device 23.6 MiB 0.36 1404 62.2 MiB 0.08 0.00 6.3995 -136.53 -6.3995 6.3995 1.10 0.00072097 0.000660793 0.0328705 0.0302378 36 3500 17 6.55708e+06 349595 612192. 2118.31 3.53 0.235871 0.20989 22750 144809 -1 3046 17 1185 3631 206950 46458 0 0 206950 46458 3631 1792 0 0 12195 9818 0 0 18665 13918 0 0 3631 2130 0 0 81699 9901 0 0 87129 8899 0 0 3631 0 0 2446 4994 4830 32829 0 0 6.8803 6.8803 -158.249 -6.8803 0 0 782063. 2706.10 0.34 0.12 0.15 -1 -1 0.34 0.0362451 0.0326265 179 176 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 5.75 vpr 61.82 MiB 0.02 6452 -1 -1 11 0.21 -1 -1 32312 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63304 32 32 237 269 1 184 91 17 17 289 -1 unnamed_device 23.3 MiB 0.31 1228 61.8 MiB 0.08 0.00 5.47144 -121.882 -5.47144 5.47144 1.04 0.000609682 0.000556698 0.0306586 0.0280237 28 3343 20 6.55708e+06 325485 500653. 1732.36 1.88 0.129623 0.11586 21310 115450 -1 2879 20 1129 3452 253069 69760 0 0 253069 69760 3452 1868 0 0 11664 9690 0 0 18731 13627 0 0 3452 2174 0 0 107402 21629 0 0 108368 20772 0 0 3452 0 0 2323 3847 4148 25776 0 0 5.95224 5.95224 -143.359 -5.95224 0 0 612192. 2118.31 0.27 0.11 0.12 -1 -1 0.27 0.0352362 0.0319325 148 142 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 5.10 vpr 62.04 MiB 0.02 6580 -1 -1 13 0.25 -1 -1 32440 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63524 32 32 259 291 1 202 91 17 17 289 -1 unnamed_device 23.6 MiB 0.32 1340 62.0 MiB 0.11 0.00 6.26504 -134.276 -6.26504 6.26504 1.03 0.000707316 0.000651476 0.047385 0.0435205 30 2944 20 6.55708e+06 325485 526063. 1820.29 1.29 0.154896 0.138889 21886 126133 -1 2555 15 1029 2851 136321 32589 0 0 136321 32589 2851 1334 0 0 9178 7350 0 0 13010 9898 0 0 2851 1642 0 0 54691 6183 0 0 53740 6182 0 0 2851 0 0 1822 2708 2697 19548 0 0 6.50544 6.50544 -151.08 -6.50544 0 0 666494. 2306.21 0.25 0.05 0.11 -1 -1 0.25 0.0186701 0.0169337 167 164 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 6.93 vpr 62.43 MiB 0.02 6788 -1 -1 13 0.33 -1 -1 32904 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63928 32 32 277 309 1 220 91 17 17 289 -1 unnamed_device 23.8 MiB 0.24 1237 62.4 MiB 0.12 0.00 6.65156 -130.528 -6.65156 6.65156 1.05 0.000757256 0.00069389 0.0502262 0.045902 34 3761 34 6.55708e+06 325485 585099. 2024.56 2.84 0.25821 0.230118 22462 138074 -1 3133 17 1375 4132 248757 57234 0 0 248757 57234 4132 2178 0 0 14045 11651 0 0 22444 16283 0 0 4132 2549 0 0 101386 12474 0 0 102618 12099 0 0 4132 0 0 2757 5017 5466 33664 0 0 6.97296 6.97296 -152.277 -6.97296 0 0 742403. 2568.87 0.31 0.11 0.14 -1 -1 0.31 0.0385152 0.0349783 184 182 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 6.10 vpr 62.00 MiB 0.03 6756 -1 -1 11 0.25 -1 -1 32692 -1 -1 28 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63484 29 32 245 277 1 189 89 17 17 289 -1 unnamed_device 23.4 MiB 0.36 1126 62.0 MiB 0.08 0.00 5.53052 -104.852 -5.53052 5.53052 1.03 0.000644529 0.000593734 0.0308803 0.0283061 28 3296 32 6.55708e+06 337540 500653. 1732.36 2.42 0.138298 0.123012 21310 115450 -1 2735 22 1200 3436 264334 78886 0 0 264334 78886 3436 1878 0 0 12160 10058 0 0 19024 14396 0 0 3436 2175 0 0 112863 25633 0 0 113415 24746 0 0 3436 0 0 2236 5516 6215 35517 0 0 5.77092 5.77092 -123.565 -5.77092 0 0 612192. 2118.31 0.20 0.11 0.08 -1 -1 0.20 0.0338291 0.0301444 162 156 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 8.34 vpr 62.61 MiB 0.03 6640 -1 -1 14 0.38 -1 -1 33348 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64116 32 32 316 348 1 250 96 17 17 289 -1 unnamed_device 24.1 MiB 0.49 1614 62.6 MiB 0.11 0.00 6.86302 -148.285 -6.86302 6.86302 1.06 0.000844034 0.000767889 0.0444165 0.0405406 36 4093 30 6.55708e+06 385760 612192. 2118.31 3.80 0.298819 0.266344 22750 144809 -1 3505 17 1559 4601 250280 57991 0 0 250280 57991 4601 2188 0 0 15556 12804 0 0 24080 17967 0 0 4601 2730 0 0 100793 11110 0 0 100649 11192 0 0 4601 0 0 3042 4625 6078 35914 0 0 7.34382 7.34382 -167.197 -7.34382 0 0 782063. 2706.10 0.34 0.17 0.15 -1 -1 0.34 0.0543411 0.0495405 225 221 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 5.76 vpr 61.74 MiB 0.02 6384 -1 -1 12 0.20 -1 -1 32400 -1 -1 28 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63220 31 32 230 262 1 186 91 17 17 289 -1 unnamed_device 23.2 MiB 0.53 1161 61.7 MiB 0.11 0.00 5.43224 -115.756 -5.43224 5.43224 1.04 0.00061023 0.000560964 0.0429012 0.0393146 30 2871 50 6.55708e+06 337540 526063. 1820.29 1.82 0.184497 0.165136 21886 126133 -1 2260 28 987 2638 273109 124596 0 0 273109 124596 2638 1345 0 0 8535 6878 0 0 13714 9914 0 0 2638 1584 0 0 123040 54263 0 0 122544 50612 0 0 2638 0 0 1651 2658 2455 17950 0 0 5.67264 5.67264 -129.18 -5.67264 0 0 666494. 2306.21 0.19 0.10 0.10 -1 -1 0.19 0.0265489 0.0236284 145 137 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 5.50 vpr 62.11 MiB 0.03 6596 -1 -1 13 0.26 -1 -1 32788 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63596 32 32 282 314 1 213 91 17 17 289 -1 unnamed_device 23.5 MiB 0.48 1403 62.1 MiB 0.06 0.00 6.4407 -128.884 -6.4407 6.4407 1.02 0.000725076 0.00066505 0.0261739 0.0239805 30 3357 22 6.55708e+06 325485 526063. 1820.29 1.60 0.149424 0.133409 21886 126133 -1 2858 16 1241 3771 187271 42972 0 0 187271 42972 3771 1704 0 0 12212 9852 0 0 17369 13099 0 0 3771 2030 0 0 74516 8366 0 0 75632 7921 0 0 3771 0 0 2530 5404 5451 35176 0 0 6.5609 6.5609 -145.15 -6.5609 0 0 666494. 2306.21 0.20 0.09 0.07 -1 -1 0.20 0.0359752 0.0326975 189 187 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 5.93 vpr 61.77 MiB 0.02 6508 -1 -1 13 0.21 -1 -1 32768 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63256 32 32 235 267 1 180 89 17 17 289 -1 unnamed_device 23.3 MiB 0.43 1093 61.8 MiB 0.08 0.00 6.18864 -134.458 -6.18864 6.18864 0.94 0.000511428 0.000465736 0.0333187 0.0303662 28 3468 37 6.55708e+06 301375 500653. 1732.36 2.24 0.160958 0.143941 21310 115450 -1 2772 17 1390 3646 228387 53846 0 0 228387 53846 3646 2164 0 0 12587 10496 0 0 19437 14644 0 0 3646 2508 0 0 93089 12369 0 0 95982 11665 0 0 3646 0 0 2256 3469 3835 22986 0 0 6.90984 6.90984 -163.875 -6.90984 0 0 612192. 2118.31 0.27 0.09 0.10 -1 -1 0.27 0.0307184 0.027644 146 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 5.39 vpr 62.21 MiB 0.02 6668 -1 -1 12 0.28 -1 -1 32748 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63708 32 32 265 297 1 193 90 17 17 289 -1 unnamed_device 23.8 MiB 0.34 1197 62.2 MiB 0.05 0.00 5.9619 -125.936 -5.9619 5.9619 0.93 0.000683026 0.000623946 0.0209276 0.0191965 28 3223 41 6.55708e+06 313430 500653. 1732.36 1.74 0.143668 0.126548 21310 115450 -1 2742 18 1098 3602 192305 45821 0 0 192305 45821 3602 1695 0 0 12334 9880 0 0 19224 14426 0 0 3602 1995 0 0 75911 9092 0 0 77632 8733 0 0 3602 0 0 2504 4973 4993 33808 0 0 6.46258 6.46258 -146.369 -6.46258 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.037229 0.0336665 172 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 8.97 vpr 62.34 MiB 0.03 6836 -1 -1 15 0.60 -1 -1 32828 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63832 32 32 344 376 1 259 98 17 17 289 -1 unnamed_device 24.0 MiB 0.39 1757 62.3 MiB 0.11 0.00 7.33922 -148.898 -7.33922 7.33922 0.93 0.000930829 0.000850809 0.0437593 0.0399254 36 4646 27 6.55708e+06 409870 612192. 2118.31 4.79 0.30001 0.266833 22750 144809 -1 3936 19 1973 6387 373758 83235 0 0 373758 83235 6387 2899 0 0 21375 17748 0 0 34262 24894 0 0 6387 3777 0 0 150913 17240 0 0 154434 16677 0 0 6387 0 0 4414 9770 10411 63434 0 0 7.84955 7.84955 -169.874 -7.84955 0 0 782063. 2706.10 0.27 0.09 0.11 -1 -1 0.27 0.0317132 0.028726 250 249 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 4.34 vpr 61.23 MiB 0.02 6316 -1 -1 10 0.11 -1 -1 31984 -1 -1 16 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62704 30 32 173 205 1 127 78 17 17 289 -1 unnamed_device 22.6 MiB 0.37 713 61.2 MiB 0.04 0.00 4.40126 -99.1045 -4.40126 4.40126 0.95 0.000270575 0.000248596 0.0143215 0.0131624 28 1883 27 6.55708e+06 192880 500653. 1732.36 0.98 0.0708146 0.0621984 21310 115450 -1 1586 19 688 1675 87660 22660 0 0 87660 22660 1675 982 0 0 5931 4905 0 0 8922 6858 0 0 1675 1177 0 0 34507 4269 0 0 34950 4469 0 0 1675 0 0 987 784 1280 8744 0 0 4.48226 4.48226 -112.987 -4.48226 0 0 612192. 2118.31 0.28 0.05 0.11 -1 -1 0.28 0.0203403 0.0180651 92 82 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 5.23 vpr 61.84 MiB 0.02 6448 -1 -1 13 0.22 -1 -1 32584 -1 -1 29 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63320 30 32 229 261 1 172 91 17 17 289 -1 unnamed_device 23.3 MiB 0.19 1066 61.8 MiB 0.06 0.00 6.3577 -127.046 -6.3577 6.3577 0.93 0.000593303 0.000543624 0.022403 0.0205598 28 3122 40 6.55708e+06 349595 500653. 1732.36 1.73 0.142556 0.126733 21310 115450 -1 2435 15 1003 2768 153942 37506 0 0 153942 37506 2768 1656 0 0 9802 7985 0 0 14766 11359 0 0 2768 1963 0 0 61086 7413 0 0 62752 7130 0 0 2768 0 0 1765 2583 2491 17525 0 0 6.7183 6.7183 -147.791 -6.7183 0 0 612192. 2118.31 0.28 0.07 0.12 -1 -1 0.28 0.0270595 0.0246085 150 138 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 6.05 vpr 62.17 MiB 0.02 6576 -1 -1 12 0.24 -1 -1 32656 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63664 32 32 261 293 1 201 87 17 17 289 -1 unnamed_device 23.5 MiB 0.38 1311 62.2 MiB 0.06 0.00 5.74138 -125.798 -5.74138 5.74138 0.95 0.000685275 0.000620354 0.0219217 0.0201003 36 3122 25 6.55708e+06 277265 612192. 2118.31 2.39 0.211057 0.187361 22750 144809 -1 2684 15 1014 3004 160388 37341 0 0 160388 37341 3004 1411 0 0 10322 8255 0 0 15411 11724 0 0 3004 1745 0 0 63951 7409 0 0 64696 6797 0 0 3004 0 0 1990 3651 3181 23038 0 0 6.22218 6.22218 -147.553 -6.22218 0 0 782063. 2706.10 0.24 0.08 0.12 -1 -1 0.24 0.0306286 0.0277553 167 166 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 5.40 vpr 61.50 MiB 0.02 6492 -1 -1 9 0.17 -1 -1 32404 -1 -1 25 25 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62980 25 32 184 216 1 141 82 17 17 289 -1 unnamed_device 22.9 MiB 0.19 809 61.5 MiB 0.07 0.00 4.79906 -87.247 -4.79906 4.79906 1.10 0.000477715 0.000439988 0.0255359 0.0234323 26 2172 40 6.55708e+06 301375 477104. 1650.88 1.72 0.125218 0.111346 21022 109990 -1 1860 25 929 2940 235183 78267 0 0 235183 78267 2940 1568 0 0 10152 8395 0 0 17356 12320 0 0 2940 1837 0 0 98242 27523 0 0 103553 26624 0 0 2940 0 0 2011 4133 3992 24840 0 0 5.09292 5.09292 -101.903 -5.09292 0 0 585099. 2024.56 0.25 0.11 0.10 -1 -1 0.25 0.0342818 0.0305996 112 103 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 8.13 vpr 62.47 MiB 0.02 6796 -1 -1 12 0.32 -1 -1 32860 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63968 32 32 302 334 1 239 98 17 17 289 -1 unnamed_device 23.7 MiB 0.68 1661 62.5 MiB 0.06 0.00 6.47024 -139.776 -6.47024 6.47024 1.01 0.000827867 0.000758477 0.0227087 0.0208252 36 4182 23 6.55708e+06 409870 612192. 2118.31 3.78 0.218971 0.193978 22750 144809 -1 3542 17 1602 4603 261510 58956 0 0 261510 58956 4603 2176 0 0 15638 12691 0 0 23828 17982 0 0 4603 2753 0 0 106729 11613 0 0 106109 11741 0 0 4603 0 0 3001 4993 5295 33510 0 0 6.67144 6.67144 -160.301 -6.67144 0 0 782063. 2706.10 0.34 0.12 0.12 -1 -1 0.34 0.0422281 0.0383403 209 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 7.20 vpr 62.37 MiB 0.03 6816 -1 -1 14 0.42 -1 -1 32900 -1 -1 29 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63864 31 32 295 327 1 219 92 17 17 289 -1 unnamed_device 23.8 MiB 0.53 1275 62.4 MiB 0.09 0.00 6.62002 -132.776 -6.62002 6.62002 0.87 0.000453271 0.000410831 0.0411318 0.0375172 34 3916 44 6.55708e+06 349595 585099. 2024.56 3.36 0.254229 0.225272 22462 138074 -1 2902 16 1425 4356 231835 56558 0 0 231835 56558 4356 2139 0 0 14951 12134 0 0 23546 17420 0 0 4356 2614 0 0 88529 11511 0 0 96097 10740 0 0 4356 0 0 2931 5535 5966 38096 0 0 7.28976 7.28976 -158.101 -7.28976 0 0 742403. 2568.87 0.22 0.06 0.09 -1 -1 0.22 0.022811 0.0206835 204 202 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.56 vpr 62.88 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 30520 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64384 32 32 438 350 1 194 100 17 17 289 -1 unnamed_device 24.1 MiB 0.17 962 62.9 MiB 0.16 0.00 3.40616 -115.043 -3.40616 3.40616 0.99 0.000798501 0.000735823 0.0488761 0.0449571 32 2543 24 6.64007e+06 452088 554710. 1919.41 1.21 0.167911 0.150183 22834 132086 -1 2156 23 1875 3098 207982 48199 0 0 207982 48199 3098 2362 0 0 11232 9435 0 0 17189 12869 0 0 3098 2545 0 0 87369 10301 0 0 85996 10687 0 0 3098 0 0 1223 1416 1605 11146 0 0 3.83663 3.83663 -141.663 -3.83663 0 0 701300. 2426.64 0.19 0.07 0.09 -1 -1 0.19 0.025047 0.0222617 153 80 32 32 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.37 vpr 62.93 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 30572 -1 -1 23 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64444 30 32 409 330 1 186 85 17 17 289 -1 unnamed_device 24.3 MiB 0.22 877 62.9 MiB 0.09 0.00 3.60576 -107.965 -3.60576 3.60576 0.89 0.000363189 0.000335195 0.0310207 0.0286307 32 2291 23 6.64007e+06 288834 554710. 1919.41 1.05 0.122639 0.108604 22834 132086 -1 1966 21 1733 2890 195507 45295 0 0 195507 45295 2890 2218 0 0 10155 8313 0 0 16600 12162 0 0 2890 2376 0 0 80502 10559 0 0 82470 9667 0 0 2890 0 0 1157 1065 1074 8844 0 0 3.93203 3.93203 -135.086 -3.93203 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0251341 0.0225535 142 78 30 30 89 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 5.13 vpr 62.64 MiB 0.03 6948 -1 -1 1 0.04 -1 -1 30336 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64144 32 32 387 309 1 186 99 17 17 289 -1 unnamed_device 23.8 MiB 0.11 902 62.6 MiB 0.07 0.00 3.13925 -105.673 -3.13925 3.13925 0.99 0.000592309 0.000544981 0.0182067 0.0168031 28 2573 24 6.64007e+06 439530 500653. 1732.36 1.69 0.108022 0.0961661 21970 115934 -1 2148 23 1486 2379 194777 42466 0 0 194777 42466 2379 1750 0 0 8357 6768 0 0 12351 9514 0 0 2379 1909 0 0 86826 10476 0 0 82485 12049 0 0 2379 0 0 893 1469 1463 9924 0 0 3.90023 3.90023 -137.392 -3.90023 0 0 612192. 2118.31 0.28 0.10 0.12 -1 -1 0.28 0.0347143 0.0309304 142 50 54 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.42 vpr 62.43 MiB 0.03 6868 -1 -1 1 0.04 -1 -1 30460 -1 -1 24 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63932 29 32 343 267 1 184 85 17 17 289 -1 unnamed_device 23.7 MiB 0.08 909 62.4 MiB 0.11 0.00 3.70576 -107.366 -3.70576 3.70576 1.00 0.000505604 0.000463952 0.0354508 0.0326127 32 2288 22 6.64007e+06 301392 554710. 1919.41 1.03 0.120162 0.106903 22834 132086 -1 1951 22 1667 2811 193897 45321 0 0 193897 45321 2811 2175 0 0 10349 8552 0 0 16309 12179 0 0 2811 2277 0 0 79961 10380 0 0 81656 9758 0 0 2811 0 0 1144 1277 1259 9593 0 0 3.73383 3.73383 -128.976 -3.73383 0 0 701300. 2426.64 0.30 0.09 0.12 -1 -1 0.30 0.0288829 0.0256537 138 25 87 29 29 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.73 vpr 62.57 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 30232 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64072 32 32 376 288 1 195 86 17 17 289 -1 unnamed_device 24.0 MiB 0.11 1082 62.6 MiB 0.13 0.00 3.30796 -118.656 -3.30796 3.30796 1.04 0.00061674 0.000567439 0.043147 0.0396555 32 2620 22 6.64007e+06 276276 554710. 1919.41 1.20 0.147042 0.131708 22834 132086 -1 2297 23 2016 3718 243240 53768 0 0 243240 53768 3718 2598 0 0 12657 10363 0 0 20343 14448 0 0 3718 2795 0 0 108399 10832 0 0 94405 12732 0 0 3718 0 0 1702 1600 1459 12677 0 0 3.61343 3.61343 -142.005 -3.61343 0 0 701300. 2426.64 0.30 0.09 0.12 -1 -1 0.30 0.0312659 0.0277312 153 31 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.33 vpr 62.82 MiB 0.03 6956 -1 -1 1 0.04 -1 -1 30368 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64324 32 32 402 316 1 199 103 17 17 289 -1 unnamed_device 24.2 MiB 0.13 1088 62.8 MiB 0.16 0.00 2.7819 -100.102 -2.7819 2.7819 0.82 0.000615452 0.000563928 0.0507459 0.0464689 32 2449 21 6.64007e+06 489762 554710. 1919.41 0.93 0.131671 0.116922 22834 132086 -1 2075 19 1340 2132 167600 35615 0 0 167600 35615 2132 1565 0 0 7835 6361 0 0 12104 9323 0 0 2132 1671 0 0 74442 7804 0 0 68955 8891 0 0 2132 0 0 792 937 968 7403 0 0 2.70657 2.70657 -114.054 -2.70657 0 0 701300. 2426.64 0.32 0.08 0.13 -1 -1 0.32 0.0305499 0.0271674 156 61 63 32 63 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.14 vpr 62.04 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 30560 -1 -1 20 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63524 27 32 269 226 1 135 79 17 17 289 -1 unnamed_device 23.5 MiB 0.11 727 62.0 MiB 0.07 0.00 3.0775 -84.7733 -3.0775 3.0775 0.95 0.000269824 0.000248906 0.0251339 0.0231927 30 1478 21 6.64007e+06 251160 526063. 1820.29 0.87 0.0893538 0.0790279 22546 126617 -1 1347 20 702 1253 69485 16270 0 0 69485 16270 1253 819 0 0 4144 3300 0 0 5477 4348 0 0 1253 908 0 0 29963 3155 0 0 27395 3740 0 0 1253 0 0 551 636 622 4492 0 0 2.72477 2.72477 -94.5921 -2.72477 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0246506 0.0220147 96 26 54 27 27 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.35 vpr 62.24 MiB 0.03 6900 -1 -1 1 0.03 -1 -1 30236 -1 -1 34 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63736 31 32 317 242 1 185 97 17 17 289 -1 unnamed_device 23.4 MiB 0.08 1048 62.2 MiB 0.13 0.00 2.9483 -95.6493 -2.9483 2.9483 1.11 0.000533158 0.000489026 0.0379884 0.0349866 28 2544 22 6.64007e+06 426972 500653. 1732.36 1.07 0.119222 0.106654 21970 115934 -1 2067 17 965 1647 120134 25671 0 0 120134 25671 1647 1137 0 0 5753 4441 0 0 8065 6277 0 0 1647 1265 0 0 53361 6012 0 0 49661 6539 0 0 1647 0 0 682 1078 1057 7344 0 0 2.77377 2.77377 -108.421 -2.77377 0 0 612192. 2118.31 0.24 0.06 0.08 -1 -1 0.24 0.023998 0.0214136 140 -1 115 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.14 vpr 62.41 MiB 0.02 7048 -1 -1 1 0.03 -1 -1 30176 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63908 31 32 338 292 1 147 80 17 17 289 -1 unnamed_device 23.5 MiB 0.16 810 62.4 MiB 0.05 0.00 2.69519 -86.3861 -2.69519 2.69519 1.06 0.000305242 0.000280927 0.0170261 0.0157146 32 1889 19 6.64007e+06 213486 554710. 1919.41 0.83 0.0685044 0.0604621 22834 132086 -1 1608 19 919 1524 103405 24259 0 0 103405 24259 1524 1241 0 0 5468 4581 0 0 8534 6380 0 0 1524 1326 0 0 41802 5693 0 0 44553 5038 0 0 1524 0 0 605 707 449 4711 0 0 2.85597 2.85597 -103.728 -2.85597 0 0 701300. 2426.64 0.31 0.06 0.14 -1 -1 0.31 0.0251232 0.022333 106 81 0 0 84 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.61 vpr 62.39 MiB 0.02 6848 -1 -1 1 0.04 -1 -1 30312 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63884 32 32 314 256 1 162 81 17 17 289 -1 unnamed_device 23.7 MiB 0.16 945 62.4 MiB 0.12 0.00 2.7849 -102.339 -2.7849 2.7849 1.04 0.000517682 0.000475749 0.0426162 0.0391852 32 2215 19 6.64007e+06 213486 554710. 1919.41 1.03 0.113451 0.100583 22834 132086 -1 1921 19 1388 2166 160080 35291 0 0 160080 35291 2166 1770 0 0 7579 6283 0 0 12136 8966 0 0 2166 1839 0 0 66083 8710 0 0 69950 7723 0 0 2166 0 0 778 814 816 6744 0 0 2.84577 2.84577 -121.223 -2.84577 0 0 701300. 2426.64 0.32 0.08 0.14 -1 -1 0.32 0.0268584 0.0239922 121 31 64 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 3.93 vpr 62.41 MiB 0.02 6736 -1 -1 1 0.03 -1 -1 30232 -1 -1 18 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63912 30 32 325 273 1 151 80 17 17 289 -1 unnamed_device 23.8 MiB 0.16 665 62.4 MiB 0.09 0.00 2.80139 -88.6898 -2.80139 2.80139 0.88 0.000527215 0.00048388 0.0322671 0.029679 28 1564 21 6.64007e+06 226044 500653. 1732.36 0.89 0.0923003 0.0817782 21970 115934 -1 1391 17 984 1417 78397 20477 0 0 78397 20477 1417 1145 0 0 5167 4205 0 0 7463 5999 0 0 1417 1220 0 0 30208 4211 0 0 32725 3697 0 0 1417 0 0 433 424 466 3902 0 0 2.84797 2.84797 -105.51 -2.84797 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.0234006 0.0208345 110 58 30 30 60 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.47 vpr 62.22 MiB 0.02 6780 -1 -1 1 0.03 -1 -1 30596 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63716 32 32 331 280 1 156 93 17 17 289 -1 unnamed_device 23.6 MiB 0.07 899 62.2 MiB 0.12 0.00 2.6877 -93.3875 -2.6877 2.6877 1.04 0.000519827 0.000476746 0.0403709 0.0370676 32 2054 20 6.64007e+06 364182 554710. 1919.41 1.01 0.111329 0.0985643 22834 132086 -1 1853 20 1197 1897 142968 31510 0 0 142968 31510 1897 1328 0 0 6929 5761 0 0 10992 8139 0 0 1897 1488 0 0 58945 7819 0 0 62308 6975 0 0 1897 0 0 700 826 829 6748 0 0 2.74257 2.74257 -110.732 -2.74257 0 0 701300. 2426.64 0.31 0.07 0.14 -1 -1 0.31 0.0254344 0.0225355 114 57 25 25 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.10 vpr 62.63 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 30368 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64136 32 32 386 305 1 188 98 17 17 289 -1 unnamed_device 24.1 MiB 0.21 841 62.6 MiB 0.14 0.00 2.8299 -97.2128 -2.8299 2.8299 0.82 0.000351215 0.000322144 0.0478765 0.0439373 32 2590 24 6.64007e+06 426972 554710. 1919.41 1.04 0.126841 0.112979 22834 132086 -1 1959 21 1714 2907 184913 44560 0 0 184913 44560 2907 1980 0 0 10206 8269 0 0 16144 11687 0 0 2907 2197 0 0 74625 10473 0 0 78124 9954 0 0 2907 0 0 1193 1710 1434 10832 0 0 3.14817 3.14817 -118.885 -3.14817 0 0 701300. 2426.64 0.33 0.07 0.12 -1 -1 0.33 0.0231187 0.020684 145 55 64 32 57 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.32 vpr 62.99 MiB 0.05 6824 -1 -1 1 0.04 -1 -1 30452 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64504 32 32 407 319 1 200 100 17 17 289 -1 unnamed_device 24.2 MiB 0.13 1027 63.0 MiB 0.16 0.00 3.38416 -118.804 -3.38416 3.38416 0.97 0.000622479 0.000574857 0.0498699 0.0459238 32 2564 23 6.64007e+06 452088 554710. 1919.41 0.94 0.12273 0.10952 22834 132086 -1 2214 23 2070 3216 245695 51821 0 0 245695 51821 3216 2410 0 0 11156 9037 0 0 17302 12766 0 0 3216 2645 0 0 111347 11615 0 0 99458 13348 0 0 3216 0 0 1146 1300 1409 10249 0 0 3.76663 3.76663 -144.782 -3.76663 0 0 701300. 2426.64 0.28 0.10 0.11 -1 -1 0.28 0.0335816 0.0297836 158 60 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.09 vpr 61.96 MiB 0.02 6796 -1 -1 1 0.04 -1 -1 30612 -1 -1 19 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63444 29 32 272 228 1 145 80 17 17 289 -1 unnamed_device 23.4 MiB 0.12 829 62.0 MiB 0.11 0.00 2.7049 -86.3628 -2.7049 2.7049 0.91 0.00047405 0.000421101 0.0400802 0.0369353 32 1762 18 6.64007e+06 238602 554710. 1919.41 0.82 0.0823315 0.0734122 22834 132086 -1 1628 20 1062 1780 126557 28880 0 0 126557 28880 1780 1318 0 0 6386 5321 0 0 10039 7450 0 0 1780 1379 0 0 52537 7087 0 0 54035 6325 0 0 1780 0 0 718 615 570 5371 0 0 2.69677 2.69677 -102.126 -2.69677 0 0 701300. 2426.64 0.33 0.06 0.12 -1 -1 0.33 0.022688 0.0201625 108 21 58 29 24 24 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.39 vpr 62.60 MiB 0.04 6956 -1 -1 1 0.04 -1 -1 30348 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64100 32 32 401 315 1 192 86 17 17 289 -1 unnamed_device 24.0 MiB 0.18 1121 62.6 MiB 0.14 0.00 2.7929 -101.487 -2.7929 2.7929 1.04 0.000648185 0.000592766 0.0481587 0.044275 32 2543 19 6.64007e+06 276276 554710. 1919.41 0.71 0.0999495 0.0892314 22834 132086 -1 2274 20 1764 3027 209068 46425 0 0 209068 46425 3027 2351 0 0 10523 8707 0 0 16692 12032 0 0 3027 2645 0 0 91359 9756 0 0 84440 10934 0 0 3027 0 0 1263 1702 1773 11243 0 0 3.12937 3.12937 -124.97 -3.12937 0 0 701300. 2426.64 0.31 0.09 0.14 -1 -1 0.31 0.0325073 0.0289565 147 60 64 32 62 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 4.02 vpr 62.70 MiB 0.03 6992 -1 -1 1 0.04 -1 -1 30312 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64204 32 32 383 303 1 185 100 17 17 289 -1 unnamed_device 24.1 MiB 0.18 975 62.7 MiB 0.11 0.00 2.9051 -104.077 -2.9051 2.9051 0.70 0.000371068 0.000341835 0.0352031 0.0323341 32 2378 33 6.64007e+06 452088 554710. 1919.41 1.09 0.13483 0.119846 22834 132086 -1 2077 22 1564 2242 195232 46173 0 0 195232 46173 2242 1805 0 0 8134 6664 0 0 14643 10817 0 0 2242 1913 0 0 84682 12663 0 0 83289 12311 0 0 2242 0 0 678 968 854 6832 0 0 2.87077 2.87077 -117.436 -2.87077 0 0 701300. 2426.64 0.24 0.09 0.11 -1 -1 0.24 0.0310892 0.0275723 144 54 64 32 56 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.63 vpr 62.62 MiB 0.02 6888 -1 -1 1 0.03 -1 -1 30164 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64128 32 32 339 284 1 162 95 17 17 289 -1 unnamed_device 23.9 MiB 0.13 768 62.6 MiB 0.11 0.00 2.29764 -80.8776 -2.29764 2.29764 1.06 0.000574678 0.000518276 0.0350924 0.0320486 32 2022 24 6.64007e+06 389298 554710. 1919.41 1.05 0.114976 0.101712 22834 132086 -1 1600 19 1106 1731 116376 28064 0 0 116376 28064 1731 1200 0 0 6419 5227 0 0 9659 7286 0 0 1731 1340 0 0 46975 6775 0 0 49861 6236 0 0 1731 0 0 625 883 842 6241 0 0 2.27071 2.27071 -94.0917 -2.27071 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0246577 0.021912 119 62 29 29 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 3.64 vpr 61.64 MiB 0.01 6652 -1 -1 1 0.03 -1 -1 30164 -1 -1 15 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63120 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 23.1 MiB 0.03 554 61.6 MiB 0.06 0.00 2.36284 -73.7482 -2.36284 2.36284 0.93 0.000395281 0.000364212 0.0210225 0.0193587 32 1353 20 6.64007e+06 188370 554710. 1919.41 0.70 0.0526679 0.0464637 22834 132086 -1 1226 19 693 1009 74486 18475 0 0 74486 18475 1009 830 0 0 3970 3410 0 0 6771 5109 0 0 1009 888 0 0 30614 4156 0 0 31113 4082 0 0 1009 0 0 316 379 291 2751 0 0 1.91811 1.91811 -79.2166 -1.91811 0 0 701300. 2426.64 0.29 0.04 0.13 -1 -1 0.29 0.0164771 0.014551 85 29 24 24 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.44 vpr 62.40 MiB 0.02 6900 -1 -1 1 0.03 -1 -1 30408 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63896 31 32 335 280 1 154 80 17 17 289 -1 unnamed_device 23.7 MiB 0.14 657 62.4 MiB 0.10 0.00 3.41785 -99.3078 -3.41785 3.41785 1.04 0.000517654 0.000474533 0.0407203 0.0374283 32 2001 23 6.64007e+06 213486 554710. 1919.41 1.04 0.12682 0.113321 22834 132086 -1 1550 18 829 1217 84237 20948 0 0 84237 20948 1217 1063 0 0 4521 3738 0 0 6799 5238 0 0 1217 1099 0 0 33891 5249 0 0 36592 4561 0 0 1217 0 0 388 347 314 3148 0 0 3.52463 3.52463 -121.395 -3.52463 0 0 701300. 2426.64 0.28 0.05 0.12 -1 -1 0.28 0.0226733 0.0202813 113 55 31 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 5.86 vpr 62.53 MiB 0.02 6908 -1 -1 1 0.03 -1 -1 30176 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64028 32 32 366 283 1 192 100 17 17 289 -1 unnamed_device 23.9 MiB 0.10 843 62.5 MiB 0.14 0.00 3.36336 -109.359 -3.36336 3.36336 0.87 0.000594119 0.000547039 0.0482066 0.0443782 34 2595 37 6.64007e+06 452088 585099. 2024.56 2.58 0.216975 0.193941 23122 138558 -1 1738 20 1479 2190 175173 50329 0 0 175173 50329 2190 1667 0 0 8331 6931 0 0 12430 9986 0 0 2190 1814 0 0 75900 14912 0 0 74132 15019 0 0 2190 0 0 711 855 808 6802 0 0 4.27863 4.27863 -137.464 -4.27863 0 0 742403. 2568.87 0.30 0.09 0.11 -1 -1 0.30 0.0290495 0.0258358 147 31 91 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.81 vpr 62.95 MiB 0.03 7176 -1 -1 1 0.04 -1 -1 30512 -1 -1 38 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64460 32 32 460 375 1 196 102 17 17 289 -1 unnamed_device 24.1 MiB 0.21 953 62.9 MiB 0.12 0.00 3.06225 -100.942 -3.06225 3.06225 1.09 0.000782688 0.000717513 0.0380771 0.0349701 32 2761 22 6.64007e+06 477204 554710. 1919.41 1.14 0.137153 0.121315 22834 132086 -1 2129 20 1427 2168 141971 33449 0 0 141971 33449 2168 1724 0 0 7764 6348 0 0 11812 8854 0 0 2168 1835 0 0 58413 7551 0 0 59646 7137 0 0 2168 0 0 741 886 845 6720 0 0 3.57743 3.57743 -124.777 -3.57743 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0323595 0.0286216 150 108 0 0 125 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.20 vpr 61.51 MiB 0.03 6656 -1 -1 1 0.02 -1 -1 30568 -1 -1 17 26 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62984 26 32 198 186 1 109 75 17 17 289 -1 unnamed_device 23.0 MiB 0.09 586 61.5 MiB 0.08 0.00 2.13964 -62.899 -2.13964 2.13964 1.03 0.000365926 0.000336277 0.0264499 0.0242006 32 1271 19 6.64007e+06 213486 554710. 1919.41 0.89 0.0675091 0.0592992 22834 132086 -1 1154 16 449 736 53066 11842 0 0 53066 11842 736 503 0 0 2630 2120 0 0 4120 3103 0 0 736 540 0 0 21804 2972 0 0 23040 2604 0 0 736 0 0 287 286 284 2365 0 0 1.84591 1.84591 -70.2515 -1.84591 0 0 701300. 2426.64 0.31 0.04 0.13 -1 -1 0.31 0.0141278 0.0125314 77 21 26 26 22 22 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.37 vpr 62.32 MiB 0.02 6916 -1 -1 1 0.03 -1 -1 30084 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63816 32 32 333 251 1 187 86 17 17 289 -1 unnamed_device 23.8 MiB 0.08 1193 62.3 MiB 0.09 0.00 3.76596 -121.69 -3.76596 3.76596 1.00 0.000568147 0.000522854 0.0275951 0.0254756 32 2635 18 6.64007e+06 276276 554710. 1919.41 0.88 0.0864666 0.0768022 22834 132086 -1 2436 21 1635 2866 202365 44898 0 0 202365 44898 2866 2029 0 0 10019 8295 0 0 16140 11622 0 0 2866 2157 0 0 85542 10112 0 0 84932 10683 0 0 2866 0 0 1231 1626 1574 10699 0 0 4.08923 4.08923 -148.351 -4.08923 0 0 701300. 2426.64 0.30 0.09 0.13 -1 -1 0.30 0.0305049 0.027327 138 -1 122 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.16 vpr 61.65 MiB 0.02 6544 -1 -1 1 0.03 -1 -1 30304 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63128 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 23.1 MiB 0.04 775 61.6 MiB 0.07 0.00 1.86653 -70.0919 -1.86653 1.86653 1.03 0.000320862 0.000293196 0.0262019 0.0239894 32 1483 16 6.64007e+06 163254 554710. 1919.41 0.90 0.0682912 0.0603819 22834 132086 -1 1343 17 648 874 63060 14484 0 0 63060 14484 874 762 0 0 3284 2675 0 0 5029 3794 0 0 874 816 0 0 27581 3186 0 0 25418 3251 0 0 874 0 0 226 138 183 1933 0 0 2.04131 2.04131 -87.3916 -2.04131 0 0 701300. 2426.64 0.31 0.04 0.12 -1 -1 0.31 0.0155326 0.0138374 81 -1 53 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.47 vpr 62.75 MiB 0.03 6916 -1 -1 1 0.04 -1 -1 30520 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64252 32 32 376 288 1 194 99 17 17 289 -1 unnamed_device 24.2 MiB 0.07 999 62.7 MiB 0.16 0.00 3.44536 -118.941 -3.44536 3.44536 0.98 0.000511431 0.000467648 0.0499919 0.0456675 32 2388 22 6.64007e+06 439530 554710. 1919.41 1.01 0.137055 0.122111 22834 132086 -1 2041 24 2129 3333 229830 51945 0 0 229830 51945 3333 2581 0 0 11849 9724 0 0 18866 13787 0 0 3333 2759 0 0 98454 11193 0 0 93995 11901 0 0 3333 0 0 1204 1676 1401 10842 0 0 3.66663 3.66663 -139.549 -3.66663 0 0 701300. 2426.64 0.32 0.11 0.14 -1 -1 0.32 0.0365412 0.0326462 153 21 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.65 vpr 62.62 MiB 0.02 6832 -1 -1 1 0.03 -1 -1 30148 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64120 32 32 337 253 1 196 101 17 17 289 -1 unnamed_device 24.1 MiB 0.10 1024 62.6 MiB 0.10 0.00 2.8691 -100.143 -2.8691 2.8691 1.08 0.000575127 0.000527545 0.0287729 0.0264425 26 2724 25 6.64007e+06 464646 477104. 1650.88 1.28 0.129896 0.116131 21682 110474 -1 2259 22 1634 2624 176382 41862 0 0 176382 41862 2624 1960 0 0 9601 7762 0 0 15215 11840 0 0 2624 2104 0 0 73682 8970 0 0 72636 9226 0 0 2624 0 0 990 1367 1398 9635 0 0 3.12817 3.12817 -123.484 -3.12817 0 0 585099. 2024.56 0.26 0.08 0.10 -1 -1 0.26 0.0286752 0.025376 152 -1 124 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.57 vpr 62.72 MiB 0.02 6952 -1 -1 1 0.04 -1 -1 30516 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64224 32 32 407 319 1 197 101 17 17 289 -1 unnamed_device 24.0 MiB 0.09 985 62.7 MiB 0.14 0.00 3.43916 -114.862 -3.43916 3.43916 1.02 0.000644436 0.000592748 0.0404067 0.0370355 32 2866 24 6.64007e+06 464646 554710. 1919.41 1.22 0.134153 0.119337 22834 132086 -1 2314 22 1974 3498 257943 56596 0 0 257943 56596 3498 2569 0 0 12277 10051 0 0 19549 14056 0 0 3498 2786 0 0 108519 13807 0 0 110602 13327 0 0 3498 0 0 1524 2405 2082 14333 0 0 3.87883 3.87883 -141.719 -3.87883 0 0 701300. 2426.64 0.31 0.08 0.14 -1 -1 0.31 0.0250164 0.0222629 155 54 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.45 vpr 62.04 MiB 0.02 6836 -1 -1 1 0.03 -1 -1 30108 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63528 32 32 294 246 1 149 80 17 17 289 -1 unnamed_device 23.2 MiB 0.07 825 62.0 MiB 0.07 0.00 2.45379 -87.7356 -2.45379 2.45379 1.13 0.00048076 0.000440211 0.0217042 0.0200387 32 1918 21 6.64007e+06 200928 554710. 1919.41 1.01 0.0888849 0.0780982 22834 132086 -1 1722 19 1097 1797 126855 28288 0 0 126855 28288 1797 1365 0 0 6271 5165 0 0 9625 7083 0 0 1797 1488 0 0 53116 6852 0 0 54249 6335 0 0 1797 0 0 700 617 743 5447 0 0 2.76097 2.76097 -107.181 -2.76097 0 0 701300. 2426.64 0.30 0.06 0.15 -1 -1 0.30 0.0221177 0.0196099 107 31 54 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.25 vpr 62.41 MiB 0.02 6668 -1 -1 1 0.02 -1 -1 30156 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63912 30 32 296 244 1 154 81 17 17 289 -1 unnamed_device 23.7 MiB 0.08 830 62.4 MiB 0.10 0.00 2.7739 -94.2438 -2.7739 2.7739 1.03 0.000421683 0.00038716 0.037831 0.034817 32 1897 19 6.64007e+06 238602 554710. 1919.41 0.84 0.09403 0.0833315 22834 132086 -1 1633 20 1193 1762 124185 28345 0 0 124185 28345 1762 1439 0 0 6331 5102 0 0 9539 7233 0 0 1762 1529 0 0 52879 6552 0 0 51912 6490 0 0 1762 0 0 569 410 651 4918 0 0 2.89997 2.89997 -111.727 -2.89997 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0233297 0.0206848 115 29 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.32 vpr 62.00 MiB 0.02 6904 -1 -1 1 0.03 -1 -1 30248 -1 -1 20 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63492 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 23.4 MiB 0.08 733 62.0 MiB 0.08 0.00 2.7097 -84.1332 -2.7097 2.7097 0.99 0.000386475 0.000354747 0.024769 0.0227666 32 1897 24 6.64007e+06 251160 554710. 1919.41 0.96 0.0842808 0.0740605 22834 132086 -1 1611 19 1177 1947 134688 31577 0 0 134688 31577 1947 1571 0 0 7205 5856 0 0 10879 8355 0 0 1947 1640 0 0 55613 7341 0 0 57097 6814 0 0 1947 0 0 770 772 736 6079 0 0 2.83997 2.83997 -101.439 -2.83997 0 0 701300. 2426.64 0.31 0.06 0.14 -1 -1 0.31 0.0217614 0.0193204 107 27 56 28 28 28 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.45 vpr 62.39 MiB 0.02 6760 -1 -1 1 0.04 -1 -1 30276 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63892 32 32 283 225 1 166 82 17 17 289 -1 unnamed_device 23.7 MiB 0.09 818 62.4 MiB 0.10 0.00 2.8039 -97.5727 -2.8039 2.8039 1.02 0.000471714 0.000430721 0.0306374 0.0281674 32 2044 22 6.64007e+06 226044 554710. 1919.41 1.00 0.103043 0.0913871 22834 132086 -1 1744 21 1493 2366 162841 37521 0 0 162841 37521 2366 1861 0 0 8464 6811 0 0 13569 9975 0 0 2366 2026 0 0 66813 8813 0 0 69263 8035 0 0 2366 0 0 873 1007 1039 7627 0 0 2.94077 2.94077 -117.87 -2.94077 0 0 701300. 2426.64 0.30 0.08 0.14 -1 -1 0.30 0.0254164 0.0225421 125 -1 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.59 vpr 62.50 MiB 0.02 6868 -1 -1 1 0.03 -1 -1 30204 -1 -1 31 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64000 31 32 303 249 1 162 94 17 17 289 -1 unnamed_device 23.8 MiB 0.06 869 62.5 MiB 0.13 0.00 2.7427 -95.3065 -2.7427 2.7427 0.99 0.000497508 0.000457061 0.0404292 0.037172 32 2054 23 6.64007e+06 389298 554710. 1919.41 1.01 0.110243 0.0977237 22834 132086 -1 1806 21 1263 1893 122763 28893 0 0 122763 28893 1893 1361 0 0 6920 5631 0 0 10273 7840 0 0 1893 1484 0 0 49714 6569 0 0 52070 6008 0 0 1893 0 0 630 664 796 6261 0 0 2.80297 2.80297 -112.181 -2.80297 0 0 701300. 2426.64 0.32 0.06 0.14 -1 -1 0.32 0.0241164 0.0213633 119 26 61 31 31 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.14 vpr 62.50 MiB 0.02 6924 -1 -1 1 0.03 -1 -1 30172 -1 -1 31 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64004 29 32 312 264 1 154 92 17 17 289 -1 unnamed_device 23.7 MiB 0.14 764 62.5 MiB 0.10 0.00 2.24264 -73.5213 -2.24264 2.24264 0.89 0.00054397 0.000496591 0.0284429 0.0260629 30 1566 15 6.64007e+06 389298 526063. 1820.29 0.91 0.0901248 0.0794498 22546 126617 -1 1327 20 935 1538 73124 17486 0 0 73124 17486 1538 995 0 0 4905 3833 0 0 6666 5116 0 0 1538 1136 0 0 30043 3064 0 0 28434 3342 0 0 1538 0 0 603 776 774 5811 0 0 1.99731 1.99731 -80.4656 -1.99731 0 0 666494. 2306.21 0.32 0.05 0.13 -1 -1 0.32 0.0234364 0.0207458 110 55 29 29 57 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.51 vpr 62.61 MiB 0.02 7020 -1 -1 1 0.03 -1 -1 30608 -1 -1 41 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64116 32 32 423 310 1 229 105 17 17 289 -1 unnamed_device 24.3 MiB 0.19 1295 62.6 MiB 0.07 0.00 3.41716 -121.564 -3.41716 3.41716 0.94 0.000398169 0.00036802 0.0207643 0.0191891 32 2954 23 6.64007e+06 514878 554710. 1919.41 1.05 0.12384 0.109613 22834 132086 -1 2582 23 2012 3182 213285 46670 0 0 213285 46670 3182 2228 0 0 10946 8660 0 0 17198 12307 0 0 3182 2413 0 0 88683 10809 0 0 90094 10253 0 0 3182 0 0 1170 2068 2295 14915 0 0 3.80683 3.80683 -146.515 -3.80683 0 0 701300. 2426.64 0.32 0.10 0.13 -1 -1 0.32 0.0362614 0.0322446 181 26 128 32 27 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.44 vpr 62.79 MiB 0.03 6992 -1 -1 1 0.04 -1 -1 30452 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64296 32 32 403 317 1 198 101 17 17 289 -1 unnamed_device 24.1 MiB 0.15 947 62.8 MiB 0.10 0.00 2.8801 -101.513 -2.8801 2.8801 0.96 0.000367573 0.000338421 0.030596 0.0281258 32 2217 20 6.64007e+06 464646 554710. 1919.41 1.00 0.115178 0.101898 22834 132086 -1 1926 20 1903 2903 181062 42341 0 0 181062 42341 2903 2066 0 0 10337 8290 0 0 15794 11818 0 0 2903 2289 0 0 74395 9083 0 0 74730 8795 0 0 2903 0 0 1000 1252 1334 9689 0 0 2.75897 2.75897 -115.978 -2.75897 0 0 701300. 2426.64 0.29 0.09 0.13 -1 -1 0.29 0.0323257 0.0287246 154 62 62 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.94 vpr 62.48 MiB 0.02 6872 -1 -1 1 0.03 -1 -1 30388 -1 -1 29 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63976 31 32 353 302 1 156 92 17 17 289 -1 unnamed_device 23.8 MiB 0.19 731 62.5 MiB 0.08 0.00 2.9621 -91.1584 -2.9621 2.9621 1.07 0.000555415 0.000506125 0.025855 0.0237281 26 2258 34 6.64007e+06 364182 477104. 1650.88 1.47 0.143494 0.128591 21682 110474 -1 1765 20 1263 1931 130733 31405 0 0 130733 31405 1931 1465 0 0 7055 5669 0 0 10440 8062 0 0 1931 1536 0 0 53559 7505 0 0 55817 7168 0 0 1931 0 0 668 881 896 6766 0 0 2.76677 2.76677 -109.776 -2.76677 0 0 585099. 2024.56 0.27 0.07 0.11 -1 -1 0.27 0.0264455 0.0235056 114 77 0 0 89 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.35 vpr 62.72 MiB 0.03 6884 -1 -1 1 0.04 -1 -1 30312 -1 -1 24 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64224 31 32 391 309 1 194 87 17 17 289 -1 unnamed_device 23.9 MiB 0.15 1074 62.7 MiB 0.13 0.00 2.9211 -98.485 -2.9211 2.9211 0.96 0.000582526 0.000534558 0.0439171 0.0404119 32 2423 26 6.64007e+06 301392 554710. 1919.41 0.97 0.113581 0.10118 22834 132086 -1 2219 25 1933 3330 243774 59856 0 0 243774 59856 3330 2464 0 0 12190 10109 0 0 20225 14939 0 0 3330 2819 0 0 102027 15221 0 0 102672 14304 0 0 3330 0 0 1397 1817 1832 12054 0 0 3.13057 3.13057 -117.547 -3.13057 0 0 701300. 2426.64 0.30 0.11 0.13 -1 -1 0.30 0.0375895 0.0333386 149 59 60 30 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 5.10 vpr 62.79 MiB 0.03 7200 -1 -1 1 0.03 -1 -1 30464 -1 -1 23 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64296 31 32 455 371 1 193 86 17 17 289 -1 unnamed_device 24.0 MiB 0.39 1075 62.8 MiB 0.11 0.00 4.21121 -122.888 -4.21121 4.21121 1.00 0.000680824 0.000624617 0.0378761 0.0348526 28 2649 20 6.64007e+06 288834 500653. 1732.36 1.38 0.154614 0.138627 21970 115934 -1 2278 22 1008 1686 124329 27252 0 0 124329 27252 1686 1356 0 0 6044 4684 0 0 8516 6859 0 0 1686 1441 0 0 55524 5874 0 0 50873 7038 0 0 1686 0 0 678 713 840 5817 0 0 4.08168 4.08168 -143.637 -4.08168 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0337092 0.029856 150 111 0 0 124 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.45 vpr 62.95 MiB 0.03 7000 -1 -1 1 0.03 -1 -1 30384 -1 -1 23 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64456 31 32 413 333 1 188 86 17 17 289 -1 unnamed_device 24.2 MiB 0.18 1015 62.9 MiB 0.13 0.00 4.04401 -114.423 -4.04401 4.04401 1.06 0.000628794 0.000579564 0.0464436 0.0426619 32 2404 21 6.64007e+06 288834 554710. 1919.41 0.94 0.113842 0.10131 22834 132086 -1 2106 18 1253 2083 148216 34237 0 0 148216 34237 2083 1570 0 0 7671 6448 0 0 11941 9189 0 0 2083 1700 0 0 61882 7758 0 0 62556 7572 0 0 2083 0 0 830 780 774 6269 0 0 4.00969 4.00969 -140.257 -4.00969 0 0 701300. 2426.64 0.26 0.07 0.09 -1 -1 0.26 0.0272909 0.0242844 144 86 31 31 89 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.50 vpr 62.61 MiB 0.03 7092 -1 -1 1 0.04 -1 -1 30340 -1 -1 35 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64108 31 32 391 309 1 193 98 17 17 289 -1 unnamed_device 24.0 MiB 0.13 877 62.6 MiB 0.13 0.00 2.7859 -92.6822 -2.7859 2.7859 1.03 0.000636746 0.000577236 0.0379969 0.0347875 32 2390 20 6.64007e+06 439530 554710. 1919.41 1.04 0.121085 0.107324 22834 132086 -1 1935 21 1697 2905 167481 41177 0 0 167481 41177 2905 2039 0 0 10220 8346 0 0 15710 11451 0 0 2905 2221 0 0 68613 8712 0 0 67128 8408 0 0 2905 0 0 1208 1509 1522 10876 0 0 2.91877 2.91877 -114.157 -2.91877 0 0 701300. 2426.64 0.30 0.08 0.08 -1 -1 0.30 0.0313208 0.027989 148 58 60 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.57 vpr 63.00 MiB 0.03 6948 -1 -1 1 0.04 -1 -1 30496 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64508 32 32 407 319 1 198 101 17 17 289 -1 unnamed_device 24.2 MiB 0.12 1110 63.0 MiB 0.15 0.00 3.31896 -119.55 -3.31896 3.31896 1.07 0.000642425 0.000593031 0.044769 0.0411307 28 2638 23 6.64007e+06 464646 500653. 1732.36 1.02 0.12708 0.113697 21970 115934 -1 2340 21 1845 2996 231865 49294 0 0 231865 49294 2996 2153 0 0 10853 8836 0 0 15631 12278 0 0 2996 2316 0 0 106291 10705 0 0 93098 13006 0 0 2996 0 0 1151 1753 2069 13113 0 0 3.60723 3.60723 -144.212 -3.60723 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.0298518 0.0266824 156 42 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.53 vpr 62.95 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 30600 -1 -1 42 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64460 32 32 496 380 1 232 106 17 17 289 -1 unnamed_device 24.6 MiB 0.18 1269 62.9 MiB 0.18 0.00 3.57956 -123.56 -3.57956 3.57956 1.03 0.00085736 0.000779138 0.0510402 0.0469419 30 2936 23 6.64007e+06 527436 526063. 1820.29 0.90 0.131037 0.116951 22546 126617 -1 2505 21 1878 3075 163850 38082 0 0 163850 38082 3075 2038 0 0 10022 7795 0 0 13216 10394 0 0 3075 2229 0 0 71955 6972 0 0 62507 8654 0 0 3075 0 0 1197 1831 2099 13278 0 0 3.83003 3.83003 -144.821 -3.83003 0 0 666494. 2306.21 0.25 0.09 0.11 -1 -1 0.25 0.0376006 0.0333892 186 91 62 32 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.30 vpr 62.35 MiB 0.02 6780 -1 -1 1 0.03 -1 -1 30540 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63848 31 32 305 250 1 158 81 17 17 289 -1 unnamed_device 23.7 MiB 0.11 740 62.4 MiB 0.13 0.00 3.0453 -97.4397 -3.0453 3.0453 1.03 0.000289118 0.000265977 0.0357963 0.033045 32 1921 21 6.64007e+06 226044 554710. 1919.41 0.77 0.0801731 0.0713464 22834 132086 -1 1639 21 1395 2203 162972 37696 0 0 162972 37696 2203 1671 0 0 8081 6771 0 0 13245 9827 0 0 2203 1812 0 0 69090 8808 0 0 68150 8807 0 0 2203 0 0 808 836 934 6953 0 0 3.08917 3.08917 -116.443 -3.08917 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0252094 0.022363 116 24 62 31 31 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 5.77 vpr 62.88 MiB 0.03 7092 -1 -1 1 0.05 -1 -1 30364 -1 -1 38 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64392 31 32 395 311 1 196 101 17 17 289 -1 unnamed_device 24.3 MiB 0.14 1032 62.9 MiB 0.21 0.00 3.54836 -117.608 -3.54836 3.54836 1.02 0.000672884 0.000619262 0.0572475 0.0526963 28 2814 24 6.64007e+06 477204 500653. 1732.36 2.10 0.163081 0.146759 21970 115934 -1 2236 23 1907 3320 235162 53288 0 0 235162 53288 3320 2224 0 0 11441 9009 0 0 16901 12806 0 0 3320 2489 0 0 100560 13550 0 0 99620 13210 0 0 3320 0 0 1413 2858 2941 16942 0 0 3.80183 3.80183 -138.848 -3.80183 0 0 612192. 2118.31 0.30 0.12 0.10 -1 -1 0.30 0.044857 0.040473 152 59 62 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 5.24 vpr 62.93 MiB 0.02 6952 -1 -1 1 0.04 -1 -1 30552 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64440 32 32 397 313 1 196 98 17 17 289 -1 unnamed_device 24.2 MiB 0.11 1057 62.9 MiB 0.10 0.00 3.0713 -99.7452 -3.0713 3.0713 1.15 0.000703417 0.000647901 0.0291508 0.0268333 26 3044 27 6.64007e+06 426972 477104. 1650.88 1.91 0.132188 0.117257 21682 110474 -1 2259 19 1066 1831 125417 31285 0 0 125417 31285 1831 1321 0 0 6986 5844 0 0 9944 8087 0 0 1831 1443 0 0 54825 7131 0 0 50000 7459 0 0 1831 0 0 765 1005 889 6902 0 0 3.12537 3.12537 -121.303 -3.12537 0 0 585099. 2024.56 0.27 0.07 0.11 -1 -1 0.27 0.0277347 0.0247631 149 54 62 32 62 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 3.94 vpr 62.43 MiB 0.03 6848 -1 -1 1 0.04 -1 -1 30448 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63932 32 32 345 257 1 194 86 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1130 62.4 MiB 0.11 0.00 3.30796 -118.295 -3.30796 3.30796 0.93 0.000325881 0.000300189 0.0337378 0.0311372 32 2784 22 6.64007e+06 276276 554710. 1919.41 0.81 0.100323 0.0893346 22834 132086 -1 2330 20 1935 3363 219890 49592 0 0 219890 49592 3363 2578 0 0 11573 9450 0 0 18256 13154 0 0 3363 2867 0 0 95400 10423 0 0 87935 11120 0 0 3363 0 0 1428 1513 1497 11939 0 0 3.64943 3.64943 -144.9 -3.64943 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0306546 0.027389 151 -1 128 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.38 vpr 62.90 MiB 0.02 7024 -1 -1 1 0.03 -1 -1 30432 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64408 32 32 424 343 1 190 99 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1064 62.9 MiB 0.16 0.00 2.7537 -100.272 -2.7537 2.7537 1.04 0.000650282 0.000598509 0.0514772 0.0473563 28 2455 22 6.64007e+06 439530 500653. 1732.36 1.12 0.155489 0.139413 21970 115934 -1 2127 19 1311 2033 130964 30218 0 0 130964 30218 2033 1464 0 0 7309 5923 0 0 10612 8458 0 0 2033 1579 0 0 55528 6232 0 0 53449 6562 0 0 2033 0 0 722 1031 1089 7837 0 0 2.70037 2.70037 -115.991 -2.70037 0 0 612192. 2118.31 0.28 0.08 0.12 -1 -1 0.28 0.0308635 0.0276287 146 81 25 25 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 5.04 vpr 62.91 MiB 0.03 6992 -1 -1 1 0.04 -1 -1 30464 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64416 32 32 395 311 1 194 101 17 17 289 -1 unnamed_device 24.2 MiB 0.18 1022 62.9 MiB 0.11 0.00 2.7537 -99.671 -2.7537 2.7537 1.01 0.000575394 0.000518086 0.032529 0.0297031 26 2804 24 6.64007e+06 464646 477104. 1650.88 1.42 0.130065 0.115483 21682 110474 -1 2171 16 1218 2067 139136 31107 0 0 139136 31107 2067 1463 0 0 7310 5809 0 0 10980 8368 0 0 2067 1546 0 0 61671 6456 0 0 55041 7465 0 0 2067 0 0 849 1227 1378 9327 0 0 2.82057 2.82057 -119.39 -2.82057 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0277195 0.0249987 148 58 64 32 60 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.87 vpr 62.66 MiB 0.03 6960 -1 -1 1 0.03 -1 -1 30384 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64160 32 32 405 318 1 200 103 17 17 289 -1 unnamed_device 24.0 MiB 0.15 971 62.7 MiB 0.18 0.00 2.9343 -100.466 -2.9343 2.9343 0.92 0.000645069 0.000593146 0.0564634 0.0516389 28 2884 29 6.64007e+06 489762 500653. 1732.36 1.40 0.15343 0.137368 21970 115934 -1 2243 22 1876 2972 214838 48615 0 0 214838 48615 2972 2186 0 0 10172 8077 0 0 15230 11439 0 0 2972 2398 0 0 90308 12725 0 0 93184 11790 0 0 2972 0 0 1096 1365 1330 9741 0 0 3.02037 3.02037 -121.571 -3.02037 0 0 612192. 2118.31 0.29 0.10 0.12 -1 -1 0.29 0.0358262 0.0318518 157 61 63 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.20 vpr 62.56 MiB 0.02 6932 -1 -1 1 0.03 -1 -1 30644 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64060 32 32 376 288 1 194 101 17 17 289 -1 unnamed_device 24.0 MiB 0.07 1090 62.6 MiB 0.18 0.00 3.37316 -119.769 -3.37316 3.37316 0.85 0.000604468 0.000555299 0.0559742 0.0514914 32 2530 24 6.64007e+06 464646 554710. 1919.41 1.02 0.132723 0.118225 22834 132086 -1 2157 21 1744 2702 187675 41063 0 0 187675 41063 2702 2023 0 0 9585 7859 0 0 14658 10916 0 0 2702 2134 0 0 86527 7937 0 0 71501 10194 0 0 2702 0 0 958 1008 965 8365 0 0 3.80363 3.80363 -146.367 -3.80363 0 0 701300. 2426.64 0.31 0.09 0.16 -1 -1 0.31 0.0337132 0.0303186 152 21 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.88 vpr 62.71 MiB 0.03 7016 -1 -1 1 0.04 -1 -1 30668 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64216 32 32 407 319 1 197 103 17 17 289 -1 unnamed_device 24.1 MiB 0.14 1005 62.7 MiB 0.11 0.00 3.41536 -117.125 -3.41536 3.41536 1.00 0.000610513 0.000561236 0.033569 0.030768 26 2655 22 6.64007e+06 489762 477104. 1650.88 1.40 0.133729 0.11983 21682 110474 -1 2257 20 1879 3120 222955 50195 0 0 222955 50195 3120 2171 0 0 11415 9488 0 0 17502 13326 0 0 3120 2393 0 0 98984 10651 0 0 88814 12166 0 0 3120 0 0 1241 1482 1568 11807 0 0 3.75263 3.75263 -144.96 -3.75263 0 0 585099. 2024.56 0.27 0.09 0.11 -1 -1 0.27 0.0300551 0.0267924 155 50 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 5.33 vpr 62.87 MiB 0.03 7236 -1 -1 1 0.04 -1 -1 30508 -1 -1 36 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64376 31 32 449 367 1 193 99 17 17 289 -1 unnamed_device 24.1 MiB 0.21 1132 62.9 MiB 0.12 0.00 3.83395 -115.186 -3.83395 3.83395 1.07 0.000679844 0.000625685 0.0380212 0.0350125 26 2970 29 6.64007e+06 452088 477104. 1650.88 1.75 0.15698 0.139462 21682 110474 -1 2400 20 1560 2698 186913 42304 0 0 186913 42304 2698 1943 0 0 9740 8070 0 0 14048 10836 0 0 2698 2227 0 0 80575 9542 0 0 77154 9686 0 0 2698 0 0 1138 1420 1609 10465 0 0 3.66442 3.66442 -134.7 -3.66442 0 0 585099. 2024.56 0.27 0.09 0.11 -1 -1 0.27 0.0342269 0.0304561 147 110 0 0 122 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 4.74 vpr 62.71 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 30432 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64216 32 32 432 346 1 195 86 17 17 289 -1 unnamed_device 24.1 MiB 0.16 1052 62.7 MiB 0.16 0.00 3.56755 -112.832 -3.56755 3.56755 1.04 0.000650771 0.000597636 0.0580158 0.053367 32 2622 23 6.64007e+06 276276 554710. 1919.41 1.10 0.149556 0.133315 22834 132086 -1 2293 22 1787 3238 214850 48688 0 0 214850 48688 3238 2337 0 0 11193 9297 0 0 17816 12680 0 0 3238 2554 0 0 87736 11603 0 0 91629 10217 0 0 3238 0 0 1451 1620 1464 11265 0 0 3.65743 3.65743 -138.827 -3.65743 0 0 701300. 2426.64 0.29 0.12 0.11 -1 -1 0.29 0.0498188 0.0449871 151 86 32 32 94 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.79 vpr 62.34 MiB 0.02 6608 -1 -1 1 0.03 -1 -1 30556 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63832 32 32 312 255 1 166 95 17 17 289 -1 unnamed_device 23.6 MiB 0.07 776 62.3 MiB 0.09 0.00 2.7537 -96.7128 -2.7537 2.7537 0.97 0.000516439 0.000476449 0.0239482 0.0220891 28 2342 38 6.64007e+06 389298 500653. 1732.36 1.44 0.117194 0.103866 21970 115934 -1 1974 21 1237 1932 165323 39046 0 0 165323 39046 1932 1461 0 0 6815 5487 0 0 9705 7537 0 0 1932 1576 0 0 75076 10694 0 0 69863 12291 0 0 1932 0 0 695 876 919 6876 0 0 3.04997 3.04997 -122.328 -3.04997 0 0 612192. 2118.31 0.28 0.08 0.12 -1 -1 0.28 0.0271876 0.0242539 125 20 63 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.62 vpr 62.52 MiB 0.03 6876 -1 -1 1 0.03 -1 -1 30348 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64016 32 32 370 314 1 164 82 17 17 289 -1 unnamed_device 23.8 MiB 0.20 956 62.5 MiB 0.11 0.00 2.7819 -101.672 -2.7819 2.7819 1.05 0.000544446 0.000498465 0.0385689 0.0354376 32 2155 21 6.64007e+06 226044 554710. 1919.41 1.04 0.114628 0.101512 22834 132086 -1 1926 21 1375 2207 161104 35483 0 0 161104 35483 2207 1718 0 0 7714 6365 0 0 12905 9586 0 0 2207 1825 0 0 72891 7123 0 0 63180 8866 0 0 2207 0 0 832 705 867 6571 0 0 2.75177 2.75177 -117.824 -2.75177 0 0 701300. 2426.64 0.32 0.08 0.14 -1 -1 0.32 0.0311414 0.0277687 121 91 0 0 94 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 5.29 vpr 62.80 MiB 0.02 7104 -1 -1 1 0.03 -1 -1 30732 -1 -1 42 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64304 32 32 469 351 1 233 106 17 17 289 -1 unnamed_device 24.4 MiB 0.08 1369 62.8 MiB 0.21 0.00 4.14482 -142.34 -4.14482 4.14482 0.91 0.000753628 0.000693742 0.0607698 0.0558602 28 3842 30 6.64007e+06 527436 500653. 1732.36 2.13 0.183764 0.164391 21970 115934 -1 3051 24 2549 4231 306568 69252 0 0 306568 69252 4231 3030 0 0 14886 12100 0 0 22345 17234 0 0 4231 3333 0 0 133684 16186 0 0 127191 17369 0 0 4231 0 0 1682 2254 1953 15310 0 0 4.89669 4.89669 -177.147 -4.89669 0 0 612192. 2118.31 0.19 0.09 0.07 -1 -1 0.19 0.0285965 0.0253414 189 53 96 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.62 vpr 62.63 MiB 0.05 6880 -1 -1 1 0.03 -1 -1 30404 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64132 32 32 368 284 1 194 97 17 17 289 -1 unnamed_device 24.1 MiB 0.13 862 62.6 MiB 0.15 0.00 2.8911 -98.8384 -2.8911 2.8911 1.04 0.000595139 0.000547425 0.0475333 0.0434127 32 2189 24 6.64007e+06 414414 554710. 1919.41 1.03 0.129405 0.114707 22834 132086 -1 1797 17 1352 1926 119515 29561 0 0 119515 29561 1926 1458 0 0 7045 5694 0 0 10504 8033 0 0 1926 1534 0 0 50878 6132 0 0 47236 6710 0 0 1926 0 0 574 639 656 5675 0 0 3.09657 3.09657 -115.748 -3.09657 0 0 701300. 2426.64 0.31 0.06 0.14 -1 -1 0.31 0.0219756 0.0197816 148 31 92 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.41 vpr 62.27 MiB 0.03 6788 -1 -1 1 0.03 -1 -1 30272 -1 -1 31 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63764 30 32 296 244 1 158 93 17 17 289 -1 unnamed_device 23.6 MiB 0.08 709 62.3 MiB 0.07 0.00 2.7317 -88.2741 -2.7317 2.7317 1.02 0.000509607 0.000468886 0.0182436 0.0167609 26 2070 25 6.64007e+06 389298 477104. 1650.88 1.10 0.100141 0.0887827 21682 110474 -1 1752 17 1123 1699 101180 24766 0 0 101180 24766 1699 1268 0 0 5996 4758 0 0 8792 6713 0 0 1699 1353 0 0 41229 5470 0 0 41765 5204 0 0 1699 0 0 576 747 781 5833 0 0 2.99317 2.99317 -113.984 -2.99317 0 0 585099. 2024.56 0.27 0.06 0.10 -1 -1 0.27 0.0230325 0.0205941 116 29 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 5.51 vpr 63.18 MiB 0.05 7360 -1 -1 1 0.04 -1 -1 30884 -1 -1 45 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64696 32 32 531 413 1 232 109 17 17 289 -1 unnamed_device 24.7 MiB 0.27 1374 63.2 MiB 0.14 0.00 3.89342 -135.958 -3.89342 3.89342 0.98 0.000767582 0.00070588 0.0425884 0.0391408 26 3441 25 6.64007e+06 565110 477104. 1650.88 1.99 0.163958 0.146289 21682 110474 -1 2859 22 2329 3722 270147 58149 0 0 270147 58149 3722 2714 0 0 13215 10661 0 0 19844 14952 0 0 3722 2933 0 0 118642 12786 0 0 111002 14103 0 0 3722 0 0 1393 2297 2664 16308 0 0 4.79669 4.79669 -175.784 -4.79669 0 0 585099. 2024.56 0.22 0.12 0.07 -1 -1 0.22 0.040458 0.0358844 188 109 32 32 128 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.59 vpr 62.75 MiB 0.02 6808 -1 -1 1 0.04 -1 -1 30580 -1 -1 38 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64256 32 32 376 288 1 194 102 17 17 289 -1 unnamed_device 24.1 MiB 0.13 929 62.8 MiB 0.11 0.00 3.41536 -118.083 -3.41536 3.41536 1.07 0.000651315 0.000592629 0.0323247 0.0297479 30 2356 23 6.64007e+06 477204 526063. 1820.29 1.03 0.114829 0.101994 22546 126617 -1 1872 21 1479 2148 115818 28017 0 0 115818 28017 2148 1607 0 0 7204 5339 0 0 9191 7462 0 0 2148 1699 0 0 46773 5951 0 0 48354 5959 0 0 2148 0 0 669 738 833 6800 0 0 3.53423 3.53423 -137.46 -3.53423 0 0 666494. 2306.21 0.30 0.07 0.12 -1 -1 0.30 0.030196 0.0268698 153 31 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 5.32 vpr 62.21 MiB 0.02 6624 -1 -1 1 0.04 -1 -1 30336 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63704 32 32 283 225 1 164 96 17 17 289 -1 unnamed_device 23.7 MiB 0.11 792 62.2 MiB 0.11 0.00 2.7647 -98.1605 -2.7647 2.7647 1.02 0.000545312 0.000502552 0.0310799 0.0286001 26 2390 26 6.64007e+06 401856 477104. 1650.88 1.90 0.115003 0.102101 21682 110474 -1 1918 17 1105 1736 122166 28176 0 0 122166 28176 1736 1282 0 0 6165 4888 0 0 9050 6797 0 0 1736 1356 0 0 56108 6331 0 0 47371 7522 0 0 1736 0 0 631 865 937 6511 0 0 2.94877 2.94877 -123.722 -2.94877 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0216076 0.0193135 124 -1 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 5.28 vpr 62.68 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 30884 -1 -1 43 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64180 32 32 438 320 1 235 107 17 17 289 -1 unnamed_device 24.3 MiB 0.17 1332 62.7 MiB 0.19 0.00 3.99342 -139.136 -3.99342 3.99342 1.06 0.000865817 0.00080569 0.0550889 0.0510867 28 3519 22 6.64007e+06 539994 500653. 1732.36 1.58 0.169143 0.152267 21970 115934 -1 2969 22 2329 3972 301422 64454 0 0 301422 64454 3972 2865 0 0 13875 11058 0 0 21117 15997 0 0 3972 3077 0 0 130410 15939 0 0 128076 15518 0 0 3972 0 0 1643 3105 3760 21398 0 0 4.79249 4.79249 -179.423 -4.79249 0 0 612192. 2118.31 0.27 0.15 0.12 -1 -1 0.27 0.035984 0.0320785 190 26 128 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.11 vpr 62.09 MiB 0.02 6692 -1 -1 1 0.03 -1 -1 30292 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63584 32 32 283 225 1 162 81 17 17 289 -1 unnamed_device 23.7 MiB 0.10 854 62.1 MiB 0.11 0.00 2.7849 -100.479 -2.7849 2.7849 0.96 0.000464657 0.000425317 0.0362872 0.0333868 32 2071 20 6.64007e+06 213486 554710. 1919.41 0.96 0.103507 0.0915714 22834 132086 -1 1789 24 1654 2730 211766 46586 0 0 211766 46586 2730 2183 0 0 9849 7984 0 0 15805 11652 0 0 2730 2317 0 0 95311 10799 0 0 85341 11651 0 0 2730 0 0 1076 1404 1400 9590 0 0 2.98917 2.98917 -121.668 -2.98917 0 0 701300. 2426.64 0.20 0.06 0.09 -1 -1 0.20 0.016884 0.0148927 121 -1 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 6.02 vpr 62.08 MiB 0.02 6768 -1 -1 1 0.03 -1 -1 30056 -1 -1 32 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63568 30 32 296 244 1 157 94 17 17 289 -1 unnamed_device 23.6 MiB 0.14 694 62.1 MiB 0.10 0.00 2.8189 -90.3374 -2.8189 2.8189 1.10 0.000475462 0.000434972 0.0313498 0.0287551 26 2330 50 6.64007e+06 401856 477104. 1650.88 2.42 0.14248 0.126532 21682 110474 -1 1744 22 1228 1972 151963 37489 0 0 151963 37489 1972 1519 0 0 7190 5794 0 0 10843 8228 0 0 1972 1625 0 0 64126 9649 0 0 65860 10674 0 0 1972 0 0 744 1289 942 7731 0 0 2.88697 2.88697 -111.236 -2.88697 0 0 585099. 2024.56 0.26 0.07 0.10 -1 -1 0.26 0.0252858 0.0224094 114 29 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 4.04 vpr 62.75 MiB 0.02 7012 -1 -1 1 0.04 -1 -1 30312 -1 -1 34 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64256 29 32 393 319 1 182 95 17 17 289 -1 unnamed_device 24.2 MiB 0.11 1004 62.8 MiB 0.09 0.00 2.9591 -89.5578 -2.9591 2.9591 0.91 0.000579145 0.000550043 0.0277787 0.0256101 28 2300 20 6.64007e+06 426972 500653. 1732.36 0.93 0.103541 0.0923276 21970 115934 -1 2014 20 1261 2113 126876 29982 0 0 126876 29982 2113 1505 0 0 7162 5928 0 0 10639 8122 0 0 2113 1605 0 0 52705 6595 0 0 52144 6227 0 0 2113 0 0 852 980 969 7384 0 0 3.01416 3.01416 -111.013 -3.01416 0 0 612192. 2118.31 0.25 0.06 0.10 -1 -1 0.25 0.0308496 0.0276561 134 81 29 29 85 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.72 vpr 62.71 MiB 0.03 6952 -1 -1 1 0.04 -1 -1 30604 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64220 32 32 407 319 1 194 86 17 17 289 -1 unnamed_device 24.1 MiB 0.13 895 62.7 MiB 0.10 0.00 3.37836 -116.939 -3.37836 3.37836 1.14 0.000629364 0.000577872 0.033488 0.0308284 30 2220 20 6.64007e+06 276276 526063. 1820.29 1.11 0.123518 0.110298 22546 126617 -1 1870 21 1539 2337 141946 32196 0 0 141946 32196 2337 1688 0 0 7826 5971 0 0 10175 8159 0 0 2337 1783 0 0 65628 6183 0 0 53643 8412 0 0 2337 0 0 798 694 952 6897 0 0 3.69663 3.69663 -142.63 -3.69663 0 0 666494. 2306.21 0.30 0.08 0.13 -1 -1 0.30 0.0328805 0.0293865 152 53 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.62 vpr 62.87 MiB 0.02 6948 -1 -1 1 0.03 -1 -1 30664 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64380 32 32 407 319 1 195 100 17 17 289 -1 unnamed_device 24.2 MiB 0.22 1056 62.9 MiB 0.11 0.00 3.41716 -119.667 -3.41716 3.41716 0.90 0.000372032 0.000342633 0.0346208 0.0317957 32 2655 23 6.64007e+06 452088 554710. 1919.41 1.16 0.14238 0.126167 22834 132086 -1 2169 20 1691 2774 181783 41243 0 0 181783 41243 2774 2027 0 0 9770 8079 0 0 15380 11285 0 0 2774 2204 0 0 76225 8433 0 0 74860 9215 0 0 2774 0 0 1083 1348 1401 10064 0 0 3.50303 3.50303 -137.084 -3.50303 0 0 701300. 2426.64 0.30 0.09 0.12 -1 -1 0.30 0.0320189 0.0285333 154 55 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.54 vpr 62.58 MiB 0.02 6800 -1 -1 1 0.04 -1 -1 30496 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64080 32 32 345 287 1 161 96 17 17 289 -1 unnamed_device 23.8 MiB 0.13 897 62.6 MiB 0.11 0.00 2.8629 -104.168 -2.8629 2.8629 1.05 0.000548038 0.000496577 0.0367038 0.0335617 28 2129 18 6.64007e+06 401856 500653. 1732.36 1.03 0.116305 0.10381 21970 115934 -1 1895 22 1246 1907 134203 30095 0 0 134203 30095 1907 1367 0 0 6840 5370 0 0 10060 7770 0 0 1907 1473 0 0 58510 6982 0 0 54979 7133 0 0 1907 0 0 661 823 1020 6921 0 0 3.06897 3.06897 -124.101 -3.06897 0 0 612192. 2118.31 0.28 0.08 0.12 -1 -1 0.28 0.0277712 0.0245101 122 55 32 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.24 vpr 62.43 MiB 0.02 6932 -1 -1 1 0.03 -1 -1 30412 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63928 31 32 353 302 1 152 80 17 17 289 -1 unnamed_device 23.8 MiB 0.18 863 62.4 MiB 0.08 0.00 2.9591 -94.569 -2.9591 2.9591 0.88 0.000565687 0.000520561 0.0282529 0.0260356 30 2045 24 6.64007e+06 213486 526063. 1820.29 0.97 0.103299 0.090892 22546 126617 -1 1708 22 967 1773 97261 22896 0 0 97261 22896 1773 1149 0 0 5882 4909 0 0 7903 6210 0 0 1773 1432 0 0 40764 4569 0 0 39166 4627 0 0 1773 0 0 806 730 636 5973 0 0 2.69236 2.69236 -108.911 -2.69236 0 0 666494. 2306.21 0.31 0.06 0.13 -1 -1 0.31 0.0268768 0.0237807 109 82 0 0 89 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 5.32 vpr 62.63 MiB 0.03 7064 -1 -1 1 0.04 -1 -1 30448 -1 -1 35 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64132 30 32 374 297 1 186 97 17 17 289 -1 unnamed_device 24.1 MiB 0.13 867 62.6 MiB 0.09 0.00 2.7317 -88.7549 -2.7317 2.7317 1.05 0.000633741 0.000585688 0.0272959 0.0251921 26 2943 30 6.64007e+06 439530 477104. 1650.88 2.16 0.132155 0.117652 21682 110474 -1 2082 22 1480 2328 174157 42384 0 0 174157 42384 2328 1773 0 0 8531 6885 0 0 12762 9930 0 0 2328 1872 0 0 75266 10317 0 0 72942 11607 0 0 2328 0 0 848 1320 1587 9763 0 0 3.29037 3.29037 -122.997 -3.29037 0 0 585099. 2024.56 0.17 0.08 0.07 -1 -1 0.17 0.0276846 0.0245099 139 52 60 30 57 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.13 vpr 62.46 MiB 0.03 6940 -1 -1 1 0.04 -1 -1 30388 -1 -1 32 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63960 28 32 332 260 1 180 92 17 17 289 -1 unnamed_device 23.7 MiB 0.08 954 62.5 MiB 0.12 0.00 3.53535 -102.191 -3.53535 3.53535 0.98 0.000546625 0.000502666 0.0354653 0.0325401 26 2378 23 6.64007e+06 401856 477104. 1650.88 1.03 0.115272 0.102343 21682 110474 -1 2000 19 1363 2139 141150 32236 0 0 141150 32236 2139 1633 0 0 7520 5953 0 0 11529 8675 0 0 2139 1744 0 0 60936 6663 0 0 56887 7568 0 0 2139 0 0 776 1033 1338 8540 0 0 3.96202 3.96202 -125.37 -3.96202 0 0 585099. 2024.56 0.20 0.08 0.09 -1 -1 0.20 0.0309468 0.0279833 134 20 84 28 28 28 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.20 vpr 62.51 MiB 0.02 6916 -1 -1 1 0.04 -1 -1 30120 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64008 30 32 325 273 1 157 81 17 17 289 -1 unnamed_device 23.8 MiB 0.17 850 62.5 MiB 0.11 0.00 2.8131 -95.1918 -2.8131 2.8131 0.88 0.000484699 0.000442041 0.0421884 0.0388237 32 2000 20 6.64007e+06 238602 554710. 1919.41 0.75 0.0914831 0.0816098 22834 132086 -1 1835 23 1372 2312 177498 39093 0 0 177498 39093 2312 1830 0 0 8286 6772 0 0 13294 9774 0 0 2312 2042 0 0 75144 9749 0 0 76150 8926 0 0 2312 0 0 940 962 1057 7595 0 0 2.85977 2.85977 -111.422 -2.85977 0 0 701300. 2426.64 0.31 0.08 0.12 -1 -1 0.31 0.0290405 0.0257371 114 58 30 30 60 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.45 vpr 62.49 MiB 0.02 6872 -1 -1 1 0.03 -1 -1 30372 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63988 32 32 361 308 1 158 81 17 17 289 -1 unnamed_device 23.8 MiB 0.17 916 62.5 MiB 0.13 0.00 2.9653 -95.0324 -2.9653 2.9653 1.04 0.000480641 0.000432711 0.0449564 0.0415576 32 2058 18 6.64007e+06 213486 554710. 1919.41 0.96 0.11569 0.102899 22834 132086 -1 1794 22 1229 2093 143440 32446 0 0 143440 32446 2093 1542 0 0 7534 6077 0 0 11895 8794 0 0 2093 1818 0 0 60062 7240 0 0 59763 6975 0 0 2093 0 0 864 941 817 6755 0 0 2.71857 2.71857 -108.341 -2.71857 0 0 701300. 2426.64 0.32 0.08 0.13 -1 -1 0.32 0.0292058 0.0257894 114 88 0 0 91 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.38 vpr 62.60 MiB 0.02 6900 -1 -1 1 0.04 -1 -1 30136 -1 -1 37 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64100 31 32 335 251 1 196 100 17 17 289 -1 unnamed_device 24.0 MiB 0.06 1008 62.6 MiB 0.15 0.00 3.38416 -112.662 -3.38416 3.38416 1.06 0.000552938 0.000505075 0.0311109 0.0285512 32 2628 20 6.64007e+06 464646 554710. 1919.41 1.10 0.123563 0.110591 22834 132086 -1 2190 21 1686 2717 172339 40646 0 0 172339 40646 2717 2093 0 0 9562 7812 0 0 14928 11079 0 0 2717 2181 0 0 70541 8988 0 0 71874 8493 0 0 2717 0 0 1031 1169 1094 8965 0 0 3.74563 3.74563 -138.199 -3.74563 0 0 701300. 2426.64 0.19 0.05 0.08 -1 -1 0.19 0.017926 0.0160409 152 -1 124 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.72 vpr 62.84 MiB 0.02 6828 -1 -1 1 0.04 -1 -1 30552 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64348 32 32 407 319 1 197 100 17 17 289 -1 unnamed_device 24.1 MiB 0.12 974 62.8 MiB 0.20 0.00 3.39516 -117.047 -3.39516 3.39516 1.06 0.000365082 0.000336979 0.0454866 0.0420903 32 2501 22 6.64007e+06 452088 554710. 1919.41 1.15 0.157641 0.14192 22834 132086 -1 2065 24 1948 3283 215768 49042 0 0 215768 49042 3283 2287 0 0 11575 9280 0 0 18037 13173 0 0 3283 2453 0 0 95726 10098 0 0 83864 11751 0 0 3283 0 0 1335 1743 1575 12074 0 0 3.81463 3.81463 -140.122 -3.81463 0 0 701300. 2426.64 0.31 0.10 0.13 -1 -1 0.31 0.0334315 0.0295495 155 57 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.69 vpr 62.95 MiB 0.02 6960 -1 -1 1 0.03 -1 -1 30420 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64460 32 32 407 319 1 194 100 17 17 289 -1 unnamed_device 24.2 MiB 0.18 1106 62.9 MiB 0.15 0.00 3.38416 -119.924 -3.38416 3.38416 1.06 0.000661657 0.00060784 0.0461084 0.0423407 32 2628 22 6.64007e+06 452088 554710. 1919.41 0.97 0.12483 0.11096 22834 132086 -1 2303 20 1717 2737 208657 44304 0 0 208657 44304 2737 2125 0 0 9767 8020 0 0 14801 11051 0 0 2737 2298 0 0 97302 8849 0 0 81313 11961 0 0 2737 0 0 1020 1173 1240 9352 0 0 3.69443 3.69443 -145.574 -3.69443 0 0 701300. 2426.64 0.31 0.09 0.13 -1 -1 0.31 0.03059 0.0273676 153 62 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 5.78 vpr 62.75 MiB 0.02 6952 -1 -1 1 0.04 -1 -1 30448 -1 -1 38 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64260 32 32 399 315 1 196 102 17 17 289 -1 unnamed_device 24.0 MiB 0.16 1160 62.8 MiB 0.21 0.00 3.37316 -115.961 -3.37316 3.37316 1.01 0.000613424 0.000562059 0.0440953 0.0405838 26 3056 28 6.64007e+06 477204 477104. 1650.88 2.13 0.148291 0.132324 21682 110474 -1 2459 24 1779 2970 216259 47467 0 0 216259 47467 2970 2214 0 0 10469 8505 0 0 15794 11835 0 0 2970 2355 0 0 93913 11195 0 0 90143 11363 0 0 2970 0 0 1191 1692 1519 11078 0 0 3.76763 3.76763 -140.753 -3.76763 0 0 585099. 2024.56 0.27 0.10 0.11 -1 -1 0.27 0.0358296 0.0318449 149 62 60 30 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.46 vpr 62.34 MiB 0.02 6824 -1 -1 1 0.03 -1 -1 30340 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63836 30 32 296 244 1 156 81 17 17 289 -1 unnamed_device 23.7 MiB 0.08 647 62.3 MiB 0.12 0.00 2.7709 -89.1313 -2.7709 2.7709 1.07 0.0004883 0.000449095 0.0397304 0.0366387 32 1794 20 6.64007e+06 238602 554710. 1919.41 0.94 0.0921095 0.082105 22834 132086 -1 1521 18 1181 1865 132618 30799 0 0 132618 30799 1865 1494 0 0 6764 5497 0 0 9999 7650 0 0 1865 1592 0 0 62498 6609 0 0 49627 7957 0 0 1865 0 0 684 791 558 5562 0 0 2.80657 2.80657 -108.797 -2.80657 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0215178 0.0191274 113 29 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.59 vpr 62.57 MiB 0.03 7052 -1 -1 1 0.03 -1 -1 30404 -1 -1 24 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64072 30 32 383 303 1 191 86 17 17 289 -1 unnamed_device 23.8 MiB 0.16 927 62.6 MiB 0.10 0.00 3.35636 -108.653 -3.35636 3.35636 1.02 0.00059643 0.000546889 0.034478 0.0316801 32 2292 21 6.64007e+06 301392 554710. 1919.41 1.11 0.126103 0.111792 22834 132086 -1 2056 22 1810 2731 205234 46934 0 0 205234 46934 2731 2230 0 0 10092 8209 0 0 16288 12296 0 0 2731 2381 0 0 88880 10866 0 0 84512 10952 0 0 2731 0 0 921 901 1029 7950 0 0 3.73902 3.73902 -132.857 -3.73902 0 0 701300. 2426.64 0.30 0.09 0.14 -1 -1 0.30 0.0310703 0.0275962 146 58 60 30 60 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 6.08 vpr 63.12 MiB 0.03 7152 -1 -1 1 0.04 -1 -1 30864 -1 -1 41 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64632 32 32 469 381 1 198 105 17 17 289 -1 unnamed_device 24.3 MiB 0.22 1080 63.1 MiB 0.15 0.00 3.43916 -121.954 -3.43916 3.43916 1.07 0.000693407 0.000635841 0.0457445 0.0421013 26 3008 35 6.64007e+06 514878 477104. 1650.88 2.32 0.172865 0.153876 21682 110474 -1 2481 22 1931 3082 247300 52890 0 0 247300 52890 3082 2204 0 0 11083 9094 0 0 16622 12438 0 0 3082 2396 0 0 111098 12641 0 0 102333 14117 0 0 3082 0 0 1151 1792 1864 13421 0 0 3.74943 3.74943 -149.58 -3.74943 0 0 585099. 2024.56 0.25 0.10 0.11 -1 -1 0.25 0.0382951 0.0302087 156 106 0 0 128 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.72 vpr 62.70 MiB 0.03 7156 -1 -1 1 0.04 -1 -1 30392 -1 -1 33 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64204 31 32 425 341 1 189 96 17 17 289 -1 unnamed_device 24.0 MiB 0.09 1070 62.7 MiB 0.16 0.00 3.39516 -115.177 -3.39516 3.39516 1.07 0.000620968 0.000569298 0.0524 0.0481908 30 2255 20 6.64007e+06 414414 526063. 1820.29 1.17 0.155126 0.139358 22546 126617 -1 1998 22 1486 2526 142154 32879 0 0 142154 32879 2526 1784 0 0 8398 6789 0 0 11548 9056 0 0 2526 1946 0 0 60964 6291 0 0 56192 7013 0 0 2526 0 0 1040 1439 1346 9659 0 0 3.87983 3.87983 -139.091 -3.87983 0 0 666494. 2306.21 0.27 0.08 0.11 -1 -1 0.27 0.0357596 0.0322693 148 79 31 31 93 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.58 vpr 62.84 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 30464 -1 -1 32 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64352 30 32 404 328 1 182 94 17 17 289 -1 unnamed_device 24.3 MiB 0.16 1025 62.8 MiB 0.06 0.00 3.00058 -93.5826 -3.00058 3.00058 1.02 0.000365616 0.000336693 0.0192031 0.0177036 26 2735 32 6.64007e+06 401856 477104. 1650.88 1.13 0.110319 0.0974372 21682 110474 -1 2172 19 1550 2535 171869 38877 0 0 171869 38877 2535 1924 0 0 8723 6933 0 0 13014 9776 0 0 2535 2238 0 0 72484 8996 0 0 72578 9010 0 0 2535 0 0 985 1283 1125 8746 0 0 3.19137 3.19137 -120.205 -3.19137 0 0 585099. 2024.56 0.26 0.09 0.11 -1 -1 0.26 0.0317422 0.0283994 138 83 26 26 90 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.94 vpr 62.89 MiB 0.03 7064 -1 -1 1 0.04 -1 -1 30532 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64400 32 32 407 319 1 198 86 17 17 289 -1 unnamed_device 24.2 MiB 0.25 1030 62.9 MiB 0.13 0.00 3.35816 -117.64 -3.35816 3.35816 1.06 0.000629916 0.000578996 0.0410072 0.0378232 32 2613 20 6.64007e+06 276276 554710. 1919.41 1.15 0.138173 0.12348 22834 132086 -1 2284 21 2022 3356 239659 52126 0 0 239659 52126 3356 2730 0 0 11478 9303 0 0 18096 13092 0 0 3356 2890 0 0 107867 11196 0 0 95506 12915 0 0 3356 0 0 1334 1474 946 10260 0 0 3.68863 3.68863 -143.109 -3.68863 0 0 701300. 2426.64 0.32 0.11 0.13 -1 -1 0.32 0.0352298 0.0314886 155 58 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.71 vpr 62.56 MiB 0.03 7076 -1 -1 1 0.04 -1 -1 30256 -1 -1 36 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64064 29 32 387 316 1 179 97 17 17 289 -1 unnamed_device 24.0 MiB 0.16 793 62.6 MiB 0.15 0.00 2.7749 -84.0934 -2.7749 2.7749 1.03 0.000602737 0.000555135 0.0485774 0.0443431 32 2139 24 6.64007e+06 452088 554710. 1919.41 1.11 0.14069 0.125203 22834 132086 -1 1655 21 1581 2535 158894 38604 0 0 158894 38604 2535 1831 0 0 9258 7584 0 0 14520 10863 0 0 2535 1954 0 0 63402 8554 0 0 66644 7818 0 0 2535 0 0 954 1142 1068 8243 0 0 2.98037 2.98037 -100.802 -2.98037 0 0 701300. 2426.64 0.31 0.08 0.14 -1 -1 0.31 0.0314265 0.0279511 136 81 26 26 85 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 3.41 vpr 62.11 MiB 0.02 6688 -1 -1 1 0.03 -1 -1 30348 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63604 32 32 283 225 1 156 81 17 17 289 -1 unnamed_device 23.7 MiB 0.06 800 62.1 MiB 0.06 0.00 2.7709 -98.1017 -2.7709 2.7709 0.75 0.000479654 0.000441646 0.0172186 0.0159057 32 2050 18 6.64007e+06 213486 554710. 1919.41 0.73 0.0642841 0.0565982 22834 132086 -1 1735 21 1274 1959 128223 30650 0 0 128223 30650 1959 1641 0 0 7109 5847 0 0 10869 8114 0 0 1959 1679 0 0 53113 6898 0 0 53214 6471 0 0 1959 0 0 685 718 554 5430 0 0 2.78177 2.78177 -117.95 -2.78177 0 0 701300. 2426.64 0.29 0.07 0.09 -1 -1 0.29 0.024264 0.0215434 115 -1 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.82 vpr 62.90 MiB 0.03 7100 -1 -1 1 0.03 -1 -1 30480 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64412 32 32 407 319 1 194 99 17 17 289 -1 unnamed_device 24.2 MiB 0.19 1085 62.9 MiB 0.14 0.00 3.37316 -120.37 -3.37316 3.37316 1.09 0.000691724 0.000637226 0.0478003 0.0438567 32 2610 20 6.64007e+06 439530 554710. 1919.41 1.06 0.146684 0.131167 22834 132086 -1 2259 21 1711 2587 168954 39264 0 0 168954 39264 2587 2121 0 0 9160 7494 0 0 14015 10298 0 0 2587 2212 0 0 69048 8884 0 0 71557 8255 0 0 2587 0 0 876 1011 1084 8028 0 0 3.65743 3.65743 -145.343 -3.65743 0 0 701300. 2426.64 0.32 0.09 0.14 -1 -1 0.32 0.0366226 0.0328082 152 62 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.57 vpr 62.83 MiB 0.02 6884 -1 -1 1 0.04 -1 -1 30480 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64340 32 32 407 319 1 201 87 17 17 289 -1 unnamed_device 24.1 MiB 0.18 1005 62.8 MiB 0.12 0.00 3.37836 -119.558 -3.37836 3.37836 0.85 0.000638223 0.000587728 0.041045 0.0377278 32 2497 22 6.64007e+06 288834 554710. 1919.41 1.11 0.135137 0.120085 22834 132086 -1 2177 20 2014 3056 226020 50710 0 0 226020 50710 3056 2410 0 0 11333 9429 0 0 17889 13681 0 0 3056 2542 0 0 101981 10439 0 0 88705 12209 0 0 3056 0 0 1042 853 1079 8670 0 0 3.80083 3.80083 -143.302 -3.80083 0 0 701300. 2426.64 0.26 0.10 0.14 -1 -1 0.26 0.0327665 0.0293634 158 62 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.27 vpr 62.47 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 30460 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63968 32 32 315 267 1 158 94 17 17 289 -1 unnamed_device 23.8 MiB 0.15 717 62.5 MiB 0.08 0.00 3.0903 -92.4514 -3.0903 3.0903 1.00 0.000509694 0.000469394 0.02226 0.0204828 32 1870 23 6.64007e+06 376740 554710. 1919.41 0.72 0.0652556 0.0575565 22834 132086 -1 1522 20 977 1540 96957 23711 0 0 96957 23711 1540 1084 0 0 5609 4565 0 0 8562 6512 0 0 1540 1183 0 0 38471 5186 0 0 41235 5181 0 0 1540 0 0 563 732 817 5746 0 0 3.17617 3.17617 -110.051 -3.17617 0 0 701300. 2426.64 0.33 0.13 0.14 -1 -1 0.33 0.0258298 0.0231164 112 47 32 32 54 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.38 vpr 62.09 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 30432 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63580 31 32 275 220 1 160 81 17 17 289 -1 unnamed_device 23.7 MiB 0.09 891 62.1 MiB 0.10 0.00 2.8321 -100.327 -2.8321 2.8321 0.99 0.00038648 0.000352473 0.0332326 0.030468 32 1911 22 6.64007e+06 226044 554710. 1919.41 0.96 0.0952896 0.0842401 22834 132086 -1 1812 18 1359 2156 153563 34943 0 0 153563 34943 2156 1650 0 0 7948 6730 0 0 12390 9430 0 0 2156 1753 0 0 67272 7444 0 0 61641 7936 0 0 2156 0 0 797 885 956 6862 0 0 2.98117 2.98117 -119.82 -2.98117 0 0 701300. 2426.64 0.32 0.11 0.14 -1 -1 0.32 0.0239207 0.0215088 118 -1 93 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.79 vpr 62.78 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 30352 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64284 32 32 381 303 1 188 97 17 17 289 -1 unnamed_device 24.1 MiB 0.14 990 62.8 MiB 0.11 0.00 3.32336 -113.497 -3.32336 3.32336 1.03 0.000655363 0.000603788 0.0331647 0.030502 26 2623 26 6.64007e+06 414414 477104. 1650.88 1.27 0.133668 0.119241 21682 110474 -1 2121 22 1603 2333 168424 38007 0 0 168424 38007 2333 1894 0 0 8513 6791 0 0 12762 9977 0 0 2333 1979 0 0 75598 7911 0 0 66885 9455 0 0 2333 0 0 730 892 845 7190 0 0 3.54943 3.54943 -133.026 -3.54943 0 0 585099. 2024.56 0.25 0.09 0.11 -1 -1 0.25 0.0346292 0.0310323 139 56 60 32 58 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 4.91 vpr 62.89 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 30304 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64396 32 32 406 330 1 190 96 17 17 289 -1 unnamed_device 24.2 MiB 0.11 1110 62.9 MiB 0.17 0.00 3.57456 -111.886 -3.57456 3.57456 1.07 0.000630727 0.000579776 0.0532255 0.0489222 26 2815 34 6.64007e+06 401856 477104. 1650.88 1.36 0.151453 0.135179 21682 110474 -1 2245 23 1642 2570 179634 40030 0 0 179634 40030 2570 2011 0 0 9097 7085 0 0 13568 10194 0 0 2570 2103 0 0 78634 8968 0 0 73195 9669 0 0 2570 0 0 928 1154 1041 8267 0 0 4.30082 4.30082 -138.783 -4.30082 0 0 585099. 2024.56 0.27 0.08 0.09 -1 -1 0.27 0.0330971 0.0293945 136 81 28 28 88 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 5.04 vpr 62.65 MiB 0.03 6980 -1 -1 1 0.04 -1 -1 30492 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64152 32 32 399 285 1 228 101 17 17 289 -1 unnamed_device 24.4 MiB 0.10 1320 62.6 MiB 0.15 0.00 3.93662 -136.325 -3.93662 3.93662 1.06 0.00082874 0.000762669 0.0461351 0.0425439 28 3050 23 6.64007e+06 464646 500653. 1732.36 1.35 0.158695 0.142637 21970 115934 -1 2622 22 2124 3370 215726 49450 0 0 215726 49450 3370 2505 0 0 11762 9571 0 0 17726 13430 0 0 3370 2687 0 0 93174 10183 0 0 86324 11074 0 0 3370 0 0 1246 1546 1570 11524 0 0 4.59549 4.59549 -167.91 -4.59549 0 0 612192. 2118.31 0.29 0.18 0.12 -1 -1 0.29 0.0423898 0.0380114 179 -1 156 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 5.85 vpr 62.63 MiB 0.03 7124 -1 -1 1 0.03 -1 -1 30544 -1 -1 34 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64132 30 32 371 295 1 184 96 17 17 289 -1 unnamed_device 23.8 MiB 0.16 902 62.6 MiB 0.10 0.00 3.1105 -94.5351 -3.1105 3.1105 1.02 0.000567895 0.000519743 0.0299603 0.027512 26 3032 39 6.64007e+06 426972 477104. 1650.88 2.28 0.144566 0.128627 21682 110474 -1 2172 26 1735 2925 212600 48285 0 0 212600 48285 2925 2227 0 0 10077 7814 0 0 15652 11393 0 0 2925 2348 0 0 89783 12186 0 0 91238 12317 0 0 2925 0 0 1190 1915 1667 11145 0 0 3.40776 3.40776 -121.629 -3.40776 0 0 585099. 2024.56 0.27 0.10 0.11 -1 -1 0.27 0.0379348 0.0338754 138 47 60 30 56 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.70 vpr 61.98 MiB 0.02 6716 -1 -1 1 0.03 -1 -1 30588 -1 -1 21 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63464 27 32 269 226 1 143 80 17 17 289 -1 unnamed_device 23.4 MiB 0.09 632 62.0 MiB 0.09 0.00 2.7691 -80.2981 -2.7691 2.7691 1.04 0.000417088 0.000382493 0.0340078 0.0312341 26 1848 39 6.64007e+06 263718 477104. 1650.88 1.43 0.127284 0.113109 21682 110474 -1 1441 21 1254 1828 138313 33333 0 0 138313 33333 1828 1471 0 0 6717 5500 0 0 11241 8733 0 0 1828 1552 0 0 58038 8364 0 0 58661 7713 0 0 1828 0 0 574 700 698 5252 0 0 3.21557 3.21557 -108.753 -3.21557 0 0 585099. 2024.56 0.26 0.06 0.08 -1 -1 0.26 0.021796 0.0191903 107 26 54 27 27 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 5.09 vpr 62.84 MiB 0.03 7176 -1 -1 1 0.03 -1 -1 30764 -1 -1 42 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64348 32 32 493 378 1 232 106 17 17 289 -1 unnamed_device 24.4 MiB 0.17 1353 62.8 MiB 0.12 0.00 3.68056 -121.246 -3.68056 3.68056 1.01 0.000746717 0.000686663 0.0327578 0.0301817 28 3874 23 6.64007e+06 527436 500653. 1732.36 1.54 0.160184 0.142724 21970 115934 -1 3163 22 2165 3896 309761 68729 0 0 309761 68729 3896 3047 0 0 13758 11420 0 0 20378 15939 0 0 3896 3274 0 0 135889 17660 0 0 131944 17389 0 0 3896 0 0 1731 2104 2144 14869 0 0 3.99882 3.99882 -149.239 -3.99882 0 0 612192. 2118.31 0.29 0.14 0.12 -1 -1 0.29 0.0452073 0.0405374 186 85 62 31 95 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.55 vpr 62.93 MiB 0.02 7148 -1 -1 1 0.04 -1 -1 30456 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64436 31 32 455 371 1 188 85 17 17 289 -1 unnamed_device 24.2 MiB 0.26 908 62.9 MiB 0.10 0.00 3.64105 -113.603 -3.64105 3.64105 0.78 0.0006921 0.00063788 0.0360267 0.0332204 32 2377 22 6.64007e+06 276276 554710. 1919.41 1.07 0.157556 0.140866 22834 132086 -1 1926 24 1744 2889 178201 44218 0 0 178201 44218 2889 2257 0 0 10100 8165 0 0 16258 11743 0 0 2889 2521 0 0 74827 8969 0 0 71238 10563 0 0 2889 0 0 1145 1626 1027 9987 0 0 3.91603 3.91603 -142.755 -3.91603 0 0 701300. 2426.64 0.32 0.09 0.14 -1 -1 0.32 0.0357583 0.0315522 145 105 0 0 124 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 3.96 vpr 62.25 MiB 0.02 6880 -1 -1 1 0.04 -1 -1 30360 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63740 32 32 355 304 1 150 80 17 17 289 -1 unnamed_device 23.3 MiB 0.15 866 62.2 MiB 0.08 0.00 2.9543 -94.0722 -2.9543 2.9543 0.94 0.000521253 0.00047297 0.0306571 0.0282061 30 1993 21 6.64007e+06 200928 526063. 1820.29 0.94 0.101265 0.0895288 22546 126617 -1 1748 17 785 1249 79303 17916 0 0 79303 17916 1249 935 0 0 4263 3344 0 0 5540 4509 0 0 1249 1112 0 0 34588 3998 0 0 32414 4018 0 0 1249 0 0 464 430 313 3496 0 0 2.62057 2.62057 -108.292 -2.62057 0 0 666494. 2306.21 0.29 0.05 0.13 -1 -1 0.29 0.0223527 0.0198467 108 86 0 0 89 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.48 vpr 62.65 MiB 0.03 6892 -1 -1 1 0.04 -1 -1 30392 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64156 32 32 364 282 1 196 97 17 17 289 -1 unnamed_device 24.0 MiB 0.08 1096 62.7 MiB 0.13 0.00 3.65525 -116.79 -3.65525 3.65525 0.91 0.000684938 0.000632874 0.0392735 0.0361296 32 2292 19 6.64007e+06 414414 554710. 1919.41 1.04 0.117223 0.103992 22834 132086 -1 2054 21 1370 2197 147720 36888 0 0 147720 36888 2197 1680 0 0 8479 7184 0 0 13866 10694 0 0 2197 1753 0 0 61290 7589 0 0 59691 7988 0 0 2197 0 0 827 922 887 6997 0 0 3.83002 3.83002 -137.583 -3.83002 0 0 701300. 2426.64 0.32 0.08 0.14 -1 -1 0.32 0.0315492 0.0283031 147 31 90 30 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.85 vpr 62.84 MiB 0.07 7084 -1 -1 1 0.04 -1 -1 30656 -1 -1 38 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64352 31 32 443 336 1 220 101 17 17 289 -1 unnamed_device 24.6 MiB 0.10 1002 62.8 MiB 0.20 0.00 3.65376 -113.344 -3.65376 3.65376 1.06 0.000705581 0.000649729 0.0678049 0.0623955 32 2762 23 6.64007e+06 477204 554710. 1919.41 1.15 0.175665 0.15708 22834 132086 -1 2152 20 1846 2745 179354 44471 0 0 179354 44471 2745 2107 0 0 10015 7841 0 0 15398 11628 0 0 2745 2257 0 0 71380 10974 0 0 77071 9664 0 0 2745 0 0 899 1523 1349 10084 0 0 3.82383 3.82383 -138.615 -3.82383 0 0 701300. 2426.64 0.31 0.09 0.12 -1 -1 0.31 0.0361922 0.0321921 173 50 87 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 4.54 vpr 62.59 MiB 0.05 7008 -1 -1 1 0.04 -1 -1 30400 -1 -1 34 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64092 30 32 373 297 1 186 96 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1038 62.6 MiB 0.15 0.00 2.9811 -92.2189 -2.9811 2.9811 0.90 0.000567269 0.000519005 0.0481568 0.0441736 32 2493 19 6.64007e+06 426972 554710. 1919.41 1.08 0.128396 0.1142 22834 132086 -1 2167 19 1494 2499 172859 39017 0 0 172859 39017 2499 1901 0 0 8778 7339 0 0 13597 10031 0 0 2499 2014 0 0 72990 8993 0 0 72496 8739 0 0 2499 0 0 1005 1158 1112 8772 0 0 2.99117 2.99117 -110.906 -2.99117 0 0 701300. 2426.64 0.29 0.08 0.14 -1 -1 0.29 0.0255336 0.0224684 135 50 58 30 58 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.58 vpr 62.59 MiB 0.03 7036 -1 -1 1 0.04 -1 -1 30488 -1 -1 43 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64088 32 32 407 319 1 201 107 17 17 289 -1 unnamed_device 24.2 MiB 0.09 1334 62.6 MiB 0.19 0.00 3.65756 -127.051 -3.65756 3.65756 1.07 0.000545369 0.00049595 0.057354 0.0525901 32 2929 21 6.64007e+06 539994 554710. 1919.41 1.02 0.130895 0.11679 22834 132086 -1 2571 23 2113 3489 273742 59913 0 0 273742 59913 3489 2415 0 0 12804 10595 0 0 20332 14950 0 0 3489 2710 0 0 116917 14215 0 0 116711 15028 0 0 3489 0 0 1376 1963 2114 13072 0 0 3.97583 3.97583 -149.36 -3.97583 0 0 701300. 2426.64 0.31 0.11 0.13 -1 -1 0.31 0.0335178 0.0297604 158 61 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 4.77 vpr 62.67 MiB 0.02 6944 -1 -1 1 0.04 -1 -1 30420 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64176 32 32 405 318 1 200 104 17 17 289 -1 unnamed_device 24.0 MiB 0.15 981 62.7 MiB 0.16 0.00 2.7929 -97.8812 -2.7929 2.7929 1.06 0.0006589 0.000605227 0.0503947 0.0462587 30 2366 27 6.64007e+06 502320 526063. 1820.29 1.18 0.150965 0.13452 22546 126617 -1 1812 21 1433 2288 119630 29033 0 0 119630 29033 2288 1546 0 0 7667 5986 0 0 10001 8071 0 0 2288 1628 0 0 47307 6245 0 0 50079 5557 0 0 2288 0 0 855 914 928 7485 0 0 2.73157 2.73157 -112.439 -2.73157 0 0 666494. 2306.21 0.30 0.07 0.13 -1 -1 0.30 0.0322066 0.0286454 157 61 63 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 4.40 vpr 61.97 MiB 0.02 6784 -1 -1 1 0.03 -1 -1 30472 -1 -1 18 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63456 29 32 287 238 1 134 79 17 17 289 -1 unnamed_device 23.4 MiB 0.08 542 62.0 MiB 0.10 0.00 2.9573 -85.5328 -2.9573 2.9573 0.94 0.000477911 0.000440868 0.0412519 0.0380845 32 1512 46 6.64007e+06 226044 554710. 1919.41 1.08 0.127168 0.112218 22834 132086 -1 1272 23 1067 1520 115748 34356 0 0 115748 34356 1520 1188 0 0 5797 4965 0 0 9501 7385 0 0 1520 1272 0 0 46307 10230 0 0 51103 9316 0 0 1520 0 0 453 483 446 3808 0 0 2.91617 2.91617 -106.413 -2.91617 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0299524 0.0265222 95 28 58 29 29 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.08 vpr 62.25 MiB 0.02 6932 -1 -1 1 0.03 -1 -1 30084 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63748 32 32 334 290 1 156 83 17 17 289 -1 unnamed_device 23.4 MiB 0.17 904 62.3 MiB 0.07 0.00 3.39936 -96.1227 -3.39936 3.39936 0.84 0.000537934 0.000490206 0.0217401 0.0198621 26 2030 22 6.64007e+06 238602 477104. 1650.88 0.99 0.100506 0.0882804 21682 110474 -1 1745 21 1079 1505 120691 27422 0 0 120691 27422 1505 1292 0 0 5636 4491 0 0 8832 6947 0 0 1505 1346 0 0 52999 6284 0 0 50214 7062 0 0 1505 0 0 426 306 427 3638 0 0 2.89343 2.89343 -109.123 -2.89343 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0260342 0.0231 112 79 0 0 82 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 5.34 vpr 62.61 MiB 0.03 6940 -1 -1 1 0.03 -1 -1 30456 -1 -1 38 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64112 31 32 365 281 1 196 101 17 17 289 -1 unnamed_device 24.0 MiB 0.11 986 62.6 MiB 0.12 0.00 3.98015 -118.414 -3.98015 3.98015 0.90 0.000574374 0.000528184 0.0355577 0.0327137 26 2795 29 6.64007e+06 477204 477104. 1650.88 2.02 0.155252 0.139111 21682 110474 -1 2388 22 1751 2781 207657 46580 0 0 207657 46580 2781 2149 0 0 10122 7908 0 0 15049 11444 0 0 2781 2316 0 0 87735 11699 0 0 89189 11064 0 0 2781 0 0 1030 1486 1522 10235 0 0 4.28562 4.28562 -150.449 -4.28562 0 0 585099. 2024.56 0.27 0.09 0.10 -1 -1 0.27 0.0326328 0.0290108 151 29 93 31 31 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.23 vpr 62.38 MiB 0.02 6860 -1 -1 1 0.03 -1 -1 30400 -1 -1 31 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63876 29 32 297 254 1 150 92 17 17 289 -1 unnamed_device 23.6 MiB 0.20 768 62.4 MiB 0.12 0.00 2.9591 -84.7747 -2.9591 2.9591 0.99 0.000413546 0.000378132 0.035979 0.0329106 28 1666 19 6.64007e+06 389298 500653. 1732.36 0.87 0.0990289 0.0875768 21970 115934 -1 1559 21 1058 1726 104840 24896 0 0 104840 24896 1726 1168 0 0 5948 4862 0 0 9071 6861 0 0 1726 1278 0 0 43743 5387 0 0 42626 5340 0 0 1726 0 0 668 812 881 6441 0 0 2.77157 2.77157 -99.7199 -2.77157 0 0 612192. 2118.31 0.25 0.06 0.10 -1 -1 0.25 0.022648 0.0199702 108 48 29 29 52 26 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.44 vpr 62.49 MiB 0.02 6796 -1 -1 1 0.03 -1 -1 30320 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63992 32 32 314 256 1 160 81 17 17 289 -1 unnamed_device 23.9 MiB 0.15 896 62.5 MiB 0.07 0.00 2.7929 -101.448 -2.7929 2.7929 1.01 0.000310257 0.00028588 0.026687 0.0246202 32 2176 20 6.64007e+06 213486 554710. 1919.41 0.95 0.0870325 0.0771144 22834 132086 -1 1872 18 1220 2011 151463 33150 0 0 151463 33150 2011 1617 0 0 7089 5679 0 0 10927 7951 0 0 2011 1739 0 0 65133 8198 0 0 64292 7966 0 0 2011 0 0 791 701 827 6262 0 0 3.02517 3.02517 -121.846 -3.02517 0 0 701300. 2426.64 0.33 0.07 0.14 -1 -1 0.33 0.0259782 0.0233247 118 31 64 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.56 vpr 62.84 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 30392 -1 -1 38 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64348 31 32 387 307 1 189 101 17 17 289 -1 unnamed_device 24.3 MiB 0.15 934 62.8 MiB 0.10 0.00 2.8453 -96.2463 -2.8453 2.8453 1.05 0.00063625 0.000577924 0.0309616 0.028441 26 2243 21 6.64007e+06 477204 477104. 1650.88 1.07 0.117997 0.10482 21682 110474 -1 1956 19 1664 2358 152124 35671 0 0 152124 35671 2358 1767 0 0 8431 6638 0 0 12467 9455 0 0 2358 1939 0 0 63822 8067 0 0 62688 7805 0 0 2358 0 0 694 840 926 6910 0 0 3.02437 3.02437 -119.628 -3.02437 0 0 585099. 2024.56 0.26 0.08 0.10 -1 -1 0.26 0.0292109 0.0261103 144 60 58 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 3.37 vpr 62.20 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 30352 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63688 31 32 308 262 1 147 80 17 17 289 -1 unnamed_device 23.3 MiB 0.13 898 62.2 MiB 0.07 0.00 2.70619 -87.5425 -2.70619 2.70619 0.75 0.000312385 0.00028116 0.028152 0.0259525 32 1860 20 6.64007e+06 213486 554710. 1919.41 0.75 0.0863356 0.0762961 22834 132086 -1 1732 21 926 1625 117517 25891 0 0 117517 25891 1625 1179 0 0 5731 4764 0 0 9268 6801 0 0 1625 1409 0 0 50283 5750 0 0 48985 5988 0 0 1625 0 0 699 744 616 5354 0 0 2.89317 2.89317 -106.265 -2.89317 0 0 701300. 2426.64 0.19 0.04 0.08 -1 -1 0.19 0.0151246 0.0133703 106 49 31 31 53 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 4.60 vpr 62.66 MiB 0.03 7016 -1 -1 1 0.04 -1 -1 30464 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64164 32 32 383 307 1 184 97 17 17 289 -1 unnamed_device 23.8 MiB 0.15 924 62.7 MiB 0.12 0.00 2.7379 -93.8791 -2.7379 2.7379 1.04 0.000613573 0.000566405 0.0388644 0.0357034 30 2304 20 6.64007e+06 414414 526063. 1820.29 1.12 0.125704 0.111945 22546 126617 -1 1931 19 1082 1758 110569 24697 0 0 110569 24697 1758 1222 0 0 5735 4504 0 0 7630 5980 0 0 1758 1360 0 0 47469 5488 0 0 46219 6143 0 0 1758 0 0 676 1039 1045 7484 0 0 2.68357 2.68357 -111.199 -2.68357 0 0 666494. 2306.21 0.25 0.05 0.12 -1 -1 0.25 0.0186711 0.0165042 137 56 52 26 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.66 vpr 62.79 MiB 0.03 7076 -1 -1 1 0.04 -1 -1 30252 -1 -1 37 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64296 31 32 422 339 1 195 100 17 17 289 -1 unnamed_device 24.1 MiB 0.26 1001 62.8 MiB 0.09 0.00 3.1215 -100.469 -3.1215 3.1215 1.04 0.000437982 0.000406804 0.0285456 0.0261795 26 2359 21 6.64007e+06 464646 477104. 1650.88 1.02 0.119309 0.105483 21682 110474 -1 2117 22 1734 2663 177832 40475 0 0 177832 40475 2663 1917 0 0 9702 7583 0 0 14809 11280 0 0 2663 2164 0 0 75618 8579 0 0 72377 8952 0 0 2663 0 0 929 1224 1236 8888 0 0 3.15637 3.15637 -125.003 -3.15637 0 0 585099. 2024.56 0.26 0.11 0.11 -1 -1 0.26 0.0349126 0.0309681 149 88 31 31 92 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.24 vpr 62.30 MiB 0.02 6888 -1 -1 1 0.03 -1 -1 30316 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63800 32 32 333 279 1 160 82 17 17 289 -1 unnamed_device 23.6 MiB 0.13 893 62.3 MiB 0.08 0.00 2.55679 -94.205 -2.55679 2.55679 0.87 0.000318369 0.000293161 0.0279605 0.0258591 32 2126 20 6.64007e+06 226044 554710. 1919.41 0.82 0.0875525 0.0769063 22834 132086 -1 1896 22 1222 1952 142791 32826 0 0 142791 32826 1952 1522 0 0 7164 5995 0 0 11298 8680 0 0 1952 1626 0 0 60802 7483 0 0 59623 7520 0 0 1952 0 0 730 687 636 5615 0 0 2.91417 2.91417 -112.823 -2.91417 0 0 701300. 2426.64 0.33 0.08 0.14 -1 -1 0.33 0.0291437 0.0258536 115 54 32 32 60 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.52 vpr 62.54 MiB 0.02 6812 -1 -1 1 0.03 -1 -1 30268 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64040 32 32 339 283 1 164 82 17 17 289 -1 unnamed_device 23.8 MiB 0.17 827 62.5 MiB 0.08 0.00 2.7819 -96.5035 -2.7819 2.7819 1.06 0.000540476 0.00049694 0.026622 0.0244723 32 2131 21 6.64007e+06 226044 554710. 1919.41 1.04 0.104882 0.0926926 22834 132086 -1 1797 18 1147 1923 134532 31113 0 0 134532 31113 1923 1440 0 0 6857 5560 0 0 10825 8060 0 0 1923 1490 0 0 58253 6803 0 0 54751 7760 0 0 1923 0 0 776 799 680 6118 0 0 2.76097 2.76097 -112.462 -2.76097 0 0 701300. 2426.64 0.31 0.07 0.14 -1 -1 0.31 0.0248025 0.0221932 121 60 32 32 62 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.52 vpr 62.74 MiB 0.02 6884 -1 -1 1 0.04 -1 -1 30708 -1 -1 38 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64248 32 32 407 319 1 198 102 17 17 289 -1 unnamed_device 24.0 MiB 0.14 954 62.7 MiB 0.10 0.00 3.42636 -116.672 -3.42636 3.42636 1.05 0.000737931 0.00067781 0.0309532 0.0284555 32 2357 19 6.64007e+06 477204 554710. 1919.41 1.06 0.112618 0.09962 22834 132086 -1 2040 24 2107 3136 231129 51632 0 0 231129 51632 3136 2391 0 0 11473 9472 0 0 19444 14426 0 0 3136 2563 0 0 101399 10587 0 0 92541 12193 0 0 3136 0 0 1029 1332 1256 10343 0 0 3.68063 3.68063 -139.847 -3.68063 0 0 701300. 2426.64 0.23 0.11 0.08 -1 -1 0.23 0.0409698 0.036815 156 49 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.65 vpr 62.61 MiB 0.03 7052 -1 -1 1 0.03 -1 -1 30504 -1 -1 34 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64108 29 32 367 293 1 183 95 17 17 289 -1 unnamed_device 23.7 MiB 0.13 864 62.6 MiB 0.09 0.00 3.0713 -89.186 -3.0713 3.0713 1.05 0.000587721 0.000541507 0.028329 0.0260808 28 2271 22 6.64007e+06 426972 500653. 1732.36 1.19 0.118781 0.105951 21970 115934 -1 1960 22 1293 2061 135203 32048 0 0 135203 32048 2061 1537 0 0 7245 5721 0 0 10567 8274 0 0 2061 1653 0 0 54855 7493 0 0 58414 7370 0 0 2061 0 0 768 1207 1285 8603 0 0 2.94597 2.94597 -109.469 -2.94597 0 0 612192. 2118.31 0.27 0.07 0.12 -1 -1 0.27 0.0288732 0.0256274 135 54 56 29 58 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.81 vpr 63.08 MiB 0.03 7096 -1 -1 1 0.04 -1 -1 30628 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64592 32 32 469 381 1 200 103 17 17 289 -1 unnamed_device 24.2 MiB 0.21 936 63.1 MiB 0.10 0.00 3.42636 -117.212 -3.42636 3.42636 0.86 0.000795632 0.000733156 0.0311589 0.0286434 28 2854 24 6.64007e+06 489762 500653. 1732.36 1.71 0.151864 0.135463 21970 115934 -1 2453 22 1947 3090 217421 53047 0 0 217421 53047 3090 2382 0 0 11171 9257 0 0 16570 13145 0 0 3090 2513 0 0 90000 12688 0 0 93500 13062 0 0 3090 0 0 1143 1467 1588 11124 0 0 3.95703 3.95703 -153.895 -3.95703 0 0 612192. 2118.31 0.26 0.09 0.12 -1 -1 0.26 0.0329487 0.0290889 158 117 0 0 128 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.29 vpr 61.73 MiB 0.02 6744 -1 -1 1 0.03 -1 -1 30300 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63216 31 32 259 212 1 146 80 17 17 289 -1 unnamed_device 23.1 MiB 0.08 855 61.7 MiB 0.09 0.00 2.50628 -87.9013 -2.50628 2.50628 1.00 0.000424364 0.000388816 0.0324596 0.0297609 32 1923 20 6.64007e+06 213486 554710. 1919.41 0.92 0.0882503 0.0776757 22834 132086 -1 1735 18 934 1446 106406 24453 0 0 106406 24453 1446 1175 0 0 5276 4324 0 0 8016 6088 0 0 1446 1243 0 0 45866 5683 0 0 44356 5940 0 0 1446 0 0 512 441 400 3957 0 0 2.76997 2.76997 -107.888 -2.76997 0 0 701300. 2426.64 0.32 0.06 0.14 -1 -1 0.32 0.0215206 0.0191743 106 -1 85 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 5.19 vpr 62.87 MiB 0.03 7076 -1 -1 1 0.04 -1 -1 30324 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64380 32 32 418 338 1 190 99 17 17 289 -1 unnamed_device 24.2 MiB 0.13 973 62.9 MiB 0.16 0.00 3.43436 -113.195 -3.43436 3.43436 1.00 0.000649832 0.00059146 0.0505494 0.0461137 26 2795 34 6.64007e+06 439530 477104. 1650.88 1.77 0.169641 0.150502 21682 110474 -1 2124 22 1477 2267 209629 52524 0 0 209629 52524 2267 1761 0 0 8430 6612 0 0 12372 9616 0 0 2267 1891 0 0 93527 16710 0 0 90766 15934 0 0 2267 0 0 790 1088 1032 7431 0 0 4.10843 4.10843 -145.304 -4.10843 0 0 585099. 2024.56 0.22 0.10 0.08 -1 -1 0.22 0.0333024 0.0296065 144 89 28 28 92 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.58 vpr 62.46 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 30124 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63960 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 23.7 MiB 0.29 782 62.5 MiB 0.11 0.00 2.8021 -100.516 -2.8021 2.8021 0.97 0.000558691 0.000512066 0.043498 0.0399529 32 1880 19 6.64007e+06 213486 554710. 1919.41 1.03 0.122504 0.108763 22834 132086 -1 1632 20 1291 1847 126123 29563 0 0 126123 29563 1847 1475 0 0 6763 5439 0 0 10293 7794 0 0 1847 1557 0 0 51386 7050 0 0 53987 6248 0 0 1847 0 0 556 458 553 4654 0 0 2.87197 2.87197 -118.282 -2.87197 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.028844 0.0256026 114 93 0 0 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 5.17 vpr 62.80 MiB 0.03 6996 -1 -1 1 0.04 -1 -1 30256 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64304 32 32 401 316 1 196 101 17 17 289 -1 unnamed_device 24.1 MiB 0.14 869 62.8 MiB 0.10 0.00 2.8409 -95.9032 -2.8409 2.8409 1.05 0.000659797 0.000605188 0.0283256 0.0261062 26 2675 34 6.64007e+06 464646 477104. 1650.88 1.65 0.160079 0.14322 21682 110474 -1 2148 20 1481 2194 141035 35105 0 0 141035 35105 2194 1624 0 0 7904 6300 0 0 11516 8823 0 0 2194 1763 0 0 59004 8613 0 0 58223 7982 0 0 2194 0 0 713 978 1192 7899 0 0 2.94917 2.94917 -119.627 -2.94917 0 0 585099. 2024.56 0.26 0.08 0.10 -1 -1 0.26 0.0349412 0.0310855 151 59 61 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 6.70 vpr 62.85 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 30792 -1 -1 45 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64356 32 32 500 382 1 232 109 17 17 289 -1 unnamed_device 24.4 MiB 0.19 1199 62.8 MiB 0.17 0.00 4.01362 -138.146 -4.01362 4.01362 1.06 0.000840232 0.000774077 0.0559282 0.0513752 26 3527 37 6.64007e+06 565110 477104. 1650.88 3.02 0.21853 0.195526 21682 110474 -1 2809 21 2376 3575 284584 60757 0 0 284584 60757 3575 2746 0 0 12668 10437 0 0 19399 14568 0 0 3575 2899 0 0 128750 14124 0 0 116617 15983 0 0 3575 0 0 1199 2006 1966 14124 0 0 4.79669 4.79669 -171.987 -4.79669 0 0 585099. 2024.56 0.26 0.08 0.11 -1 -1 0.26 0.0273715 0.024522 188 81 64 32 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 3.37 vpr 61.84 MiB 0.01 6728 -1 -1 1 0.03 -1 -1 30072 -1 -1 15 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63320 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 23.2 MiB 0.07 524 61.8 MiB 0.03 0.00 2.34384 -69.1356 -2.34384 2.34384 0.69 0.000249552 0.000230575 0.0102102 0.00944999 26 1404 19 6.64007e+06 188370 477104. 1650.88 0.65 0.0581135 0.0504432 21682 110474 -1 1194 19 627 851 61671 15145 0 0 61671 15145 851 768 0 0 3235 2660 0 0 4685 3782 0 0 851 783 0 0 26334 3592 0 0 25715 3560 0 0 851 0 0 224 270 234 2119 0 0 1.95231 1.95231 -78.0438 -1.95231 0 0 585099. 2024.56 0.20 0.03 0.11 -1 -1 0.20 0.011848 0.0104035 83 51 0 0 53 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.45 vpr 61.92 MiB 0.02 6876 -1 -1 1 0.03 -1 -1 30356 -1 -1 17 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63404 30 32 296 244 1 137 79 17 17 289 -1 unnamed_device 23.2 MiB 0.07 656 61.9 MiB 0.09 0.00 2.9603 -88.4474 -2.9603 2.9603 1.06 0.00049786 0.000456851 0.035268 0.0324494 32 1562 21 6.64007e+06 213486 554710. 1919.41 0.99 0.100671 0.0890686 22834 132086 -1 1361 19 993 1475 113640 26685 0 0 113640 26685 1475 1171 0 0 5379 4615 0 0 9066 6873 0 0 1475 1240 0 0 47502 6354 0 0 48743 6432 0 0 1475 0 0 482 607 573 4287 0 0 3.05737 3.05737 -111.219 -3.05737 0 0 701300. 2426.64 0.31 0.06 0.14 -1 -1 0.31 0.0264588 0.0238601 97 29 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.12 vpr 62.40 MiB 0.02 6732 -1 -1 1 0.03 -1 -1 30112 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63900 32 32 314 256 1 167 82 17 17 289 -1 unnamed_device 23.7 MiB 0.11 747 62.4 MiB 0.09 0.00 2.7647 -94.9151 -2.7647 2.7647 0.78 0.00051485 0.000473963 0.0282135 0.0259892 32 2134 24 6.64007e+06 226044 554710. 1919.41 1.09 0.107009 0.0947682 22834 132086 -1 1852 22 1489 2616 170109 40318 0 0 170109 40318 2616 1934 0 0 9190 7624 0 0 14582 10523 0 0 2616 2048 0 0 70246 9242 0 0 70859 8947 0 0 2616 0 0 1127 1227 1152 9018 0 0 2.94877 2.94877 -118.984 -2.94877 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0277693 0.0247355 126 31 64 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.05 vpr 61.89 MiB 0.03 6788 -1 -1 1 0.04 -1 -1 30436 -1 -1 34 25 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63372 25 32 251 214 1 138 91 17 17 289 -1 unnamed_device 23.3 MiB 0.04 734 61.9 MiB 0.11 0.00 2.6877 -74.4937 -2.6877 2.6877 1.09 0.000431308 0.000396686 0.0326228 0.03004 26 1747 20 6.64007e+06 426972 477104. 1650.88 0.91 0.0887918 0.0784933 21682 110474 -1 1560 16 905 1412 100725 22841 0 0 100725 22841 1412 1049 0 0 5136 4199 0 0 7475 5812 0 0 1412 1126 0 0 44190 5249 0 0 41100 5406 0 0 1412 0 0 507 569 678 5034 0 0 2.84177 2.84177 -93.6543 -2.84177 0 0 585099. 2024.56 0.17 0.03 0.08 -1 -1 0.17 0.010683 0.00952159 103 19 50 25 25 25 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 4.32 vpr 62.83 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 30508 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64336 32 32 432 346 1 193 86 17 17 289 -1 unnamed_device 24.2 MiB 0.16 881 62.8 MiB 0.15 0.00 3.50535 -109.154 -3.50535 3.50535 0.81 0.000587919 0.000540016 0.0559267 0.051351 32 2561 27 6.64007e+06 276276 554710. 1919.41 1.17 0.151851 0.135213 22834 132086 -1 1989 21 1612 2854 179553 42606 0 0 179553 42606 2854 2009 0 0 9894 8133 0 0 15378 11239 0 0 2854 2127 0 0 75226 9436 0 0 73347 9662 0 0 2854 0 0 1242 1376 1034 9450 0 0 3.73663 3.73663 -136.919 -3.73663 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0240891 0.0213145 149 84 32 32 94 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.75 vpr 62.85 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 30380 -1 -1 39 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64356 31 32 421 339 1 193 102 17 17 289 -1 unnamed_device 24.2 MiB 0.16 892 62.8 MiB 0.09 0.00 2.8831 -95.4857 -2.8831 2.8831 0.96 0.000642699 0.000590246 0.0320048 0.0293977 30 2307 24 6.64007e+06 489762 526063. 1820.29 1.21 0.132141 0.11735 22546 126617 -1 1797 21 1613 2610 144963 36735 0 0 144963 36735 2610 1772 0 0 8724 7015 0 0 11365 9114 0 0 2610 1965 0 0 55515 8699 0 0 64139 8170 0 0 2610 0 0 997 1660 1133 9108 0 0 2.99197 2.99197 -115.049 -2.99197 0 0 666494. 2306.21 0.30 0.08 0.13 -1 -1 0.30 0.0303121 0.026904 148 88 29 29 93 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 5.52 vpr 62.46 MiB 0.03 6904 -1 -1 1 0.04 -1 -1 30572 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63956 32 32 438 350 1 194 98 17 17 289 -1 unnamed_device 24.3 MiB 0.25 937 62.5 MiB 0.09 0.00 3.15264 -107.851 -3.15264 3.15264 1.09 0.000710471 0.000645174 0.0312239 0.028674 32 3290 31 6.65987e+06 431052 554710. 1919.41 1.67 0.156111 0.139377 22834 132086 -1 2325 21 1900 3010 244776 59610 0 0 244776 59610 3010 2418 0 0 11437 9758 0 0 18500 14406 0 0 3010 2530 0 0 98846 14912 0 0 109973 15586 0 0 3010 0 0 1110 1565 1136 10157 0 0 3.60511 3.60511 -140.865 -3.60511 0 0 701300. 2426.64 0.32 0.10 0.13 -1 -1 0.32 0.0342032 0.0305649 151 80 32 32 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.74 vpr 62.64 MiB 0.04 7112 -1 -1 1 0.04 -1 -1 30680 -1 -1 21 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64148 30 32 409 330 1 186 83 17 17 289 -1 unnamed_device 24.0 MiB 0.36 818 62.6 MiB 0.07 0.00 3.4765 -99.5249 -3.4765 3.4765 1.03 0.000625371 0.000575346 0.0256819 0.0236828 32 2470 23 6.65987e+06 266238 554710. 1919.41 1.11 0.120837 0.107038 22834 132086 -1 1996 22 1794 2982 236387 54438 0 0 236387 54438 2982 2483 0 0 11272 9593 0 0 18150 13825 0 0 2982 2594 0 0 99226 13624 0 0 101775 12319 0 0 2982 0 0 1188 1373 1170 9443 0 0 3.85971 3.85971 -131.714 -3.85971 0 0 701300. 2426.64 0.32 0.10 0.13 -1 -1 0.32 0.0339804 0.0303415 140 78 30 30 89 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.66 vpr 62.54 MiB 0.03 6880 -1 -1 1 0.04 -1 -1 30352 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64036 32 32 387 309 1 186 98 17 17 289 -1 unnamed_device 23.9 MiB 0.11 1047 62.5 MiB 0.13 0.00 2.72347 -96.5797 -2.72347 2.72347 1.07 0.000613476 0.000562003 0.0417738 0.0383942 32 2583 26 6.65987e+06 431052 554710. 1919.41 1.14 0.134774 0.119868 22834 132086 -1 2178 21 1519 2397 203538 44322 0 0 203538 44322 2397 1732 0 0 9430 8045 0 0 14865 11885 0 0 2397 1925 0 0 92693 9178 0 0 81756 11557 0 0 2397 0 0 878 1227 1476 9634 0 0 3.17359 3.17359 -123.211 -3.17359 0 0 701300. 2426.64 0.30 0.08 0.11 -1 -1 0.30 0.030525 0.0270907 141 50 54 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.36 vpr 62.32 MiB 0.03 6932 -1 -1 1 0.04 -1 -1 30620 -1 -1 22 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63816 29 32 343 267 1 184 83 17 17 289 -1 unnamed_device 23.8 MiB 0.12 858 62.3 MiB 0.14 0.00 3.4563 -100.035 -3.4563 3.4563 0.97 0.000476008 0.000434734 0.0455296 0.0417013 30 2280 22 6.65987e+06 278916 526063. 1820.29 1.04 0.132099 0.118211 22546 126617 -1 1763 22 1467 2623 143159 34447 0 0 143159 34447 2623 1899 0 0 8788 6995 0 0 12238 9709 0 0 2623 2210 0 0 59143 6814 0 0 57744 6820 0 0 2623 0 0 1156 1051 1095 9109 0 0 3.46711 3.46711 -118.701 -3.46711 0 0 666494. 2306.21 0.28 0.07 0.10 -1 -1 0.28 0.0268802 0.0239587 138 25 87 29 29 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.79 vpr 62.58 MiB 0.03 6884 -1 -1 1 0.04 -1 -1 30360 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64080 32 32 376 288 1 195 84 17 17 289 -1 unnamed_device 23.9 MiB 0.25 922 62.6 MiB 0.10 0.00 3.30796 -113.007 -3.30796 3.30796 1.03 0.000555406 0.000505372 0.0325886 0.0297302 32 3084 25 6.65987e+06 253560 554710. 1919.41 1.24 0.135538 0.120587 22834 132086 -1 2373 22 1946 3565 254512 61439 0 0 254512 61439 3565 2788 0 0 13256 11365 0 0 20916 15817 0 0 3565 2905 0 0 104558 14152 0 0 108652 14412 0 0 3565 0 0 1619 1750 1525 12377 0 0 3.81163 3.81163 -145.475 -3.81163 0 0 701300. 2426.64 0.25 0.07 0.14 -1 -1 0.25 0.0229768 0.0205676 151 31 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.80 vpr 62.29 MiB 0.03 7016 -1 -1 1 0.04 -1 -1 30408 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63784 32 32 402 316 1 199 101 17 17 289 -1 unnamed_device 23.9 MiB 0.22 1143 62.3 MiB 0.16 0.00 2.90104 -101.953 -2.90104 2.90104 1.03 0.000605754 0.000557248 0.0516571 0.0474936 32 2502 19 6.65987e+06 469086 554710. 1919.41 1.07 0.148258 0.132618 22834 132086 -1 2181 28 1844 2970 369363 132389 0 0 369363 132389 2970 2184 0 0 11544 9656 0 0 19241 14598 0 0 2970 2337 0 0 172541 52704 0 0 160097 50910 0 0 2970 0 0 1126 1416 1680 10788 0 0 2.76805 2.76805 -114.471 -2.76805 0 0 701300. 2426.64 0.30 0.16 0.14 -1 -1 0.30 0.0417153 0.0371558 154 61 63 32 63 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.40 vpr 61.92 MiB 0.02 6796 -1 -1 1 0.03 -1 -1 30600 -1 -1 19 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63404 27 32 269 226 1 135 78 17 17 289 -1 unnamed_device 23.3 MiB 0.20 588 61.9 MiB 0.09 0.00 3.0353 -80.2894 -3.0353 3.0353 1.04 0.000352536 0.000322115 0.0348881 0.0321481 32 1620 30 6.65987e+06 240882 554710. 1919.41 0.90 0.0936655 0.0827603 22834 132086 -1 1380 20 1084 1841 121333 31554 0 0 121333 31554 1841 1427 0 0 7002 5897 0 0 10765 8226 0 0 1841 1507 0 0 50500 6709 0 0 49384 7788 0 0 1841 0 0 757 900 929 6345 0 0 2.81376 2.81376 -96.9566 -2.81376 0 0 701300. 2426.64 0.32 0.06 0.12 -1 -1 0.32 0.023105 0.0205762 96 26 54 27 27 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.23 vpr 62.28 MiB 0.02 6888 -1 -1 1 0.03 -1 -1 30260 -1 -1 33 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63772 31 32 317 242 1 185 96 17 17 289 -1 unnamed_device 23.5 MiB 0.15 988 62.3 MiB 0.14 0.00 2.73284 -89.6492 -2.73284 2.73284 0.90 0.00052087 0.000478311 0.0452769 0.0416454 28 2297 19 6.65987e+06 418374 500653. 1732.36 1.08 0.121096 0.108008 21970 115934 -1 2066 20 1270 2185 158436 35081 0 0 158436 35081 2185 1502 0 0 7832 6300 0 0 11837 9299 0 0 2185 1697 0 0 70354 7616 0 0 64043 8667 0 0 2185 0 0 915 1359 1383 9628 0 0 2.77405 2.77405 -102.935 -2.77405 0 0 612192. 2118.31 0.28 0.07 0.12 -1 -1 0.28 0.0268622 0.0240048 139 -1 115 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 3.99 vpr 62.14 MiB 0.02 6860 -1 -1 1 0.03 -1 -1 30120 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63632 31 32 338 292 1 148 79 17 17 289 -1 unnamed_device 23.4 MiB 0.21 860 62.1 MiB 0.06 0.00 2.45267 -82.6069 -2.45267 2.45267 0.70 0.000451399 0.000399437 0.0236563 0.021846 32 1903 17 6.65987e+06 202848 554710. 1919.41 0.96 0.0903012 0.0795552 22834 132086 -1 1647 17 822 1323 94618 21385 0 0 94618 21385 1323 926 0 0 5005 4202 0 0 7202 5676 0 0 1323 992 0 0 40333 4755 0 0 39432 4834 0 0 1323 0 0 501 397 521 3862 0 0 2.38705 2.38705 -98.146 -2.38705 0 0 701300. 2426.64 0.31 0.06 0.14 -1 -1 0.31 0.022604 0.0201653 105 81 0 0 84 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.71 vpr 62.27 MiB 0.02 6660 -1 -1 1 0.03 -1 -1 30248 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63764 32 32 314 256 1 162 80 17 17 289 -1 unnamed_device 23.6 MiB 0.26 828 62.3 MiB 0.10 0.00 2.7537 -99.3682 -2.7537 2.7537 1.05 0.000524447 0.000481081 0.036226 0.0333319 32 2076 21 6.65987e+06 202848 554710. 1919.41 1.06 0.107512 0.0952285 22834 132086 -1 1831 22 1493 2289 176853 39197 0 0 176853 39197 2289 1758 0 0 8476 7357 0 0 13849 10343 0 0 2289 1873 0 0 80681 8115 0 0 69269 9751 0 0 2289 0 0 796 793 884 7020 0 0 2.92977 2.92977 -118.391 -2.92977 0 0 701300. 2426.64 0.32 0.08 0.14 -1 -1 0.32 0.0264541 0.0234485 121 31 64 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.04 vpr 62.16 MiB 0.03 6864 -1 -1 1 0.03 -1 -1 30292 -1 -1 17 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63656 30 32 325 273 1 151 79 17 17 289 -1 unnamed_device 23.4 MiB 0.27 815 62.2 MiB 0.12 0.00 2.8281 -95.0467 -2.8281 2.8281 0.92 0.00052356 0.000481026 0.0450009 0.041427 32 1726 18 6.65987e+06 215526 554710. 1919.41 0.80 0.0875149 0.0781087 22834 132086 -1 1597 21 1201 1736 108066 26225 0 0 108066 26225 1736 1341 0 0 6461 5499 0 0 9690 7416 0 0 1736 1468 0 0 44650 5296 0 0 43793 5205 0 0 1736 0 0 535 337 523 4545 0 0 2.80877 2.80877 -111.69 -2.80877 0 0 701300. 2426.64 0.20 0.04 0.09 -1 -1 0.20 0.0161311 0.0142932 110 58 30 30 60 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.43 vpr 62.17 MiB 0.02 6892 -1 -1 1 0.03 -1 -1 30468 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63664 32 32 331 280 1 156 93 17 17 289 -1 unnamed_device 23.5 MiB 0.22 864 62.2 MiB 0.10 0.00 2.44518 -86.2004 -2.44518 2.44518 0.88 0.000548953 0.000503167 0.0289947 0.0266395 32 2058 31 6.65987e+06 367662 554710. 1919.41 1.11 0.119869 0.106261 22834 132086 -1 1846 21 1288 2091 170151 37879 0 0 170151 37879 2091 1480 0 0 8100 6743 0 0 12865 9909 0 0 2091 1617 0 0 70137 9656 0 0 74867 8474 0 0 2091 0 0 803 978 856 7532 0 0 2.62025 2.62025 -106.295 -2.62025 0 0 701300. 2426.64 0.31 0.07 0.12 -1 -1 0.31 0.0271664 0.0241569 114 57 25 25 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.95 vpr 62.48 MiB 0.03 6956 -1 -1 1 0.04 -1 -1 30240 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63980 32 32 386 305 1 188 96 17 17 289 -1 unnamed_device 23.9 MiB 0.47 1030 62.5 MiB 0.13 0.00 2.7537 -99.7786 -2.7537 2.7537 1.09 0.000650411 0.000597533 0.0399812 0.0367221 28 2430 21 6.65987e+06 405696 500653. 1732.36 1.09 0.13386 0.119251 21970 115934 -1 2187 19 1522 2567 180055 41143 0 0 180055 41143 2567 1808 0 0 9318 7783 0 0 14135 11087 0 0 2567 1913 0 0 79727 8673 0 0 71741 9879 0 0 2567 0 0 1045 1161 1167 9052 0 0 2.92677 2.92677 -119.235 -2.92677 0 0 612192. 2118.31 0.28 0.09 0.12 -1 -1 0.28 0.0308695 0.0277202 143 55 64 32 57 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.45 vpr 62.29 MiB 0.03 7072 -1 -1 1 0.04 -1 -1 30436 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63784 32 32 407 319 1 200 98 17 17 289 -1 unnamed_device 23.9 MiB 0.30 1115 62.3 MiB 0.09 0.00 3.1647 -112.747 -3.1647 3.1647 0.99 0.000671164 0.000605648 0.0239578 0.0221901 32 2525 24 6.65987e+06 431052 554710. 1919.41 0.84 0.0849054 0.0752819 22834 132086 -1 2373 25 2194 3464 281938 62670 0 0 281938 62670 3464 2680 0 0 12904 11007 0 0 21733 16101 0 0 3464 2879 0 0 121988 15072 0 0 118385 14931 0 0 3464 0 0 1270 1382 1397 11129 0 0 3.52017 3.52017 -138.381 -3.52017 0 0 701300. 2426.64 0.31 0.12 0.13 -1 -1 0.31 0.0401677 0.0358897 156 60 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.35 vpr 61.87 MiB 0.02 6868 -1 -1 1 0.03 -1 -1 30584 -1 -1 18 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63352 29 32 272 228 1 145 79 17 17 289 -1 unnamed_device 23.5 MiB 0.15 657 61.9 MiB 0.07 0.00 2.43238 -73.0963 -2.43238 2.43238 0.99 0.000381846 0.000349721 0.0234146 0.021493 28 1824 22 6.65987e+06 228204 500653. 1732.36 0.95 0.0888206 0.0786879 21970 115934 -1 1505 19 1089 1825 115905 29853 0 0 115905 29853 1825 1471 0 0 6749 5678 0 0 9834 8038 0 0 1825 1646 0 0 48314 6702 0 0 47358 6318 0 0 1825 0 0 736 823 661 5785 0 0 2.75185 2.75185 -91.8225 -2.75185 0 0 612192. 2118.31 0.29 0.06 0.12 -1 -1 0.29 0.0233805 0.0208689 107 21 58 29 24 24 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.90 vpr 62.76 MiB 0.03 6952 -1 -1 1 0.04 -1 -1 30372 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64264 32 32 401 315 1 192 84 17 17 289 -1 unnamed_device 24.0 MiB 0.30 1095 62.8 MiB 0.14 0.00 2.9131 -107.137 -2.9131 2.9131 1.03 0.00057407 0.000525603 0.0509574 0.0469697 32 2677 24 6.65987e+06 253560 554710. 1919.41 1.19 0.171406 0.153386 22834 132086 -1 2386 23 1996 3467 285411 63857 0 0 285411 63857 3467 2585 0 0 12915 11198 0 0 22356 16479 0 0 3467 2729 0 0 123262 15426 0 0 119944 15440 0 0 3467 0 0 1471 2174 1919 13137 0 0 3.20237 3.20237 -127.893 -3.20237 0 0 701300. 2426.64 0.25 0.12 0.10 -1 -1 0.25 0.0383472 0.0344265 146 60 64 32 62 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 4.40 vpr 62.59 MiB 0.02 6960 -1 -1 1 0.04 -1 -1 30256 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64088 32 32 383 303 1 185 98 17 17 289 -1 unnamed_device 24.0 MiB 0.40 1033 62.6 MiB 0.12 0.00 2.9131 -105.574 -2.9131 2.9131 0.87 0.00063619 0.000583777 0.0351342 0.0323252 28 2238 22 6.65987e+06 431052 500653. 1732.36 0.84 0.107257 0.0961203 21970 115934 -1 1978 19 1353 2001 130185 30916 0 0 130185 30916 2001 1522 0 0 7301 6046 0 0 10722 8566 0 0 2001 1638 0 0 55264 6565 0 0 52896 6579 0 0 2001 0 0 648 698 836 6163 0 0 2.99897 2.99897 -125.747 -2.99897 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0180806 0.0162328 142 54 64 32 56 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.37 vpr 62.02 MiB 0.02 6908 -1 -1 1 0.03 -1 -1 30108 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63508 32 32 339 284 1 162 94 17 17 289 -1 unnamed_device 23.5 MiB 0.23 891 62.0 MiB 0.14 0.00 2.23864 -84.0256 -2.23864 2.23864 1.03 0.000541334 0.000497458 0.0445892 0.0408279 28 2068 18 6.65987e+06 380340 500653. 1732.36 0.86 0.103066 0.0919402 21970 115934 -1 1829 15 883 1411 91612 22261 0 0 91612 22261 1411 935 0 0 5315 4380 0 0 7531 6182 0 0 1411 1076 0 0 38305 4906 0 0 37639 4782 0 0 1411 0 0 528 695 718 5232 0 0 2.15051 2.15051 -98.0237 -2.15051 0 0 612192. 2118.31 0.26 0.05 0.07 -1 -1 0.26 0.0220035 0.0197613 118 62 29 29 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 3.43 vpr 61.61 MiB 0.02 6656 -1 -1 1 0.02 -1 -1 30116 -1 -1 15 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63084 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 23.2 MiB 0.09 705 61.6 MiB 0.06 0.00 1.99938 -68.6713 -1.99938 1.99938 1.06 0.0003794 0.00034894 0.0205291 0.0189555 26 1493 20 6.65987e+06 190170 477104. 1650.88 0.62 0.0565886 0.04983 21682 110474 -1 1383 18 703 993 79789 18006 0 0 79789 18006 993 763 0 0 3843 3142 0 0 5614 4505 0 0 993 824 0 0 33045 4772 0 0 35301 4000 0 0 993 0 0 290 309 278 2576 0 0 1.92605 1.92605 -80.4468 -1.92605 0 0 585099. 2024.56 0.17 0.03 0.07 -1 -1 0.17 0.0107281 0.00947242 85 29 24 24 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.35 vpr 62.26 MiB 0.02 6916 -1 -1 1 0.03 -1 -1 30452 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63756 31 32 335 280 1 154 79 17 17 289 -1 unnamed_device 23.6 MiB 0.20 838 62.3 MiB 0.12 0.00 3.15104 -98.411 -3.15104 3.15104 1.01 0.000523558 0.000475176 0.0467073 0.0429549 32 2015 19 6.65987e+06 202848 554710. 1919.41 1.01 0.120423 0.107411 22834 132086 -1 1726 19 888 1355 112658 25780 0 0 112658 25780 1355 1166 0 0 5303 4499 0 0 8317 6455 0 0 1355 1180 0 0 48931 6375 0 0 47397 6105 0 0 1355 0 0 467 356 381 3629 0 0 3.19471 3.19471 -116.433 -3.19471 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.025514 0.0227045 113 55 31 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.42 vpr 62.68 MiB 0.02 6956 -1 -1 1 0.04 -1 -1 30192 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64184 32 32 366 283 1 192 98 17 17 289 -1 unnamed_device 24.1 MiB 0.07 991 62.7 MiB 0.17 0.00 3.1409 -108.235 -3.1409 3.1409 0.97 0.000615501 0.00056565 0.0547685 0.0503883 32 2324 24 6.65987e+06 431052 554710. 1919.41 1.05 0.14122 0.125788 22834 132086 -1 2042 19 1476 2076 160974 35534 0 0 160974 35534 2076 1616 0 0 7808 6495 0 0 12156 9391 0 0 2076 1774 0 0 72929 7350 0 0 63929 8908 0 0 2076 0 0 600 724 642 5900 0 0 3.55511 3.55511 -130.227 -3.55511 0 0 701300. 2426.64 0.31 0.08 0.14 -1 -1 0.31 0.0305294 0.0274768 145 31 91 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.89 vpr 62.61 MiB 0.02 7156 -1 -1 1 0.04 -1 -1 30556 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64108 32 32 460 375 1 196 100 17 17 289 -1 unnamed_device 24.3 MiB 0.35 1120 62.6 MiB 0.15 0.00 2.73064 -99.5078 -2.73064 2.73064 1.01 0.000654513 0.00060689 0.05031 0.0460798 32 2888 20 6.65987e+06 456408 554710. 1919.41 1.10 0.150833 0.133992 22834 132086 -1 2386 23 1679 2613 202279 45644 0 0 202279 45644 2613 2039 0 0 9884 8337 0 0 15509 12184 0 0 2613 2193 0 0 88783 10128 0 0 82877 10763 0 0 2613 0 0 934 1015 1081 8213 0 0 3.37605 3.37605 -124.81 -3.37605 0 0 701300. 2426.64 0.31 0.10 0.14 -1 -1 0.31 0.0388933 0.0345929 149 108 0 0 125 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 3.81 vpr 61.41 MiB 0.02 6656 -1 -1 1 0.03 -1 -1 30680 -1 -1 17 26 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62880 26 32 198 186 1 109 75 17 17 289 -1 unnamed_device 22.8 MiB 0.20 544 61.4 MiB 0.08 0.00 2.01838 -58.8962 -2.01838 2.01838 0.69 0.000350632 0.000321684 0.0276369 0.0254264 32 1259 16 6.65987e+06 215526 554710. 1919.41 0.92 0.0699354 0.0618834 22834 132086 -1 1141 14 512 804 61211 14269 0 0 61211 14269 804 637 0 0 3051 2549 0 0 4546 3630 0 0 804 687 0 0 25420 3533 0 0 26586 3233 0 0 804 0 0 292 201 359 2430 0 0 1.92285 1.92285 -70.3377 -1.92285 0 0 701300. 2426.64 0.25 0.04 0.11 -1 -1 0.25 0.0130505 0.0116462 77 21 26 26 22 22 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.56 vpr 62.13 MiB 0.03 6836 -1 -1 1 0.04 -1 -1 30256 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63624 32 32 333 251 1 187 84 17 17 289 -1 unnamed_device 23.3 MiB 0.15 1100 62.1 MiB 0.09 0.00 3.26284 -110.335 -3.26284 3.26284 1.04 0.000597139 0.000549596 0.0270358 0.0249766 30 2383 21 6.65987e+06 253560 526063. 1820.29 1.27 0.114034 0.101753 22546 126617 -1 2070 19 1283 2165 120384 28440 0 0 120384 28440 2165 1638 0 0 7230 5805 0 0 9872 7920 0 0 2165 1766 0 0 50038 5561 0 0 48914 5750 0 0 2165 0 0 882 862 995 7352 0 0 3.64457 3.64457 -131.343 -3.64457 0 0 666494. 2306.21 0.20 0.05 0.08 -1 -1 0.20 0.019098 0.0169294 137 -1 122 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.19 vpr 61.41 MiB 0.02 6548 -1 -1 1 0.02 -1 -1 30312 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62880 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 22.9 MiB 0.04 737 61.4 MiB 0.08 0.00 1.74527 -67.3368 -1.74527 1.74527 1.06 0.000372153 0.000341862 0.028049 0.025795 32 1548 20 6.65987e+06 164814 554710. 1919.41 0.87 0.0646122 0.057508 22834 132086 -1 1427 16 603 811 64764 14994 0 0 64764 14994 811 669 0 0 3120 2580 0 0 5014 3940 0 0 811 725 0 0 27655 3610 0 0 27353 3470 0 0 811 0 0 208 107 182 1772 0 0 1.87105 1.87105 -81.3355 -1.87105 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0158945 0.0142618 81 -1 53 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.59 vpr 62.32 MiB 0.03 6896 -1 -1 1 0.04 -1 -1 30540 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63816 32 32 376 288 1 194 97 17 17 289 -1 unnamed_device 23.7 MiB 0.07 1080 62.3 MiB 0.12 0.00 3.3241 -116.455 -3.3241 3.3241 1.05 0.000346764 0.000319032 0.0382125 0.0351285 32 2521 24 6.65987e+06 418374 554710. 1919.41 1.06 0.121834 0.108321 22834 132086 -1 2190 19 1777 2657 174136 41815 0 0 174136 41815 2657 2015 0 0 9973 8632 0 0 15526 11981 0 0 2657 2127 0 0 73097 8140 0 0 70226 8920 0 0 2657 0 0 880 975 1069 7899 0 0 3.65037 3.65037 -138.921 -3.65037 0 0 701300. 2426.64 0.31 0.09 0.13 -1 -1 0.31 0.0300814 0.0269294 151 21 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.30 vpr 62.38 MiB 0.03 6844 -1 -1 1 0.04 -1 -1 30180 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63872 32 32 337 253 1 196 99 17 17 289 -1 unnamed_device 23.8 MiB 0.16 1101 62.4 MiB 0.12 0.00 2.66064 -97.5546 -2.66064 2.66064 1.05 0.000655456 0.000602684 0.0345964 0.0318161 32 2484 17 6.65987e+06 443730 554710. 1919.41 1.02 0.112166 0.0998473 22834 132086 -1 2216 22 1611 2599 187024 43526 0 0 187024 43526 2599 1773 0 0 10154 8533 0 0 16339 12644 0 0 2599 1983 0 0 77252 9352 0 0 78081 9241 0 0 2599 0 0 988 1181 1306 9358 0 0 2.76891 2.76891 -113.683 -2.76891 0 0 701300. 2426.64 0.24 0.08 0.13 -1 -1 0.24 0.0300015 0.0267436 150 -1 124 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 5.92 vpr 62.13 MiB 0.03 6952 -1 -1 1 0.04 -1 -1 30688 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63624 32 32 407 319 1 197 99 17 17 289 -1 unnamed_device 23.7 MiB 0.14 1144 62.1 MiB 0.11 0.00 3.07644 -110.15 -3.07644 3.07644 1.00 0.000606909 0.000553944 0.0313406 0.0286859 36 2446 25 6.65987e+06 443730 612192. 2118.31 2.49 0.20283 0.179248 23410 145293 -1 2096 24 1944 3234 212488 48688 0 0 212488 48688 3234 2232 0 0 11541 9818 0 0 17538 13679 0 0 3234 2374 0 0 93104 9736 0 0 83837 10849 0 0 3234 0 0 1290 1716 1407 11655 0 0 3.27451 3.27451 -132.598 -3.27451 0 0 782063. 2706.10 0.24 0.10 0.13 -1 -1 0.24 0.0379163 0.0338363 153 54 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.46 vpr 61.76 MiB 0.02 6752 -1 -1 1 0.03 -1 -1 30264 -1 -1 15 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63240 32 32 294 246 1 149 79 17 17 289 -1 unnamed_device 23.3 MiB 0.06 766 61.8 MiB 0.08 0.00 2.30182 -81.4786 -2.30182 2.30182 1.08 0.000501651 0.000461516 0.0257727 0.0237885 32 2015 24 6.65987e+06 190170 554710. 1919.41 1.00 0.0958459 0.0845369 22834 132086 -1 1705 20 1042 1662 132249 31624 0 0 132249 31624 1662 1335 0 0 6527 5646 0 0 10544 8351 0 0 1662 1400 0 0 56166 7426 0 0 55688 7466 0 0 1662 0 0 620 757 531 5024 0 0 2.74785 2.74785 -102.12 -2.74785 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.02453 0.0218251 106 31 54 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.63 vpr 61.87 MiB 0.02 6788 -1 -1 1 0.03 -1 -1 30276 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63356 30 32 296 244 1 154 81 17 17 289 -1 unnamed_device 23.4 MiB 0.13 838 61.9 MiB 0.11 0.00 2.8721 -96.5836 -2.8721 2.8721 1.08 0.000486105 0.000447539 0.0377924 0.0348378 32 1860 23 6.65987e+06 240882 554710. 1919.41 1.06 0.112073 0.0997176 22834 132086 -1 1646 19 1203 1823 132724 30303 0 0 132724 30303 1823 1382 0 0 6804 5727 0 0 10533 8144 0 0 1823 1476 0 0 59485 5971 0 0 52256 7603 0 0 1823 0 0 620 613 753 5471 0 0 2.92897 2.92897 -111.572 -2.92897 0 0 701300. 2426.64 0.27 0.06 0.15 -1 -1 0.27 0.0212719 0.0187483 115 29 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.17 vpr 61.90 MiB 0.02 6792 -1 -1 1 0.03 -1 -1 30264 -1 -1 20 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63384 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 23.4 MiB 0.15 798 61.9 MiB 0.07 0.00 2.7097 -86.4171 -2.7097 2.7097 0.98 0.000469652 0.000432452 0.0225335 0.0207719 32 1929 26 6.65987e+06 253560 554710. 1919.41 0.67 0.0655548 0.0578215 22834 132086 -1 1798 20 1222 2085 157102 37025 0 0 157102 37025 2085 1659 0 0 8095 7164 0 0 13354 10133 0 0 2085 1752 0 0 66662 8268 0 0 64821 8049 0 0 2085 0 0 863 820 813 6703 0 0 2.94197 2.94197 -107.042 -2.94197 0 0 701300. 2426.64 0.31 0.08 0.14 -1 -1 0.31 0.0250528 0.0223728 107 27 56 28 28 28 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.28 vpr 62.16 MiB 0.02 6692 -1 -1 1 0.03 -1 -1 30276 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63648 32 32 283 225 1 166 82 17 17 289 -1 unnamed_device 23.4 MiB 0.11 776 62.2 MiB 0.06 0.00 2.7647 -96.8353 -2.7647 2.7647 0.95 0.000430447 0.000395115 0.0162978 0.0149869 32 2126 24 6.65987e+06 228204 554710. 1919.41 0.99 0.0858983 0.075597 22834 132086 -1 1865 19 1438 2256 173789 39673 0 0 173789 39673 2256 1678 0 0 8439 7185 0 0 13280 10252 0 0 2256 1795 0 0 77149 8451 0 0 70409 10312 0 0 2256 0 0 818 733 1040 7017 0 0 3.09097 3.09097 -125.801 -3.09097 0 0 701300. 2426.64 0.32 0.09 0.14 -1 -1 0.32 0.0249476 0.0223835 125 -1 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.45 vpr 61.97 MiB 0.02 6788 -1 -1 1 0.03 -1 -1 30416 -1 -1 31 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63456 31 32 303 249 1 162 94 17 17 289 -1 unnamed_device 23.4 MiB 0.08 771 62.0 MiB 0.10 0.00 2.57058 -87.584 -2.57058 2.57058 1.06 0.000496978 0.000456456 0.0294258 0.0270814 28 2105 31 6.65987e+06 393018 500653. 1732.36 1.12 0.118316 0.105253 21970 115934 -1 1884 21 1209 1792 147398 36329 0 0 147398 36329 1792 1324 0 0 6550 5333 0 0 9969 7743 0 0 1792 1431 0 0 63368 10550 0 0 63927 9948 0 0 1792 0 0 583 649 662 5778 0 0 2.79345 2.79345 -108.872 -2.79345 0 0 612192. 2118.31 0.26 0.07 0.12 -1 -1 0.26 0.0194582 0.0172486 119 26 61 31 31 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.30 vpr 62.17 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 30084 -1 -1 30 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63660 29 32 312 264 1 154 91 17 17 289 -1 unnamed_device 23.4 MiB 0.26 867 62.2 MiB 0.11 0.00 2.24264 -75.5647 -2.24264 2.24264 0.87 0.000504392 0.000453627 0.0334769 0.0305064 32 1856 23 6.65987e+06 380340 554710. 1919.41 1.05 0.112602 0.0999622 22834 132086 -1 1667 20 1063 1770 130467 30567 0 0 130467 30567 1770 1202 0 0 6896 5848 0 0 11620 8934 0 0 1770 1340 0 0 55873 6433 0 0 52538 6810 0 0 1770 0 0 707 917 1005 6891 0 0 2.18345 2.18345 -87.9588 -2.18345 0 0 701300. 2426.64 0.31 0.07 0.12 -1 -1 0.31 0.0263631 0.0235319 109 55 29 29 57 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 5.11 vpr 62.52 MiB 0.03 7032 -1 -1 1 0.04 -1 -1 30468 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64024 32 32 423 310 1 229 103 17 17 289 -1 unnamed_device 24.2 MiB 0.35 1187 62.5 MiB 0.07 0.00 3.41716 -117.409 -3.41716 3.41716 0.90 0.000413283 0.000372989 0.0214309 0.0198828 30 3033 23 6.65987e+06 494442 526063. 1820.29 1.50 0.128218 0.114115 22546 126617 -1 2254 20 1573 2583 145373 33287 0 0 145373 33287 2583 1783 0 0 8400 6478 0 0 11348 8901 0 0 2583 1917 0 0 60964 6728 0 0 59495 7480 0 0 2583 0 0 1010 1678 1690 12411 0 0 3.61523 3.61523 -135.854 -3.61523 0 0 666494. 2306.21 0.30 0.08 0.12 -1 -1 0.30 0.0348152 0.0313122 179 26 128 32 27 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.44 vpr 62.39 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 30464 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63884 32 32 403 317 1 198 99 17 17 289 -1 unnamed_device 23.9 MiB 0.29 1008 62.4 MiB 0.08 0.00 2.7849 -97.5775 -2.7849 2.7849 0.78 0.000365041 0.000329573 0.0241354 0.0221226 32 2305 23 6.65987e+06 443730 554710. 1919.41 1.11 0.115031 0.10161 22834 132086 -1 1990 16 1609 2415 149402 36158 0 0 149402 36158 2415 1706 0 0 8987 7389 0 0 13808 10674 0 0 2415 1878 0 0 62359 7109 0 0 59418 7402 0 0 2415 0 0 806 979 1057 7847 0 0 2.94197 2.94197 -117.658 -2.94197 0 0 701300. 2426.64 0.33 0.08 0.14 -1 -1 0.33 0.0291432 0.0262845 152 62 62 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.61 vpr 62.37 MiB 0.02 6880 -1 -1 1 0.04 -1 -1 30424 -1 -1 28 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63864 31 32 353 302 1 156 91 17 17 289 -1 unnamed_device 23.6 MiB 0.36 865 62.4 MiB 0.08 0.00 2.46718 -88.0621 -2.46718 2.46718 1.09 0.000570241 0.000524227 0.0254364 0.0233297 32 2026 19 6.65987e+06 354984 554710. 1919.41 0.91 0.0899557 0.0790521 22834 132086 -1 1776 21 1130 1782 129906 29870 0 0 129906 29870 1782 1210 0 0 6692 5644 0 0 10600 8186 0 0 1782 1378 0 0 52912 7064 0 0 56138 6388 0 0 1782 0 0 652 796 736 6350 0 0 2.57925 2.57925 -105.516 -2.57925 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0225562 0.0201035 113 77 0 0 89 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.84 vpr 62.62 MiB 0.02 7064 -1 -1 1 0.03 -1 -1 30364 -1 -1 21 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64124 31 32 391 309 1 194 84 17 17 289 -1 unnamed_device 23.9 MiB 0.27 1065 62.6 MiB 0.15 0.00 2.8911 -99.0566 -2.8911 2.8911 1.05 0.000629334 0.000576658 0.046181 0.0424572 32 2579 22 6.65987e+06 266238 554710. 1919.41 1.12 0.138529 0.123507 22834 132086 -1 2220 22 1702 2852 198615 48371 0 0 198615 48371 2852 2088 0 0 10957 9392 0 0 17481 13430 0 0 2852 2463 0 0 84177 10002 0 0 80296 10996 0 0 2852 0 0 1150 1365 1508 9921 0 0 2.95085 2.95085 -115.196 -2.95085 0 0 701300. 2426.64 0.31 0.09 0.13 -1 -1 0.31 0.0341041 0.0305692 148 59 60 30 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.87 vpr 62.77 MiB 0.03 7184 -1 -1 1 0.04 -1 -1 30472 -1 -1 21 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64276 31 32 455 371 1 193 84 17 17 289 -1 unnamed_device 24.0 MiB 0.35 1117 62.8 MiB 0.11 0.00 3.84744 -114.331 -3.84744 3.84744 1.05 0.000664416 0.000607817 0.0385877 0.0355739 32 2755 22 6.65987e+06 266238 554710. 1919.41 1.06 0.116724 0.103699 22834 132086 -1 2343 20 1385 2334 188352 42268 0 0 188352 42268 2334 1989 0 0 8985 7589 0 0 13889 10826 0 0 2334 2100 0 0 83961 9191 0 0 76849 10573 0 0 2334 0 0 949 992 1075 8012 0 0 3.73431 3.73431 -141.771 -3.73431 0 0 701300. 2426.64 0.32 0.09 0.13 -1 -1 0.32 0.0359042 0.0320311 149 111 0 0 124 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.60 vpr 62.61 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 30368 -1 -1 21 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64116 31 32 413 333 1 188 84 17 17 289 -1 unnamed_device 23.8 MiB 0.53 1038 62.6 MiB 0.10 0.00 3.8015 -109.342 -3.8015 3.8015 0.91 0.000360327 0.000332085 0.0372646 0.0343109 30 2256 21 6.65987e+06 266238 526063. 1820.29 0.81 0.111444 0.0988386 22546 126617 -1 1955 16 941 1538 89031 20554 0 0 89031 20554 1538 1179 0 0 5173 3946 0 0 6424 5285 0 0 1538 1242 0 0 36316 4792 0 0 38042 4110 0 0 1538 0 0 597 501 296 4214 0 0 3.45457 3.45457 -128.027 -3.45457 0 0 666494. 2306.21 0.28 0.06 0.11 -1 -1 0.28 0.0262459 0.0235798 143 86 31 31 89 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.58 vpr 62.25 MiB 0.03 7008 -1 -1 1 0.04 -1 -1 30344 -1 -1 33 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63748 31 32 391 309 1 193 96 17 17 289 -1 unnamed_device 23.9 MiB 0.27 1021 62.3 MiB 0.18 0.00 2.69764 -93.2733 -2.69764 2.69764 0.96 0.000682291 0.000614516 0.0548145 0.0500165 30 2155 20 6.65987e+06 418374 526063. 1820.29 0.90 0.116543 0.103833 22546 126617 -1 1940 19 1394 2398 136180 31868 0 0 136180 31868 2398 1547 0 0 8083 6499 0 0 11201 8917 0 0 2398 1745 0 0 58496 6285 0 0 53604 6875 0 0 2398 0 0 1004 1196 1299 8995 0 0 2.64251 2.64251 -106.029 -2.64251 0 0 666494. 2306.21 0.29 0.08 0.13 -1 -1 0.29 0.0325433 0.0291561 146 58 60 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 5.91 vpr 62.21 MiB 0.03 6960 -1 -1 1 0.04 -1 -1 30664 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63700 32 32 407 319 1 198 99 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1101 62.2 MiB 0.09 0.00 3.07644 -111.551 -3.07644 3.07644 1.02 0.000612165 0.000559665 0.025891 0.023637 26 3050 38 6.65987e+06 443730 477104. 1650.88 2.44 0.135777 0.119812 21682 110474 -1 2599 23 2082 3180 274735 60589 0 0 274735 60589 3180 2517 0 0 12018 10131 0 0 18879 14560 0 0 3180 2652 0 0 118787 15612 0 0 118691 15117 0 0 3180 0 0 1098 1648 2079 12793 0 0 3.84551 3.84551 -147.716 -3.84551 0 0 585099. 2024.56 0.27 0.12 0.11 -1 -1 0.27 0.0385962 0.0345273 154 42 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.92 vpr 62.64 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 30780 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64148 32 32 496 380 1 232 104 17 17 289 -1 unnamed_device 24.5 MiB 0.29 1177 62.6 MiB 0.18 0.00 3.2831 -114.767 -3.2831 3.2831 0.80 0.000757751 0.000688873 0.0570308 0.0521615 28 3115 23 6.65987e+06 507120 500653. 1732.36 1.38 0.183393 0.163565 21970 115934 -1 2655 21 1986 3116 246594 55367 0 0 246594 55367 3116 2215 0 0 11328 9532 0 0 17674 13859 0 0 3116 2410 0 0 107163 13883 0 0 104197 13468 0 0 3116 0 0 1130 1710 2008 12818 0 0 3.67257 3.67257 -142.553 -3.67257 0 0 612192. 2118.31 0.28 0.14 0.11 -1 -1 0.28 0.0485375 0.043628 184 91 62 32 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.00 vpr 62.20 MiB 0.02 6792 -1 -1 1 0.03 -1 -1 30512 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63696 31 32 305 250 1 158 81 17 17 289 -1 unnamed_device 23.4 MiB 0.14 778 62.2 MiB 0.07 0.00 2.83398 -93.9955 -2.83398 2.83398 0.79 0.000283856 0.000261634 0.0234573 0.0216628 32 2107 20 6.65987e+06 228204 554710. 1919.41 0.81 0.0902454 0.0796526 22834 132086 -1 1828 20 1406 2184 183200 42019 0 0 183200 42019 2184 1652 0 0 8321 7102 0 0 14002 10699 0 0 2184 1826 0 0 80067 10471 0 0 76442 10269 0 0 2184 0 0 778 627 871 6544 0 0 2.85071 2.85071 -113.426 -2.85071 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0243925 0.02175 116 24 62 31 31 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 4.12 vpr 62.25 MiB 0.03 7008 -1 -1 1 0.04 -1 -1 30356 -1 -1 36 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63748 31 32 395 311 1 196 99 17 17 289 -1 unnamed_device 23.9 MiB 0.34 983 62.3 MiB 0.09 0.00 3.3069 -109.937 -3.3069 3.3069 0.67 0.000635878 0.000585067 0.0227042 0.0208959 30 2301 21 6.65987e+06 456408 526063. 1820.29 0.86 0.0911198 0.0805492 22546 126617 -1 2040 21 1443 2437 136975 32384 0 0 136975 32384 2437 1680 0 0 8254 6430 0 0 11235 9018 0 0 2437 1792 0 0 55733 6851 0 0 56879 6613 0 0 2437 0 0 994 1508 1621 11132 0 0 3.44017 3.44017 -130.315 -3.44017 0 0 666494. 2306.21 0.29 0.05 0.09 -1 -1 0.29 0.0187737 0.0167666 150 59 62 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 4.99 vpr 62.26 MiB 0.03 6828 -1 -1 1 0.04 -1 -1 30540 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63752 32 32 397 313 1 196 97 17 17 289 -1 unnamed_device 23.8 MiB 0.15 1199 62.3 MiB 0.16 0.00 2.90504 -98.2267 -2.90504 2.90504 0.98 0.000687681 0.000634749 0.0474391 0.0434939 28 2834 24 6.65987e+06 418374 500653. 1732.36 1.49 0.149197 0.133112 21970 115934 -1 2490 19 1475 2688 206880 45994 0 0 206880 45994 2688 1911 0 0 9791 8128 0 0 14801 11506 0 0 2688 2214 0 0 89389 11175 0 0 87523 11060 0 0 2688 0 0 1213 1456 1536 10657 0 0 2.89371 2.89371 -117.207 -2.89371 0 0 612192. 2118.31 0.26 0.09 0.10 -1 -1 0.26 0.0308149 0.0274601 148 54 62 32 62 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.78 vpr 62.35 MiB 0.03 6904 -1 -1 1 0.03 -1 -1 30312 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63844 32 32 345 257 1 194 84 17 17 289 -1 unnamed_device 23.9 MiB 0.16 992 62.3 MiB 0.10 0.00 3.30796 -113.956 -3.30796 3.30796 0.82 0.000536965 0.000492049 0.0316048 0.0291372 28 2812 26 6.65987e+06 253560 500653. 1732.36 1.60 0.127614 0.114094 21970 115934 -1 2341 23 1828 3396 271710 59695 0 0 271710 59695 3396 2647 0 0 12322 10679 0 0 19316 15002 0 0 3396 2747 0 0 121543 13185 0 0 111737 15435 0 0 3396 0 0 1568 1845 2265 13634 0 0 3.86663 3.86663 -143.102 -3.86663 0 0 612192. 2118.31 0.29 0.13 0.10 -1 -1 0.29 0.0419532 0.0379823 150 -1 128 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.48 vpr 62.50 MiB 0.02 7060 -1 -1 1 0.04 -1 -1 30380 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64004 32 32 424 343 1 190 98 17 17 289 -1 unnamed_device 23.7 MiB 0.37 1056 62.5 MiB 0.12 0.00 2.54238 -94.3834 -2.54238 2.54238 1.01 0.000368742 0.00034044 0.0389447 0.0358268 32 2545 22 6.65987e+06 431052 554710. 1919.41 0.97 0.125532 0.111425 22834 132086 -1 2124 23 1560 2232 156619 36783 0 0 156619 36783 2232 1701 0 0 8753 7474 0 0 13955 10965 0 0 2232 1783 0 0 65717 7228 0 0 63730 7632 0 0 2232 0 0 672 992 970 7598 0 0 2.72865 2.72865 -112.58 -2.72865 0 0 701300. 2426.64 0.31 0.09 0.13 -1 -1 0.31 0.0355242 0.0316286 145 81 25 25 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.62 vpr 62.35 MiB 0.02 7064 -1 -1 1 0.03 -1 -1 30240 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63844 32 32 395 311 1 194 99 17 17 289 -1 unnamed_device 24.0 MiB 0.37 1091 62.3 MiB 0.12 0.00 2.7537 -99.7404 -2.7537 2.7537 0.91 0.000593573 0.000542474 0.0356523 0.0327283 32 2591 23 6.65987e+06 443730 554710. 1919.41 1.06 0.125743 0.111209 22834 132086 -1 2237 21 1465 2394 181051 40978 0 0 181051 40978 2394 1670 0 0 9043 7707 0 0 14996 11484 0 0 2394 1793 0 0 78025 9006 0 0 74199 9318 0 0 2394 0 0 929 1397 1593 10487 0 0 2.92977 2.92977 -117.99 -2.92977 0 0 701300. 2426.64 0.31 0.08 0.14 -1 -1 0.31 0.0299507 0.0268736 146 58 64 32 60 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.57 vpr 62.25 MiB 0.03 7040 -1 -1 1 0.05 -1 -1 30408 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63744 32 32 405 318 1 200 101 17 17 289 -1 unnamed_device 23.8 MiB 0.22 1116 62.2 MiB 0.09 0.00 2.63244 -96.5003 -2.63244 2.63244 1.07 0.000370992 0.000342054 0.0260661 0.024022 28 2593 23 6.65987e+06 469086 500653. 1732.36 1.08 0.120258 0.106574 21970 115934 -1 2224 23 1733 2731 187737 43099 0 0 187737 43099 2731 2057 0 0 10140 8390 0 0 14984 11977 0 0 2731 2211 0 0 83427 8350 0 0 73724 10114 0 0 2731 0 0 998 1099 1251 8879 0 0 2.71071 2.71071 -114.252 -2.71071 0 0 612192. 2118.31 0.26 0.07 0.07 -1 -1 0.26 0.0243806 0.0217602 155 61 63 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.56 vpr 62.73 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 30500 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64232 32 32 376 288 1 194 99 17 17 289 -1 unnamed_device 24.0 MiB 0.07 1090 62.7 MiB 0.13 0.00 3.1757 -113.179 -3.1757 3.1757 0.94 0.000518536 0.000476052 0.0410895 0.0375801 28 2722 36 6.65987e+06 443730 500653. 1732.36 1.29 0.155553 0.139085 21970 115934 -1 2338 22 1746 2930 217372 48726 0 0 217372 48726 2930 2184 0 0 10804 9153 0 0 16446 13054 0 0 2930 2339 0 0 97183 9964 0 0 87079 12032 0 0 2930 0 0 1184 1423 1477 10674 0 0 3.77157 3.77157 -144.567 -3.77157 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0318276 0.0284503 150 21 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 5.20 vpr 62.25 MiB 0.03 6948 -1 -1 1 0.04 -1 -1 30608 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63748 32 32 407 319 1 197 101 17 17 289 -1 unnamed_device 23.9 MiB 0.17 904 62.3 MiB 0.16 0.00 3.11564 -107.958 -3.11564 3.11564 1.00 0.000627142 0.000574023 0.0520869 0.0481945 28 2727 24 6.65987e+06 469086 500653. 1732.36 1.59 0.174979 0.15822 21970 115934 -1 2341 20 1964 3089 230968 54707 0 0 230968 54707 3089 2285 0 0 11326 9613 0 0 16921 13524 0 0 3089 2474 0 0 97537 13930 0 0 99006 12881 0 0 3089 0 0 1125 1499 1660 11297 0 0 3.75745 3.75745 -146.057 -3.75745 0 0 612192. 2118.31 0.28 0.10 0.12 -1 -1 0.28 0.0328078 0.029427 153 50 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 7.49 vpr 62.52 MiB 0.02 7156 -1 -1 1 0.04 -1 -1 30460 -1 -1 34 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64016 31 32 449 367 1 193 97 17 17 289 -1 unnamed_device 24.3 MiB 0.43 1015 62.5 MiB 0.11 0.00 3.40198 -101.199 -3.40198 3.40198 1.03 0.000716534 0.000658531 0.0369897 0.0339732 26 3401 31 6.65987e+06 431052 477104. 1650.88 3.74 0.175556 0.156675 21682 110474 -1 2613 20 1569 2662 222598 50889 0 0 222598 50889 2662 2088 0 0 10136 8598 0 0 15130 11953 0 0 2662 2215 0 0 92372 13685 0 0 99636 12350 0 0 2662 0 0 1093 1369 1345 9865 0 0 3.60045 3.60045 -128.614 -3.60045 0 0 585099. 2024.56 0.26 0.10 0.10 -1 -1 0.26 0.0355063 0.0315361 145 110 0 0 122 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 5.24 vpr 62.64 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 30452 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64140 32 32 432 346 1 195 84 17 17 289 -1 unnamed_device 23.8 MiB 0.30 1014 62.6 MiB 0.11 0.00 3.16978 -102.067 -3.16978 3.16978 1.02 0.000674481 0.000622268 0.0388978 0.0357771 26 3181 50 6.65987e+06 253560 477104. 1650.88 1.80 0.175285 0.156086 21682 110474 -1 2567 21 1805 3190 226502 55430 0 0 226502 55430 3190 2445 0 0 11936 10203 0 0 18457 14319 0 0 3190 2555 0 0 95755 13343 0 0 93974 12565 0 0 3190 0 0 1385 1606 1478 10921 0 0 3.59725 3.59725 -134.358 -3.59725 0 0 585099. 2024.56 0.26 0.10 0.11 -1 -1 0.26 0.0369116 0.0330528 149 86 32 32 94 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.47 vpr 62.12 MiB 0.02 6788 -1 -1 1 0.05 -1 -1 30560 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63616 32 32 312 255 1 166 94 17 17 289 -1 unnamed_device 23.6 MiB 0.06 792 62.1 MiB 0.08 0.00 2.64858 -92.0476 -2.64858 2.64858 0.87 0.000537437 0.000493156 0.0257924 0.0236613 32 2435 34 6.65987e+06 380340 554710. 1919.41 1.10 0.10223 0.0901344 22834 132086 -1 1901 21 1393 2137 179709 44468 0 0 179709 44468 2137 1595 0 0 8396 7271 0 0 14143 10882 0 0 2137 1736 0 0 73673 11134 0 0 79223 11850 0 0 2137 0 0 744 1175 857 7579 0 0 2.72745 2.72745 -113.176 -2.72745 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0313224 0.0283248 124 20 63 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.80 vpr 62.43 MiB 0.02 6872 -1 -1 1 0.03 -1 -1 30480 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63924 32 32 370 314 1 164 82 17 17 289 -1 unnamed_device 23.6 MiB 0.29 697 62.4 MiB 0.10 0.00 2.66064 -91.9052 -2.66064 2.66064 1.02 0.000509907 0.000465007 0.0430814 0.0394144 32 2424 30 6.65987e+06 228204 554710. 1919.41 1.13 0.138862 0.12317 22834 132086 -1 1817 21 1427 2210 182973 43768 0 0 182973 43768 2210 1725 0 0 8479 7276 0 0 13763 10575 0 0 2210 1783 0 0 77956 10575 0 0 78355 11834 0 0 2210 0 0 783 862 691 6422 0 0 2.99111 2.99111 -115.197 -2.99111 0 0 701300. 2426.64 0.31 0.11 0.12 -1 -1 0.31 0.0380756 0.0341838 121 91 0 0 94 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.90 vpr 62.64 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 30760 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64140 32 32 469 351 1 233 104 17 17 289 -1 unnamed_device 24.5 MiB 0.16 1291 62.6 MiB 0.13 0.00 3.7011 -128.789 -3.7011 3.7011 1.08 0.000694888 0.000638946 0.039514 0.0363329 30 2842 21 6.65987e+06 507120 526063. 1820.29 1.14 0.154801 0.138441 22546 126617 -1 2348 20 2011 3344 158934 39611 0 0 158934 39611 3344 2245 0 0 11085 9074 0 0 15021 11902 0 0 3344 2384 0 0 62936 7123 0 0 63204 6883 0 0 3344 0 0 1333 1624 1572 12113 0 0 3.90197 3.90197 -150.664 -3.90197 0 0 666494. 2306.21 0.32 0.11 0.13 -1 -1 0.32 0.0444186 0.0392332 187 53 96 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.12 vpr 62.54 MiB 0.02 6884 -1 -1 1 0.04 -1 -1 30372 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64036 32 32 368 284 1 194 95 17 17 289 -1 unnamed_device 23.9 MiB 0.29 1128 62.5 MiB 0.09 0.00 2.9131 -105.213 -2.9131 2.9131 1.03 0.000356268 0.000326835 0.0264089 0.0243485 32 2240 20 6.65987e+06 393018 554710. 1919.41 0.74 0.0733983 0.0650767 22834 132086 -1 2142 20 1544 2337 154460 37108 0 0 154460 37108 2337 1686 0 0 8990 7428 0 0 13521 10575 0 0 2337 1849 0 0 63843 7735 0 0 63432 7835 0 0 2337 0 0 793 991 956 7685 0 0 3.04637 3.04637 -124.728 -3.04637 0 0 701300. 2426.64 0.22 0.08 0.14 -1 -1 0.22 0.0305697 0.0274519 146 31 92 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.49 vpr 62.03 MiB 0.02 6832 -1 -1 1 0.03 -1 -1 30308 -1 -1 30 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63520 30 32 296 244 1 158 92 17 17 289 -1 unnamed_device 23.5 MiB 0.10 716 62.0 MiB 0.09 0.00 2.8079 -91.9139 -2.8079 2.8079 0.84 0.000510443 0.000465048 0.0279083 0.0256511 28 2235 30 6.65987e+06 380340 500653. 1732.36 1.54 0.122078 0.108525 21970 115934 -1 1768 22 1314 2072 149132 37307 0 0 149132 37307 2072 1633 0 0 7580 6342 0 0 11554 9148 0 0 2072 1722 0 0 61777 8969 0 0 64077 9493 0 0 2072 0 0 758 955 1064 7543 0 0 3.34071 3.34071 -120.311 -3.34071 0 0 612192. 2118.31 0.28 0.08 0.12 -1 -1 0.28 0.0287442 0.0257538 115 29 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 5.34 vpr 62.87 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 30832 -1 -1 43 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64376 32 32 531 413 1 232 107 17 17 289 -1 unnamed_device 24.7 MiB 0.65 1090 62.9 MiB 0.16 0.00 3.7711 -126.43 -3.7711 3.7711 1.02 0.000938908 0.000865984 0.0521757 0.0479852 32 3279 25 6.65987e+06 545154 554710. 1919.41 1.35 0.174912 0.155917 22834 132086 -1 2460 24 2583 3784 283987 64376 0 0 283987 64376 3784 2799 0 0 14511 12362 0 0 21720 16923 0 0 3784 2998 0 0 132318 12795 0 0 107870 16499 0 0 3784 0 0 1201 2098 1981 14458 0 0 4.41077 4.41077 -155.706 -4.41077 0 0 701300. 2426.64 0.22 0.09 0.09 -1 -1 0.22 0.0348807 0.0310567 186 109 32 32 128 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.71 vpr 62.14 MiB 0.02 6908 -1 -1 1 0.03 -1 -1 30524 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63636 32 32 376 288 1 194 100 17 17 289 -1 unnamed_device 23.8 MiB 0.28 1075 62.1 MiB 0.12 0.00 3.30796 -116.474 -3.30796 3.30796 0.98 0.000345703 0.000318789 0.0369433 0.0338198 32 2514 22 6.65987e+06 456408 554710. 1919.41 1.10 0.125827 0.11171 22834 132086 -1 2276 21 1771 2574 197412 44464 0 0 197412 44464 2574 1912 0 0 10009 8385 0 0 15281 11786 0 0 2574 2069 0 0 81281 10901 0 0 85693 9411 0 0 2574 0 0 803 1045 1126 8468 0 0 3.70243 3.70243 -141.469 -3.70243 0 0 701300. 2426.64 0.30 0.09 0.12 -1 -1 0.30 0.0315855 0.0281761 151 31 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 4.20 vpr 62.06 MiB 0.02 6780 -1 -1 1 0.03 -1 -1 30220 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63548 32 32 283 225 1 164 95 17 17 289 -1 unnamed_device 23.5 MiB 0.08 892 62.1 MiB 0.15 0.00 2.8299 -101.546 -2.8299 2.8299 1.06 0.000523048 0.000483035 0.0459142 0.0422394 32 2067 20 6.65987e+06 393018 554710. 1919.41 0.76 0.0998303 0.0902921 22834 132086 -1 1888 21 1440 2266 175575 39781 0 0 175575 39781 2266 1626 0 0 8653 7402 0 0 13778 10428 0 0 2266 1820 0 0 73681 9445 0 0 74931 9060 0 0 2266 0 0 826 1248 1171 8529 0 0 2.94197 2.94197 -118.105 -2.94197 0 0 701300. 2426.64 0.21 0.05 0.13 -1 -1 0.21 0.0150061 0.0132929 123 -1 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 5.01 vpr 62.64 MiB 0.02 7052 -1 -1 1 0.04 -1 -1 30800 -1 -1 41 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64144 32 32 438 320 1 235 105 17 17 289 -1 unnamed_device 24.2 MiB 0.19 1465 62.6 MiB 0.17 0.00 3.87216 -135.44 -3.87216 3.87216 1.09 0.000434816 0.000403419 0.0524013 0.048335 32 3366 27 6.65987e+06 519798 554710. 1919.41 1.21 0.167437 0.149532 22834 132086 -1 3102 22 2480 4132 366163 78059 0 0 366163 78059 4132 2999 0 0 15922 13335 0 0 26282 20092 0 0 4132 3244 0 0 160082 19180 0 0 155613 19209 0 0 4132 0 0 1652 3206 3639 21561 0 0 4.78343 4.78343 -172.516 -4.78343 0 0 701300. 2426.64 0.27 0.14 0.13 -1 -1 0.27 0.0407475 0.0365931 188 26 128 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.34 vpr 62.23 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 30452 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63720 32 32 283 225 1 162 80 17 17 289 -1 unnamed_device 23.5 MiB 0.16 872 62.2 MiB 0.11 0.00 2.7537 -99.1188 -2.7537 2.7537 1.12 0.000486077 0.00044671 0.0368755 0.034044 32 2189 23 6.65987e+06 202848 554710. 1919.41 0.81 0.0855869 0.0761548 22834 132086 -1 1948 20 1495 2387 183968 42885 0 0 183968 42885 2387 1827 0 0 9149 8133 0 0 15768 12037 0 0 2387 1951 0 0 77723 9531 0 0 76554 9406 0 0 2387 0 0 892 780 1020 7563 0 0 3.18117 3.18117 -126.62 -3.18117 0 0 701300. 2426.64 0.31 0.08 0.14 -1 -1 0.31 0.0258883 0.0231431 121 -1 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.63 vpr 61.97 MiB 0.02 6804 -1 -1 1 0.03 -1 -1 30108 -1 -1 31 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63456 30 32 296 244 1 157 93 17 17 289 -1 unnamed_device 23.5 MiB 0.25 773 62.0 MiB 0.13 0.00 2.8299 -94.4258 -2.8299 2.8299 1.05 0.00048178 0.000442089 0.0403072 0.0371863 30 1815 19 6.65987e+06 393018 526063. 1820.29 0.96 0.10652 0.0947073 22546 126617 -1 1590 21 960 1418 74268 17795 0 0 74268 17795 1418 1028 0 0 4631 3533 0 0 6146 4808 0 0 1418 1103 0 0 29765 3843 0 0 30890 3480 0 0 1418 0 0 458 492 575 4621 0 0 2.84077 2.84077 -109.086 -2.84077 0 0 666494. 2306.21 0.29 0.05 0.13 -1 -1 0.29 0.0238541 0.0211686 113 29 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 6.19 vpr 62.64 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 30276 -1 -1 33 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64140 29 32 393 319 1 182 94 17 17 289 -1 unnamed_device 24.0 MiB 0.31 1030 62.6 MiB 0.07 0.00 2.7969 -89.882 -2.7969 2.7969 1.07 0.000368068 0.000334114 0.020802 0.019116 26 2881 36 6.65987e+06 418374 477104. 1650.88 2.53 0.137327 0.121875 21682 110474 -1 2352 21 1512 2550 181590 42603 0 0 181590 42603 2550 1959 0 0 9324 7725 0 0 13989 10869 0 0 2550 2243 0 0 75186 10009 0 0 77991 9798 0 0 2550 0 0 1038 1245 1258 9179 0 0 2.93705 2.93705 -112.847 -2.93705 0 0 585099. 2024.56 0.26 0.09 0.11 -1 -1 0.26 0.0316139 0.0283187 133 81 29 29 85 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.50 vpr 62.70 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 30720 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64200 32 32 407 319 1 194 84 17 17 289 -1 unnamed_device 24.0 MiB 0.12 947 62.7 MiB 0.10 0.00 3.2179 -112.354 -3.2179 3.2179 0.96 0.000633835 0.000582681 0.0334258 0.0307624 32 2383 24 6.65987e+06 253560 554710. 1919.41 1.08 0.124883 0.110609 22834 132086 -1 2080 21 1959 2888 214179 50585 0 0 214179 50585 2888 2328 0 0 11276 9612 0 0 18013 14225 0 0 2888 2466 0 0 97435 9990 0 0 81679 11964 0 0 2888 0 0 929 926 979 8206 0 0 3.75457 3.75457 -143.421 -3.75457 0 0 701300. 2426.64 0.32 0.10 0.13 -1 -1 0.32 0.0356983 0.0320271 151 53 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 5.23 vpr 62.36 MiB 0.02 6948 -1 -1 1 0.04 -1 -1 30648 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63856 32 32 407 319 1 195 98 17 17 289 -1 unnamed_device 24.0 MiB 0.47 1042 62.4 MiB 0.18 0.00 3.3721 -116.896 -3.3721 3.3721 1.05 0.000608858 0.000559919 0.0557124 0.0510973 32 2878 25 6.65987e+06 431052 554710. 1919.41 1.20 0.156975 0.140064 22834 132086 -1 2389 22 1997 3368 258173 59510 0 0 258173 59510 3368 2319 0 0 12490 10707 0 0 20682 15392 0 0 3368 2597 0 0 110911 13775 0 0 107354 14720 0 0 3368 0 0 1371 1759 1785 12633 0 0 3.63737 3.63737 -143.203 -3.63737 0 0 701300. 2426.64 0.32 0.10 0.14 -1 -1 0.32 0.0336165 0.030105 152 55 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.14 vpr 62.30 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 30436 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63796 32 32 345 287 1 161 94 17 17 289 -1 unnamed_device 23.5 MiB 0.24 810 62.3 MiB 0.08 0.00 2.74164 -96.8554 -2.74164 2.74164 0.95 0.00031273 0.000287944 0.0272099 0.0251298 30 1987 20 6.65987e+06 380340 526063. 1820.29 0.99 0.102504 0.090827 22546 126617 -1 1607 20 1050 1539 93573 21579 0 0 93573 21579 1539 1134 0 0 5300 4277 0 0 6949 5685 0 0 1539 1197 0 0 44593 3859 0 0 33653 5427 0 0 1539 0 0 489 498 644 4980 0 0 2.93971 2.93971 -110.032 -2.93971 0 0 666494. 2306.21 0.30 0.07 0.13 -1 -1 0.30 0.0261443 0.0231115 120 55 32 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.89 vpr 62.25 MiB 0.02 6944 -1 -1 1 0.03 -1 -1 30456 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63748 31 32 353 302 1 152 80 17 17 289 -1 unnamed_device 23.5 MiB 0.32 826 62.3 MiB 0.13 0.00 2.74778 -88.6721 -2.74778 2.74778 1.14 0.000558333 0.000514547 0.0449142 0.0414792 32 2035 25 6.65987e+06 215526 554710. 1919.41 1.00 0.116737 0.103822 22834 132086 -1 1778 17 989 1781 120552 29286 0 0 120552 29286 1781 1279 0 0 6824 5994 0 0 10454 8240 0 0 1781 1381 0 0 49375 6544 0 0 50337 5848 0 0 1781 0 0 792 805 682 6048 0 0 2.75245 2.75245 -106.544 -2.75245 0 0 701300. 2426.64 0.32 0.06 0.14 -1 -1 0.32 0.0234217 0.0209208 109 82 0 0 89 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.73 vpr 62.60 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 30404 -1 -1 33 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64104 30 32 374 297 1 186 95 17 17 289 -1 unnamed_device 23.9 MiB 0.25 995 62.6 MiB 0.12 0.00 2.61044 -87.9362 -2.61044 2.61044 1.04 0.000371245 0.000341988 0.0381996 0.0351027 32 2470 20 6.65987e+06 418374 554710. 1919.41 1.07 0.128987 0.115512 22834 132086 -1 2118 20 1335 2144 166927 37843 0 0 166927 37843 2144 1555 0 0 8288 7161 0 0 12841 10095 0 0 2144 1673 0 0 70248 8857 0 0 71262 8502 0 0 2144 0 0 809 1212 1361 9004 0 0 3.17931 3.17931 -111.179 -3.17931 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0313322 0.0279364 137 52 60 30 57 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.87 vpr 62.39 MiB 0.02 6936 -1 -1 1 0.04 -1 -1 30332 -1 -1 31 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63888 28 32 332 260 1 180 91 17 17 289 -1 unnamed_device 23.6 MiB 0.10 895 62.4 MiB 0.18 0.00 3.41304 -100.596 -3.41304 3.41304 1.07 0.000546851 0.000503951 0.0438171 0.0403813 28 2173 22 6.65987e+06 393018 500653. 1732.36 1.28 0.13741 0.123686 21970 115934 -1 1977 23 1358 2159 179599 38789 0 0 179599 38789 2159 1693 0 0 7957 6685 0 0 12018 9384 0 0 2159 1819 0 0 81056 9057 0 0 74250 10151 0 0 2159 0 0 801 1265 1356 9071 0 0 3.66651 3.66651 -120.312 -3.66651 0 0 612192. 2118.31 0.26 0.08 0.12 -1 -1 0.26 0.0273487 0.0242093 133 20 84 28 28 28 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.77 vpr 62.21 MiB 0.02 6948 -1 -1 1 0.03 -1 -1 30084 -1 -1 18 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63704 30 32 325 273 1 157 80 17 17 289 -1 unnamed_device 23.5 MiB 0.36 788 62.2 MiB 0.10 0.00 2.8131 -94.711 -2.8131 2.8131 1.05 0.000514167 0.000471761 0.0369233 0.0339769 32 1945 20 6.65987e+06 228204 554710. 1919.41 0.99 0.102452 0.0908273 22834 132086 -1 1789 21 1400 2318 174670 40537 0 0 174670 40537 2318 1932 0 0 8646 7500 0 0 14342 10759 0 0 2318 2050 0 0 75093 9106 0 0 71953 9190 0 0 2318 0 0 918 1056 1020 7622 0 0 2.92797 2.92797 -112.165 -2.92797 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0284548 0.025432 114 58 30 30 60 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.25 vpr 62.31 MiB 0.02 6876 -1 -1 1 0.03 -1 -1 30496 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63808 32 32 361 308 1 158 80 17 17 289 -1 unnamed_device 23.6 MiB 0.29 979 62.3 MiB 0.05 0.00 2.72278 -89.9421 -2.72278 2.72278 1.03 0.00043294 0.000395844 0.0174931 0.016147 26 2342 18 6.65987e+06 202848 477104. 1650.88 0.80 0.08056 0.0710702 21682 110474 -1 2004 20 1112 1794 139668 32222 0 0 139668 32222 1794 1481 0 0 6842 5802 0 0 10826 8599 0 0 1794 1604 0 0 59251 7645 0 0 59161 7091 0 0 1794 0 0 682 663 634 5343 0 0 2.79085 2.79085 -111.844 -2.79085 0 0 585099. 2024.56 0.22 0.07 0.08 -1 -1 0.22 0.0279524 0.0248632 113 88 0 0 91 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 8.58 vpr 62.26 MiB 0.03 6824 -1 -1 1 0.04 -1 -1 30464 -1 -1 35 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63752 31 32 335 251 1 196 98 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1005 62.3 MiB 0.11 0.00 3.33845 -109.761 -3.33845 3.33845 0.98 0.00062313 0.00057531 0.0317186 0.0291781 28 2925 23 6.65987e+06 443730 500653. 1732.36 5.13 0.219412 0.193772 21970 115934 -1 2480 23 1750 2882 232100 52580 0 0 232100 52580 2882 2261 0 0 10504 8616 0 0 16329 12672 0 0 2882 2422 0 0 100071 13425 0 0 99432 13184 0 0 2882 0 0 1132 1247 1384 9979 0 0 3.75363 3.75363 -141.628 -3.75363 0 0 612192. 2118.31 0.27 0.11 0.10 -1 -1 0.27 0.0359991 0.0324074 150 -1 124 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.61 vpr 62.38 MiB 0.02 6828 -1 -1 1 0.03 -1 -1 30556 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63872 32 32 407 319 1 197 98 17 17 289 -1 unnamed_device 24.0 MiB 0.28 1018 62.4 MiB 0.08 0.00 3.1977 -111.144 -3.1977 3.1977 0.96 0.00037691 0.00034827 0.0253861 0.023411 32 2747 24 6.65987e+06 431052 554710. 1919.41 1.02 0.116388 0.103089 22834 132086 -1 2418 18 1814 3033 211742 51012 0 0 211742 51012 3033 2160 0 0 11580 10300 0 0 18432 14186 0 0 3033 2300 0 0 88454 11094 0 0 87210 10972 0 0 3033 0 0 1219 1398 1430 10862 0 0 3.61117 3.61117 -141.102 -3.61117 0 0 701300. 2426.64 0.31 0.07 0.09 -1 -1 0.31 0.0229393 0.020687 153 57 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.92 vpr 62.39 MiB 0.03 6948 -1 -1 1 0.04 -1 -1 30372 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63892 32 32 407 319 1 194 98 17 17 289 -1 unnamed_device 24.0 MiB 0.33 950 62.4 MiB 0.11 0.00 3.40616 -114.322 -3.40616 3.40616 1.04 0.000640279 0.000585536 0.0304411 0.028155 32 2736 24 6.65987e+06 431052 554710. 1919.41 1.18 0.135414 0.120728 22834 132086 -1 2277 24 2018 3236 225385 53389 0 0 225385 53389 3236 2626 0 0 12133 10245 0 0 19189 14481 0 0 3236 2750 0 0 93306 11686 0 0 94285 11601 0 0 3236 0 0 1218 1473 1554 11303 0 0 3.81163 3.81163 -141.86 -3.81163 0 0 701300. 2426.64 0.23 0.07 0.14 -1 -1 0.23 0.0243177 0.02162 151 62 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 5.32 vpr 62.25 MiB 0.03 6948 -1 -1 1 0.04 -1 -1 30432 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63744 32 32 399 315 1 196 101 17 17 289 -1 unnamed_device 23.8 MiB 0.27 1149 62.2 MiB 0.10 0.00 3.02144 -107.034 -3.02144 3.02144 0.96 0.000591243 0.000542664 0.0277986 0.0253549 26 3147 27 6.65987e+06 469086 477104. 1650.88 1.88 0.136653 0.121664 21682 110474 -1 2559 24 1972 3344 265807 59456 0 0 265807 59456 3344 2614 0 0 12349 10482 0 0 19485 14792 0 0 3344 2820 0 0 115464 14391 0 0 111821 14357 0 0 3344 0 0 1372 1733 1584 12185 0 0 3.49711 3.49711 -132.904 -3.49711 0 0 585099. 2024.56 0.25 0.11 0.10 -1 -1 0.25 0.0361406 0.0321956 148 62 60 30 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 3.99 vpr 61.98 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 30336 -1 -1 18 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63464 30 32 296 244 1 156 80 17 17 289 -1 unnamed_device 23.5 MiB 0.22 831 62.0 MiB 0.11 0.00 2.7709 -93.8065 -2.7709 2.7709 0.75 0.000469214 0.000430779 0.038338 0.035265 32 1906 22 6.65987e+06 228204 554710. 1919.41 0.99 0.105698 0.0938252 22834 132086 -1 1821 20 1301 2086 157947 35750 0 0 157947 35750 2086 1680 0 0 7980 6993 0 0 12461 9553 0 0 2086 1769 0 0 73163 6977 0 0 60171 8778 0 0 2086 0 0 785 669 656 6098 0 0 2.91577 2.91577 -112.21 -2.91577 0 0 701300. 2426.64 0.26 0.07 0.14 -1 -1 0.26 0.0238179 0.0211596 112 29 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.83 vpr 62.75 MiB 0.03 7056 -1 -1 1 0.04 -1 -1 30320 -1 -1 22 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64256 30 32 383 303 1 191 84 17 17 289 -1 unnamed_device 24.1 MiB 0.29 995 62.8 MiB 0.12 0.00 3.35636 -111.946 -3.35636 3.35636 1.06 0.000603458 0.000554155 0.0407155 0.0374825 32 2433 23 6.65987e+06 278916 554710. 1919.41 1.09 0.130724 0.116899 22834 132086 -1 2149 22 1908 2879 208451 48264 0 0 208451 48264 2879 2369 0 0 10633 9068 0 0 16903 12958 0 0 2879 2542 0 0 87499 10796 0 0 87658 10531 0 0 2879 0 0 971 948 1112 8426 0 0 3.79783 3.79783 -137.054 -3.79783 0 0 701300. 2426.64 0.31 0.09 0.09 -1 -1 0.31 0.0359234 0.0322255 145 58 60 30 60 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 4.42 vpr 62.46 MiB 0.04 7208 -1 -1 1 0.04 -1 -1 30860 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63956 32 32 469 381 1 198 103 17 17 289 -1 unnamed_device 24.2 MiB 0.34 1112 62.5 MiB 0.08 0.00 3.10658 -111.647 -3.10658 3.10658 0.94 0.000395996 0.000365529 0.0252354 0.023233 30 2479 23 6.65987e+06 494442 526063. 1820.29 1.03 0.128154 0.113557 22546 126617 -1 2150 19 1508 2478 142000 32548 0 0 142000 32548 2478 1667 0 0 8402 6915 0 0 11065 9008 0 0 2478 1786 0 0 65267 5574 0 0 52310 7598 0 0 2478 0 0 970 1492 1574 11208 0 0 3.15325 3.15325 -126.393 -3.15325 0 0 666494. 2306.21 0.27 0.07 0.11 -1 -1 0.27 0.0251703 0.0224407 154 106 0 0 128 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.91 vpr 62.21 MiB 0.03 7076 -1 -1 1 0.03 -1 -1 30324 -1 -1 31 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63700 31 32 425 341 1 189 94 17 17 289 -1 unnamed_device 23.8 MiB 0.23 1105 62.2 MiB 0.13 0.00 3.11964 -108.017 -3.11964 3.11964 1.09 0.000722386 0.000668629 0.0414725 0.0382045 32 2452 21 6.65987e+06 393018 554710. 1919.41 1.04 0.128575 0.114018 22834 132086 -1 2254 22 1757 2722 192378 45052 0 0 192378 45052 2722 2037 0 0 10423 8962 0 0 16568 12692 0 0 2722 2149 0 0 83179 9078 0 0 76764 10134 0 0 2722 0 0 965 1141 1245 8973 0 0 3.88571 3.88571 -141.262 -3.88571 0 0 701300. 2426.64 0.33 0.10 0.14 -1 -1 0.33 0.0359653 0.0321664 146 79 31 31 93 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.50 vpr 62.59 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 30464 -1 -1 30 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64096 30 32 404 328 1 182 92 17 17 289 -1 unnamed_device 24.0 MiB 0.25 1059 62.6 MiB 0.15 0.00 3.0353 -92.6195 -3.0353 3.0353 0.90 0.000629331 0.000578667 0.0523921 0.0482087 28 2526 21 6.65987e+06 380340 500653. 1732.36 1.06 0.140468 0.125226 21970 115934 -1 2172 21 1463 2526 172495 39873 0 0 172495 39873 2526 1875 0 0 9101 7622 0 0 13651 10690 0 0 2526 2114 0 0 73650 8439 0 0 71041 9133 0 0 2526 0 0 1063 1311 1223 9201 0 0 3.07811 3.07811 -116.63 -3.07811 0 0 612192. 2118.31 0.29 0.13 0.12 -1 -1 0.29 0.0416363 0.038125 136 83 26 26 90 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.70 vpr 62.18 MiB 0.02 6980 -1 -1 1 0.04 -1 -1 30532 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63668 32 32 407 319 1 198 85 17 17 289 -1 unnamed_device 23.8 MiB 0.23 1048 62.2 MiB 0.14 0.00 3.2069 -112.905 -3.2069 3.2069 1.01 0.000537337 0.000489938 0.0480298 0.0440126 32 2534 22 6.65987e+06 266238 554710. 1919.41 1.09 0.1548 0.138421 22834 132086 -1 2387 18 1786 3092 240810 54762 0 0 240810 54762 3092 2376 0 0 12087 10593 0 0 18631 14606 0 0 3092 2519 0 0 108426 11053 0 0 95482 13615 0 0 3092 0 0 1306 1389 1316 10189 0 0 3.60317 3.60317 -143.871 -3.60317 0 0 701300. 2426.64 0.31 0.09 0.12 -1 -1 0.31 0.025981 0.023487 154 58 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.12 vpr 62.54 MiB 0.03 7072 -1 -1 1 0.04 -1 -1 30320 -1 -1 34 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64044 29 32 387 316 1 179 95 17 17 289 -1 unnamed_device 23.9 MiB 0.20 885 62.5 MiB 0.10 0.00 2.58364 -81.6689 -2.58364 2.58364 0.99 0.000619668 0.00057153 0.0307435 0.0282125 30 1758 23 6.65987e+06 431052 526063. 1820.29 0.83 0.0907026 0.080376 22546 126617 -1 1510 22 1009 1655 82504 20265 0 0 82504 20265 1655 1127 0 0 5563 4469 0 0 7532 5996 0 0 1655 1206 0 0 35385 3388 0 0 30714 4079 0 0 1655 0 0 646 626 611 5236 0 0 2.63331 2.63331 -95.5333 -2.63331 0 0 666494. 2306.21 0.31 0.06 0.09 -1 -1 0.31 0.0307288 0.0273638 134 81 26 26 85 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.43 vpr 61.91 MiB 0.02 6720 -1 -1 1 0.03 -1 -1 30312 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63396 32 32 283 225 1 157 80 17 17 289 -1 unnamed_device 23.4 MiB 0.09 871 61.9 MiB 0.10 0.00 2.7819 -99.8269 -2.7819 2.7819 1.01 0.000400131 0.000367013 0.0374026 0.034363 32 2153 21 6.65987e+06 202848 554710. 1919.41 0.99 0.0997261 0.0887434 22834 132086 -1 1892 23 1464 2292 184658 43290 0 0 184658 43290 2292 2005 0 0 8985 8065 0 0 14597 11383 0 0 2292 2045 0 0 78075 10086 0 0 78417 9706 0 0 2292 0 0 828 909 921 6790 0 0 3.02797 3.02797 -122.27 -3.02797 0 0 701300. 2426.64 0.32 0.09 0.14 -1 -1 0.32 0.0296508 0.0265186 116 -1 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.73 vpr 62.25 MiB 0.02 6956 -1 -1 1 0.04 -1 -1 30556 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63744 32 32 407 319 1 194 97 17 17 289 -1 unnamed_device 23.9 MiB 0.38 947 62.2 MiB 0.18 0.00 3.34716 -114.17 -3.34716 3.34716 1.03 0.000644403 0.000591762 0.0615945 0.0565608 32 2406 24 6.65987e+06 418374 554710. 1919.41 0.82 0.120975 0.10834 22834 132086 -1 2108 21 1868 2778 238428 53007 0 0 238428 53007 2778 2370 0 0 10590 9108 0 0 17050 13171 0 0 2778 2474 0 0 109991 11775 0 0 95241 14109 0 0 2778 0 0 910 1031 904 8167 0 0 3.60423 3.60423 -133.91 -3.60423 0 0 701300. 2426.64 0.32 0.12 0.13 -1 -1 0.32 0.035544 0.0319773 150 62 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.91 vpr 62.51 MiB 0.02 7068 -1 -1 1 0.03 -1 -1 30440 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64012 32 32 407 319 1 201 85 17 17 289 -1 unnamed_device 24.2 MiB 0.29 960 62.5 MiB 0.16 0.00 3.37836 -118.46 -3.37836 3.37836 1.01 0.000614094 0.000565244 0.0587978 0.0540046 32 2502 25 6.65987e+06 266238 554710. 1919.41 1.12 0.158893 0.141951 22834 132086 -1 2182 21 2137 3210 240627 56155 0 0 240627 56155 3210 2548 0 0 12164 10397 0 0 19758 15023 0 0 3210 2641 0 0 107858 12048 0 0 94427 13498 0 0 3210 0 0 1073 987 1032 9036 0 0 3.69363 3.69363 -145.795 -3.69363 0 0 701300. 2426.64 0.33 0.07 0.13 -1 -1 0.33 0.0230044 0.0206432 157 62 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.47 vpr 62.21 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 30352 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63704 32 32 315 267 1 158 93 17 17 289 -1 unnamed_device 23.5 MiB 0.24 683 62.2 MiB 0.08 0.00 2.72758 -83.2963 -2.72758 2.72758 1.04 0.000510886 0.000470771 0.0239638 0.0220194 32 2044 23 6.65987e+06 367662 554710. 1919.41 1.01 0.095302 0.0840596 22834 132086 -1 1641 22 1103 1617 119120 29714 0 0 119120 29714 1617 1272 0 0 6158 5257 0 0 9170 7181 0 0 1617 1352 0 0 50216 7666 0 0 50342 6986 0 0 1617 0 0 514 511 642 5132 0 0 2.76345 2.76345 -103.196 -2.76345 0 0 701300. 2426.64 0.31 0.07 0.14 -1 -1 0.31 0.0260706 0.0229707 111 47 32 32 54 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.38 vpr 61.91 MiB 0.02 6800 -1 -1 1 0.04 -1 -1 30424 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63392 31 32 275 220 1 160 81 17 17 289 -1 unnamed_device 23.4 MiB 0.12 696 61.9 MiB 0.07 0.00 2.8519 -93.2757 -2.8519 2.8519 0.88 0.000486124 0.000446851 0.0229982 0.0211878 32 1949 29 6.65987e+06 228204 554710. 1919.41 1.08 0.0980433 0.08647 22834 132086 -1 1689 21 1529 2455 178837 42403 0 0 178837 42403 2455 1953 0 0 9236 7868 0 0 15130 11513 0 0 2455 2098 0 0 77071 9215 0 0 72490 9756 0 0 2455 0 0 926 1250 1152 8147 0 0 2.83671 2.83671 -110.102 -2.83671 0 0 701300. 2426.64 0.31 0.08 0.14 -1 -1 0.31 0.0245475 0.0218232 118 -1 93 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.65 vpr 62.46 MiB 0.03 7028 -1 -1 1 0.04 -1 -1 30448 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63964 32 32 381 303 1 188 96 17 17 289 -1 unnamed_device 23.8 MiB 0.37 990 62.5 MiB 0.10 0.00 3.1709 -105.388 -3.1709 3.1709 0.98 0.000656326 0.000600752 0.0307109 0.028133 26 2590 24 6.65987e+06 405696 477104. 1650.88 1.22 0.131364 0.116947 21682 110474 -1 2301 20 1481 2182 162694 37707 0 0 162694 37707 2182 1764 0 0 8159 6772 0 0 12307 9751 0 0 2182 1866 0 0 67926 9199 0 0 69938 8355 0 0 2182 0 0 701 847 842 6854 0 0 3.74851 3.74851 -135.822 -3.74851 0 0 585099. 2024.56 0.24 0.05 0.10 -1 -1 0.24 0.0173019 0.0154316 138 56 60 32 58 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 5.36 vpr 62.13 MiB 0.03 7016 -1 -1 1 0.04 -1 -1 30292 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63620 32 32 406 330 1 190 94 17 17 289 -1 unnamed_device 23.8 MiB 0.31 1047 62.1 MiB 0.11 0.00 3.36904 -105.145 -3.36904 3.36904 1.03 0.000504649 0.000464786 0.0368482 0.0338906 26 2932 26 6.65987e+06 380340 477104. 1650.88 1.81 0.137906 0.122907 21682 110474 -1 2398 23 1619 2663 220124 50246 0 0 220124 50246 2663 2150 0 0 10272 8459 0 0 15962 12665 0 0 2663 2259 0 0 100017 11504 0 0 88547 13209 0 0 2663 0 0 1044 1236 1198 9079 0 0 3.70851 3.70851 -132.432 -3.70851 0 0 585099. 2024.56 0.20 0.06 0.09 -1 -1 0.20 0.0203061 0.0180392 134 81 28 28 88 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.87 vpr 62.39 MiB 0.02 6996 -1 -1 1 0.04 -1 -1 30624 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63892 32 32 399 285 1 228 99 17 17 289 -1 unnamed_device 24.1 MiB 0.08 1314 62.4 MiB 0.20 0.00 3.73916 -126.758 -3.73916 3.73916 1.04 0.000586902 0.000535824 0.0617445 0.0568219 32 3218 44 6.65987e+06 443730 554710. 1919.41 1.24 0.162837 0.145756 22834 132086 -1 2752 23 2357 3873 303763 67931 0 0 303763 67931 3873 2988 0 0 14656 12570 0 0 23885 18027 0 0 3873 3201 0 0 129081 15745 0 0 128395 15400 0 0 3873 0 0 1516 1968 1999 13880 0 0 4.40417 4.40417 -161.114 -4.40417 0 0 701300. 2426.64 0.32 0.13 0.13 -1 -1 0.32 0.0375768 0.0339262 177 -1 156 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.40 vpr 62.33 MiB 0.02 7092 -1 -1 1 0.04 -1 -1 30516 -1 -1 32 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63828 30 32 371 295 1 184 94 17 17 289 -1 unnamed_device 23.8 MiB 0.29 1010 62.3 MiB 0.08 0.00 2.86284 -90.969 -2.86284 2.86284 0.97 0.000352057 0.00032385 0.0259566 0.0238748 32 2312 22 6.65987e+06 405696 554710. 1919.41 0.87 0.100243 0.0889535 22834 132086 -1 2072 20 1470 2211 163448 37231 0 0 163448 37231 2211 1660 0 0 8428 7219 0 0 13592 10506 0 0 2211 1840 0 0 73474 7123 0 0 63532 8883 0 0 2211 0 0 741 845 890 6873 0 0 2.96691 2.96691 -113.656 -2.96691 0 0 701300. 2426.64 0.32 0.08 0.14 -1 -1 0.32 0.0317939 0.0285717 136 47 60 30 56 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.09 vpr 61.89 MiB 0.02 6792 -1 -1 1 0.03 -1 -1 30588 -1 -1 20 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63380 27 32 269 226 1 143 79 17 17 289 -1 unnamed_device 23.3 MiB 0.12 669 61.9 MiB 0.09 0.00 2.6767 -79.5326 -2.6767 2.6767 0.71 0.000452127 0.000416102 0.032795 0.030192 28 1631 23 6.65987e+06 253560 500653. 1732.36 1.03 0.102555 0.0909832 21970 115934 -1 1464 19 1115 1607 129467 30282 0 0 129467 30282 1607 1356 0 0 5837 4949 0 0 8669 6905 0 0 1607 1433 0 0 58148 7746 0 0 53599 7893 0 0 1607 0 0 492 349 555 4247 0 0 2.86077 2.86077 -98.3523 -2.86077 0 0 612192. 2118.31 0.28 0.06 0.12 -1 -1 0.28 0.0206805 0.0184024 107 26 54 27 27 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 5.36 vpr 62.81 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 30664 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64320 32 32 493 378 1 232 104 17 17 289 -1 unnamed_device 24.6 MiB 0.22 1371 62.8 MiB 0.14 0.00 3.43804 -114.932 -3.43804 3.43804 1.00 0.000723097 0.000666351 0.0422852 0.0390026 28 3753 27 6.65987e+06 507120 500653. 1732.36 1.77 0.1638 0.146069 21970 115934 -1 3112 22 2371 4168 332294 73326 0 0 332294 73326 4168 3257 0 0 15047 12649 0 0 22579 17794 0 0 4168 3442 0 0 144164 18365 0 0 142168 17819 0 0 4168 0 0 1797 2209 2157 15501 0 0 3.52391 3.52391 -139.509 -3.52391 0 0 612192. 2118.31 0.28 0.13 0.12 -1 -1 0.28 0.0420924 0.0377572 184 85 62 31 95 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.80 vpr 62.84 MiB 0.03 7148 -1 -1 1 0.04 -1 -1 30512 -1 -1 21 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64344 31 32 455 371 1 189 84 17 17 289 -1 unnamed_device 24.0 MiB 0.39 987 62.8 MiB 0.08 0.00 3.51179 -109.645 -3.51179 3.51179 1.03 0.000615391 0.000566059 0.0294441 0.0270792 30 2359 21 6.65987e+06 266238 526063. 1820.29 1.04 0.132802 0.117733 22546 126617 -1 1874 22 1178 1929 110043 26246 0 0 110043 26246 1929 1557 0 0 6556 5247 0 0 8438 6881 0 0 1929 1641 0 0 46064 5513 0 0 45127 5407 0 0 1929 0 0 751 982 621 6429 0 0 3.45311 3.45311 -130.266 -3.45311 0 0 666494. 2306.21 0.31 0.08 0.13 -1 -1 0.31 0.0365065 0.0325013 144 105 0 0 124 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.58 vpr 62.38 MiB 0.02 6860 -1 -1 1 0.03 -1 -1 30364 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63872 32 32 355 304 1 151 80 17 17 289 -1 unnamed_device 23.6 MiB 0.32 738 62.4 MiB 0.09 0.00 2.71178 -87.7572 -2.71178 2.71178 1.02 0.000546991 0.000502792 0.0327932 0.0301865 32 2117 24 6.65987e+06 202848 554710. 1919.41 0.97 0.108428 0.0959432 22834 132086 -1 1725 20 1083 1677 132028 30818 0 0 132028 30818 1677 1431 0 0 6529 5525 0 0 10005 7822 0 0 1677 1602 0 0 55262 7207 0 0 56878 7231 0 0 1677 0 0 594 622 434 4595 0 0 2.74151 2.74151 -109.469 -2.74151 0 0 701300. 2426.64 0.30 0.07 0.14 -1 -1 0.30 0.0298705 0.0265982 109 86 0 0 89 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.53 vpr 62.52 MiB 0.03 6908 -1 -1 1 0.04 -1 -1 30360 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64020 32 32 364 282 1 196 96 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1103 62.5 MiB 0.13 0.00 3.4743 -112.833 -3.4743 3.4743 0.94 0.000569985 0.000524345 0.0428301 0.0394155 28 2789 23 6.65987e+06 405696 500653. 1732.36 1.09 0.136631 0.122138 21970 115934 -1 2470 22 1634 2466 197994 44412 0 0 197994 44412 2466 2046 0 0 9083 7534 0 0 13396 10785 0 0 2466 2144 0 0 86418 11160 0 0 84165 10743 0 0 2466 0 0 832 940 903 7311 0 0 3.85377 3.85377 -144.186 -3.85377 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.0336259 0.0301591 146 31 90 30 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 5.34 vpr 62.55 MiB 0.03 7100 -1 -1 1 0.04 -1 -1 30792 -1 -1 36 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64048 31 32 443 336 1 220 99 17 17 289 -1 unnamed_device 24.2 MiB 0.20 1148 62.5 MiB 0.22 0.00 3.56744 -112.237 -3.56744 3.56744 1.07 0.000781068 0.000724454 0.0697755 0.0646668 28 3236 27 6.65987e+06 456408 500653. 1732.36 1.69 0.21391 0.192586 21970 115934 -1 2452 20 1878 2798 204585 47231 0 0 204585 47231 2798 2075 0 0 10488 8560 0 0 15235 12449 0 0 2798 2246 0 0 88172 10979 0 0 85094 10922 0 0 2798 0 0 920 1289 1631 10242 0 0 3.51511 3.51511 -134.164 -3.51511 0 0 612192. 2118.31 0.28 0.10 0.11 -1 -1 0.28 0.035312 0.0315547 171 50 87 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 5.18 vpr 62.59 MiB 0.03 6880 -1 -1 1 0.04 -1 -1 30336 -1 -1 33 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64092 30 32 373 297 1 186 95 17 17 289 -1 unnamed_device 24.0 MiB 0.15 1138 62.6 MiB 0.11 0.00 2.89104 -90.2693 -2.89104 2.89104 1.02 0.00035649 0.000317695 0.0334904 0.0308693 26 2930 48 6.65987e+06 418374 477104. 1650.88 1.90 0.136836 0.121801 21682 110474 -1 2512 20 1490 2600 213374 46919 0 0 213374 46919 2600 1950 0 0 9661 8158 0 0 14598 11400 0 0 2600 2260 0 0 91343 11959 0 0 92572 11192 0 0 2600 0 0 1110 1360 1336 9744 0 0 2.97611 2.97611 -113.832 -2.97611 0 0 585099. 2024.56 0.26 0.09 0.11 -1 -1 0.26 0.0267208 0.0239831 134 50 58 30 58 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 5.65 vpr 62.34 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 30588 -1 -1 42 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63836 32 32 407 319 1 201 106 17 17 289 -1 unnamed_device 24.2 MiB 0.29 1051 62.3 MiB 0.17 0.00 3.3571 -116.707 -3.3571 3.3571 1.05 0.000672648 0.000619472 0.0513888 0.0472429 28 2976 28 6.65987e+06 532476 500653. 1732.36 1.98 0.159401 0.142574 21970 115934 -1 2454 18 1656 2709 222267 49421 0 0 222267 49421 2709 2050 0 0 10191 8610 0 0 14873 12108 0 0 2709 2205 0 0 99162 11747 0 0 92623 12701 0 0 2709 0 0 1053 1418 1233 9707 0 0 3.79557 3.79557 -141.468 -3.79557 0 0 612192. 2118.31 0.23 0.06 0.11 -1 -1 0.23 0.0177915 0.01598 157 61 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 4.64 vpr 62.39 MiB 0.02 6948 -1 -1 1 0.04 -1 -1 30468 -1 -1 38 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63884 32 32 405 318 1 200 102 17 17 289 -1 unnamed_device 23.9 MiB 0.29 1026 62.4 MiB 0.15 0.00 2.74164 -96.7116 -2.74164 2.74164 1.06 0.000636265 0.000585394 0.0499629 0.0459486 32 2414 24 6.65987e+06 481764 554710. 1919.41 0.86 0.118291 0.105231 22834 132086 -1 2067 22 1575 2379 158863 37630 0 0 158863 37630 2379 1690 0 0 9075 7611 0 0 14711 11430 0 0 2379 1864 0 0 64932 7663 0 0 65387 7372 0 0 2379 0 0 804 871 853 7117 0 0 2.87271 2.87271 -114.082 -2.87271 0 0 701300. 2426.64 0.31 0.08 0.14 -1 -1 0.31 0.032119 0.0285805 155 61 63 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 3.87 vpr 61.84 MiB 0.02 6816 -1 -1 1 0.03 -1 -1 30416 -1 -1 16 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63324 29 32 287 238 1 134 77 17 17 289 -1 unnamed_device 23.4 MiB 0.16 644 61.8 MiB 0.06 0.00 3.0383 -87.5279 -3.0383 3.0383 0.69 0.000286041 0.000263542 0.0240383 0.0221809 32 1548 19 6.65987e+06 202848 554710. 1919.41 0.91 0.0870699 0.0766964 22834 132086 -1 1419 23 1018 1425 116711 27696 0 0 116711 27696 1425 1125 0 0 5547 4817 0 0 9085 7234 0 0 1425 1213 0 0 51397 6511 0 0 47832 6796 0 0 1425 0 0 407 442 397 3485 0 0 3.12417 3.12417 -104.739 -3.12417 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0275619 0.0244521 93 28 58 29 29 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.60 vpr 62.13 MiB 0.02 6864 -1 -1 1 0.03 -1 -1 30244 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63620 32 32 334 290 1 154 81 17 17 289 -1 unnamed_device 23.5 MiB 0.26 924 62.1 MiB 0.10 0.00 2.97218 -88.7987 -2.97218 2.97218 1.05 0.000515282 0.00047399 0.035635 0.0327352 26 2036 20 6.65987e+06 215526 477104. 1650.88 1.02 0.115468 0.103078 21682 110474 -1 1860 16 965 1358 111958 25774 0 0 111958 25774 1358 1138 0 0 5324 4523 0 0 8158 6640 0 0 1358 1207 0 0 47872 6358 0 0 47888 5908 0 0 1358 0 0 393 415 379 3441 0 0 3.01711 3.01711 -109.932 -3.01711 0 0 585099. 2024.56 0.27 0.06 0.11 -1 -1 0.27 0.0237763 0.0213439 111 79 0 0 82 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 4.30 vpr 62.36 MiB 0.03 7048 -1 -1 1 0.04 -1 -1 30548 -1 -1 37 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63860 31 32 365 281 1 196 100 17 17 289 -1 unnamed_device 23.9 MiB 0.14 925 62.4 MiB 0.11 0.00 3.64344 -108.049 -3.64344 3.64344 0.80 0.000653016 0.000605689 0.0304343 0.0279985 30 2201 20 6.65987e+06 469086 526063. 1820.29 1.17 0.119821 0.106744 22546 126617 -1 1834 21 1602 2727 144672 35319 0 0 144672 35319 2727 1826 0 0 9250 7663 0 0 12983 10330 0 0 2727 2034 0 0 60663 6458 0 0 56322 7008 0 0 2727 0 0 1125 1441 1427 10616 0 0 3.39585 3.39585 -126.052 -3.39585 0 0 666494. 2306.21 0.22 0.05 0.10 -1 -1 0.22 0.0200229 0.0178133 150 29 93 31 31 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.79 vpr 62.38 MiB 0.02 6856 -1 -1 1 0.03 -1 -1 30376 -1 -1 31 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63872 29 32 297 254 1 150 92 17 17 289 -1 unnamed_device 23.6 MiB 0.34 665 62.4 MiB 0.08 0.00 2.86104 -76.4711 -2.86104 2.86104 1.06 0.000471291 0.000432587 0.0248728 0.0228553 26 1918 20 6.65987e+06 393018 477104. 1650.88 1.14 0.100421 0.0893339 21682 110474 -1 1631 22 1043 1670 118540 27582 0 0 118540 27582 1670 1257 0 0 6076 4821 0 0 9157 7067 0 0 1670 1374 0 0 49425 6707 0 0 50542 6356 0 0 1670 0 0 627 754 849 6092 0 0 2.86585 2.86585 -95.232 -2.86585 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0263796 0.0233929 108 48 29 29 52 26 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.51 vpr 62.23 MiB 0.02 6824 -1 -1 1 0.03 -1 -1 30308 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63728 32 32 314 256 1 160 80 17 17 289 -1 unnamed_device 23.5 MiB 0.21 890 62.2 MiB 0.12 0.00 2.7929 -101.474 -2.7929 2.7929 1.01 0.000504042 0.000461387 0.0421122 0.038522 32 2146 19 6.65987e+06 202848 554710. 1919.41 1.01 0.111211 0.0987425 22834 132086 -1 1933 19 1443 2370 167292 38752 0 0 167292 38752 2370 1674 0 0 8736 7658 0 0 14305 10871 0 0 2370 1851 0 0 68605 8243 0 0 70906 8455 0 0 2370 0 0 927 1058 1080 7687 0 0 2.95677 2.95677 -123.765 -2.95677 0 0 701300. 2426.64 0.28 0.05 0.11 -1 -1 0.28 0.0170121 0.0151877 119 31 64 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.62 vpr 62.61 MiB 0.03 7016 -1 -1 1 0.04 -1 -1 30528 -1 -1 36 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64112 31 32 387 307 1 189 99 17 17 289 -1 unnamed_device 23.8 MiB 0.27 881 62.6 MiB 0.10 0.00 2.8501 -95.7848 -2.8501 2.8501 0.96 0.000610834 0.000544474 0.0308089 0.0279057 28 2300 23 6.65987e+06 456408 500653. 1732.36 1.03 0.128488 0.113905 21970 115934 -1 1887 16 1412 2018 125965 31492 0 0 125965 31492 2018 1503 0 0 7407 6017 0 0 10475 8461 0 0 2018 1623 0 0 50056 7092 0 0 53991 6796 0 0 2018 0 0 606 763 733 6006 0 0 3.06897 3.06897 -119.152 -3.06897 0 0 612192. 2118.31 0.29 0.07 0.12 -1 -1 0.29 0.0281058 0.0254425 142 60 58 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.62 vpr 62.20 MiB 0.03 6788 -1 -1 1 0.04 -1 -1 30356 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63692 31 32 308 262 1 148 79 17 17 289 -1 unnamed_device 23.5 MiB 0.36 864 62.2 MiB 0.10 0.00 2.49487 -81.7494 -2.49487 2.49487 1.07 0.000487519 0.000448794 0.0367036 0.0338411 32 1945 21 6.65987e+06 202848 554710. 1919.41 1.01 0.106125 0.0941848 22834 132086 -1 1747 22 987 1684 126002 29142 0 0 126002 29142 1684 1239 0 0 6497 5679 0 0 10372 8039 0 0 1684 1416 0 0 53062 6499 0 0 52703 6270 0 0 1684 0 0 697 770 598 5399 0 0 2.69745 2.69745 -101.81 -2.69745 0 0 701300. 2426.64 0.28 0.06 0.11 -1 -1 0.28 0.0244217 0.0216558 105 49 31 31 53 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 5.72 vpr 62.64 MiB 0.02 6884 -1 -1 1 0.04 -1 -1 30516 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64140 32 32 383 307 1 184 96 17 17 289 -1 unnamed_device 24.0 MiB 0.28 931 62.6 MiB 0.11 0.00 2.6767 -89.5926 -2.6767 2.6767 1.07 0.000631423 0.000583459 0.0353527 0.0324974 26 2681 37 6.65987e+06 405696 477104. 1650.88 2.18 0.143863 0.127559 21682 110474 -1 2152 22 1376 2381 227441 51625 0 0 227441 51625 2381 1663 0 0 9009 7560 0 0 13980 10955 0 0 2381 1804 0 0 104162 14175 0 0 95528 15468 0 0 2381 0 0 1005 1592 1728 11100 0 0 2.93511 2.93511 -113.498 -2.93511 0 0 585099. 2024.56 0.18 0.06 0.07 -1 -1 0.18 0.0186473 0.0165585 136 56 52 26 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 5.36 vpr 62.30 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 30504 -1 -1 36 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63792 31 32 422 339 1 195 99 17 17 289 -1 unnamed_device 23.9 MiB 0.74 1052 62.3 MiB 0.13 0.00 3.0413 -101.606 -3.0413 3.0413 1.06 0.00062597 0.000572407 0.0427837 0.0390705 28 2191 21 6.65987e+06 456408 500653. 1732.36 1.15 0.143578 0.128211 21970 115934 -1 2034 19 1379 2029 137088 32321 0 0 137088 32321 2029 1511 0 0 7720 6394 0 0 11148 9054 0 0 2029 1638 0 0 59731 6498 0 0 54431 7226 0 0 2029 0 0 650 791 801 6201 0 0 2.95111 2.95111 -116.589 -2.95111 0 0 612192. 2118.31 0.28 0.08 0.12 -1 -1 0.28 0.0320569 0.0286315 148 88 31 31 92 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.78 vpr 62.23 MiB 0.04 6824 -1 -1 1 0.03 -1 -1 30352 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63728 32 32 333 279 1 160 82 17 17 289 -1 unnamed_device 23.5 MiB 0.20 716 62.2 MiB 0.09 0.00 2.31427 -80.8954 -2.31427 2.31427 1.09 0.000596909 0.000549761 0.0317003 0.0291462 32 2207 26 6.65987e+06 228204 554710. 1919.41 1.06 0.112342 0.0994626 22834 132086 -1 1828 21 1292 2011 147834 36075 0 0 147834 36075 2011 1600 0 0 7727 6717 0 0 12034 9527 0 0 2011 1706 0 0 63007 8241 0 0 61044 8284 0 0 2011 0 0 719 695 688 5679 0 0 2.72765 2.72765 -105.792 -2.72765 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0244478 0.0216801 115 54 32 32 60 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.46 vpr 62.28 MiB 0.07 6852 -1 -1 1 0.03 -1 -1 30288 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63772 32 32 339 283 1 164 82 17 17 289 -1 unnamed_device 23.5 MiB 0.33 824 62.3 MiB 0.09 0.00 2.66064 -94.0688 -2.66064 2.66064 1.07 0.000573552 0.000528452 0.0310587 0.0285629 32 2240 24 6.65987e+06 228204 554710. 1919.41 0.67 0.0766516 0.0678614 22834 132086 -1 1984 20 1354 2179 166570 38914 0 0 166570 38914 2179 1719 0 0 8150 6930 0 0 12964 9812 0 0 2179 1831 0 0 70494 9041 0 0 70604 9581 0 0 2179 0 0 825 749 725 6464 0 0 2.86791 2.86791 -117.366 -2.86791 0 0 701300. 2426.64 0.31 0.08 0.12 -1 -1 0.31 0.0264535 0.0234881 121 60 32 32 62 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.75 vpr 62.36 MiB 0.02 6952 -1 -1 1 0.04 -1 -1 30612 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63860 32 32 407 319 1 198 100 17 17 289 -1 unnamed_device 24.0 MiB 0.17 1040 62.4 MiB 0.11 0.00 3.13064 -107.966 -3.13064 3.13064 1.02 0.000624874 0.000575302 0.0327071 0.0299979 28 2510 20 6.65987e+06 456408 500653. 1732.36 1.17 0.12983 0.11584 21970 115934 -1 2218 23 1710 2787 195731 44026 0 0 195731 44026 2787 1889 0 0 10225 8315 0 0 15373 12180 0 0 2787 2120 0 0 86120 9234 0 0 78439 10288 0 0 2787 0 0 1077 1449 1457 10636 0 0 3.59411 3.59411 -137.873 -3.59411 0 0 612192. 2118.31 0.26 0.10 0.10 -1 -1 0.26 0.0342826 0.0304403 154 49 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.16 vpr 62.62 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 30556 -1 -1 32 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64120 29 32 367 293 1 183 93 17 17 289 -1 unnamed_device 23.9 MiB 0.27 960 62.6 MiB 0.11 0.00 2.88233 -84.6905 -2.88233 2.88233 0.98 0.000349414 0.000322123 0.035318 0.0325641 28 2141 22 6.65987e+06 405696 500653. 1732.36 0.66 0.0964495 0.0856327 21970 115934 -1 1912 17 1033 1632 98180 23933 0 0 98180 23933 1632 1214 0 0 5917 4742 0 0 8618 6949 0 0 1632 1308 0 0 40696 4902 0 0 39685 4818 0 0 1632 0 0 599 762 866 6383 0 0 2.76071 2.76071 -101.616 -2.76071 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0256227 0.0230406 133 54 56 29 58 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 5.43 vpr 62.61 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 30608 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64108 32 32 469 381 1 200 101 17 17 289 -1 unnamed_device 24.3 MiB 0.40 1001 62.6 MiB 0.09 0.00 3.12784 -108.736 -3.12784 3.12784 0.83 0.000694631 0.000638581 0.0250025 0.0230032 26 3141 36 6.65987e+06 469086 477104. 1650.88 2.00 0.163229 0.145141 21682 110474 -1 2636 21 2081 3338 272037 62727 0 0 272037 62727 3338 2609 0 0 12676 10953 0 0 20117 15953 0 0 3338 2787 0 0 115385 15707 0 0 117183 14718 0 0 3338 0 0 1257 1660 1834 12417 0 0 3.77551 3.77551 -147.061 -3.77551 0 0 585099. 2024.56 0.27 0.12 0.11 -1 -1 0.27 0.0384827 0.0343671 156 117 0 0 128 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.26 vpr 61.76 MiB 0.02 6724 -1 -1 1 0.03 -1 -1 30372 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63244 31 32 259 212 1 146 79 17 17 289 -1 unnamed_device 23.1 MiB 0.13 797 61.8 MiB 0.10 0.00 2.32153 -81.0887 -2.32153 2.32153 1.03 0.000451574 0.000414725 0.03842 0.0354638 32 1894 20 6.65987e+06 202848 554710. 1919.41 0.83 0.0841936 0.0751537 22834 132086 -1 1619 19 938 1530 137689 31241 0 0 137689 31241 1530 1151 0 0 6093 5222 0 0 10292 7984 0 0 1530 1210 0 0 59339 8119 0 0 58905 7555 0 0 1530 0 0 592 579 554 4617 0 0 2.81691 2.81691 -100.401 -2.81691 0 0 701300. 2426.64 0.30 0.07 0.11 -1 -1 0.30 0.0266449 0.024009 105 -1 85 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 6.07 vpr 62.64 MiB 0.02 7060 -1 -1 1 0.03 -1 -1 30368 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64148 32 32 418 338 1 190 97 17 17 289 -1 unnamed_device 23.9 MiB 0.19 987 62.6 MiB 0.14 0.00 3.31204 -109.095 -3.31204 3.31204 1.00 0.000541518 0.000496037 0.0516008 0.0470586 36 2234 21 6.65987e+06 418374 612192. 2118.31 2.51 0.230134 0.20406 23410 145293 -1 1775 21 1513 2182 150803 36285 0 0 150803 36285 2182 1673 0 0 7945 6637 0 0 11340 9171 0 0 2182 1783 0 0 64143 8402 0 0 63011 8619 0 0 2182 0 0 669 796 833 6338 0 0 3.54837 3.54837 -127.077 -3.54837 0 0 782063. 2706.10 0.31 0.10 0.13 -1 -1 0.31 0.0409875 0.0370532 142 89 28 28 92 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.61 vpr 62.19 MiB 0.02 6984 -1 -1 1 0.04 -1 -1 30200 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63684 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 23.4 MiB 0.24 842 62.2 MiB 0.11 0.00 2.8021 -102.199 -2.8021 2.8021 1.05 0.000576852 0.000529974 0.0429258 0.0395712 32 1960 20 6.65987e+06 202848 554710. 1919.41 1.02 0.121282 0.107953 22834 132086 -1 1764 21 1453 2140 156942 36988 0 0 156942 36988 2140 1585 0 0 8169 7223 0 0 12991 9987 0 0 2140 1746 0 0 66382 8053 0 0 65120 8394 0 0 2140 0 0 687 673 732 5746 0 0 3.01697 3.01697 -122.474 -3.01697 0 0 701300. 2426.64 0.31 0.07 0.12 -1 -1 0.31 0.0285382 0.0253954 115 93 0 0 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 5.20 vpr 62.68 MiB 0.02 6956 -1 -1 1 0.03 -1 -1 30276 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64184 32 32 401 316 1 196 99 17 17 289 -1 unnamed_device 23.9 MiB 0.21 955 62.7 MiB 0.17 0.00 2.71964 -93.5267 -2.71964 2.71964 1.03 0.000632775 0.000583809 0.0532213 0.0490806 28 2962 46 6.65987e+06 443730 500653. 1732.36 1.72 0.17391 0.155078 21970 115934 -1 2100 21 1379 2115 164980 38453 0 0 164980 38453 2115 1565 0 0 7767 6309 0 0 11358 9232 0 0 2115 1654 0 0 71298 9898 0 0 70327 9795 0 0 2115 0 0 736 1186 1119 8074 0 0 2.84271 2.84271 -114.202 -2.84271 0 0 612192. 2118.31 0.28 0.09 0.07 -1 -1 0.28 0.0335269 0.0300368 149 59 61 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 4.69 vpr 62.83 MiB 0.02 7100 -1 -1 1 0.03 -1 -1 30732 -1 -1 43 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64340 32 32 500 382 1 232 107 17 17 289 -1 unnamed_device 24.6 MiB 0.36 1195 62.8 MiB 0.10 0.00 3.8601 -128.639 -3.8601 3.8601 0.77 0.000430039 0.000396422 0.029528 0.0271995 28 3035 23 6.65987e+06 545154 500653. 1732.36 1.23 0.166874 0.149128 21970 115934 -1 2622 24 2501 3880 265131 62213 0 0 265131 62213 3880 2736 0 0 14027 11637 0 0 21481 16848 0 0 3880 2898 0 0 113846 13583 0 0 108017 14511 0 0 3880 0 0 1379 2121 2729 16191 0 0 4.73037 4.73037 -161.826 -4.73037 0 0 612192. 2118.31 0.28 0.12 0.11 -1 -1 0.28 0.0417633 0.0372058 186 81 64 32 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.14 vpr 61.52 MiB 0.02 6768 -1 -1 1 0.03 -1 -1 30148 -1 -1 15 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62996 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 23.1 MiB 0.23 551 61.5 MiB 0.08 0.00 2.22258 -69.1123 -2.22258 2.22258 1.05 0.000422339 0.000388068 0.0294589 0.0271283 30 1257 21 6.65987e+06 190170 526063. 1820.29 0.84 0.0698997 0.062002 22546 126617 -1 1116 18 475 662 42891 10523 0 0 42891 10523 662 502 0 0 2413 1984 0 0 3053 2573 0 0 662 551 0 0 18282 2379 0 0 17819 2534 0 0 662 0 0 187 89 188 1570 0 0 1.71545 1.71545 -74.6149 -1.71545 0 0 666494. 2306.21 0.20 0.03 0.07 -1 -1 0.20 0.0116043 0.01032 83 51 0 0 53 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.39 vpr 61.79 MiB 0.02 6852 -1 -1 1 0.03 -1 -1 30500 -1 -1 16 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63276 30 32 296 244 1 137 78 17 17 289 -1 unnamed_device 23.4 MiB 0.11 592 61.8 MiB 0.09 0.00 2.80784 -84.049 -2.80784 2.80784 1.05 0.000485676 0.000445267 0.0350362 0.0322617 32 1761 20 6.65987e+06 202848 554710. 1919.41 0.99 0.102902 0.0914446 22834 132086 -1 1378 20 989 1460 117404 28094 0 0 117404 28094 1460 1222 0 0 5460 4662 0 0 8511 6629 0 0 1460 1282 0 0 50705 7100 0 0 49808 7199 0 0 1460 0 0 471 590 505 4150 0 0 2.72051 2.72051 -104.068 -2.72051 0 0 701300. 2426.64 0.28 0.07 0.09 -1 -1 0.28 0.0269319 0.0242252 96 29 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.40 vpr 62.21 MiB 0.02 6724 -1 -1 1 0.03 -1 -1 30204 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63700 32 32 314 256 1 167 82 17 17 289 -1 unnamed_device 23.4 MiB 0.10 930 62.2 MiB 0.09 0.00 2.7647 -99.2423 -2.7647 2.7647 1.04 0.00054127 0.00049933 0.029359 0.0270601 30 2316 21 6.65987e+06 228204 526063. 1820.29 1.16 0.10785 0.0959755 22546 126617 -1 1895 16 998 1732 109601 24334 0 0 109601 24334 1732 1220 0 0 5875 4764 0 0 7383 6086 0 0 1732 1286 0 0 48407 5259 0 0 44472 5719 0 0 1732 0 0 734 802 790 6010 0 0 2.85057 2.85057 -116.058 -2.85057 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0214703 0.01926 126 31 64 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.02 vpr 61.64 MiB 0.02 6808 -1 -1 1 0.03 -1 -1 30400 -1 -1 34 25 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63124 25 32 251 214 1 138 91 17 17 289 -1 unnamed_device 23.0 MiB 0.06 703 61.6 MiB 0.08 0.00 2.68184 -74.5657 -2.68184 2.68184 0.96 0.00035345 0.000323558 0.0244374 0.0224743 26 1705 16 6.65987e+06 431052 477104. 1650.88 0.88 0.0836509 0.0743151 21682 110474 -1 1551 18 949 1433 100645 24041 0 0 100645 24041 1433 1098 0 0 5580 4659 0 0 8212 6622 0 0 1433 1175 0 0 42262 5272 0 0 41725 5215 0 0 1433 0 0 484 609 616 4898 0 0 2.72051 2.72051 -91.7253 -2.72051 0 0 585099. 2024.56 0.27 0.04 0.11 -1 -1 0.27 0.0148388 0.0133074 103 19 50 25 25 25 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 5.94 vpr 62.86 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 30564 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64364 32 32 432 346 1 193 84 17 17 289 -1 unnamed_device 24.0 MiB 0.28 883 62.9 MiB 0.11 0.00 3.17278 -99.7227 -3.17278 3.17278 1.04 0.000664866 0.00061332 0.0373764 0.034437 34 2456 41 6.65987e+06 253560 585099. 2024.56 2.21 0.248873 0.222154 23122 138558 -1 1972 22 1700 3099 201861 49081 0 0 201861 49081 3099 2104 0 0 11419 9887 0 0 17516 13829 0 0 3099 2246 0 0 87939 9994 0 0 78789 11021 0 0 3099 0 0 1399 1405 1328 10577 0 0 3.40705 3.40705 -123.453 -3.40705 0 0 742403. 2568.87 0.32 0.10 0.15 -1 -1 0.32 0.0374054 0.0335024 147 84 32 32 94 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 5.54 vpr 62.43 MiB 0.02 6948 -1 -1 1 0.04 -1 -1 30404 -1 -1 37 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63924 31 32 421 339 1 193 100 17 17 289 -1 unnamed_device 24.0 MiB 0.21 865 62.4 MiB 0.12 0.00 2.7691 -92.7554 -2.7691 2.7691 1.09 0.000700048 0.000646014 0.0391829 0.0360282 26 2845 26 6.65987e+06 469086 477104. 1650.88 1.75 0.138281 0.123357 21682 110474 -1 2144 21 1789 2701 188709 46873 0 0 188709 46873 2701 2100 0 0 9841 8106 0 0 14950 11533 0 0 2701 2240 0 0 77752 11296 0 0 80764 11598 0 0 2701 0 0 912 1165 1190 8488 0 0 3.21931 3.21931 -125.874 -3.21931 0 0 585099. 2024.56 0.27 0.10 0.12 -1 -1 0.27 0.0384061 0.0344005 146 88 29 29 93 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 7.30 vpr 63.50 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 30800 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65024 32 32 438 350 1 187 89 17 17 289 -1 unnamed_device 24.8 MiB 1.09 758 63.5 MiB 0.10 0.00 3.15069 -112.585 -3.15069 3.15069 0.88 0.000643267 0.000591716 0.0416142 0.0383477 54 2403 50 6.95648e+06 361892 949917. 3286.91 2.85 0.207639 0.184134 29506 232905 -1 1856 24 1907 2915 226509 54730 0 0 226509 54730 2915 2199 0 0 8963 8022 0 0 16710 10208 0 0 2915 2268 0 0 93645 16224 0 0 101361 15809 0 0 2915 0 0 1008 1266 775 8836 0 0 4.52046 4.52046 -150.542 -4.52046 0 0 1.17392e+06 4061.99 0.50 0.11 0.21 -1 -1 0.50 0.0417782 0.0374177 84 80 32 32 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 9.87 vpr 63.42 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 30572 -1 -1 14 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64944 30 32 409 330 1 179 76 17 17 289 -1 unnamed_device 24.6 MiB 2.35 819 63.4 MiB 0.11 0.00 3.3213 -109.081 -3.3213 3.3213 1.01 0.000592171 0.000538633 0.0501801 0.045993 54 2453 24 6.95648e+06 202660 949917. 3286.91 3.82 0.261836 0.234561 29506 232905 -1 1900 20 1644 2429 233647 49399 0 0 233647 49399 2429 2096 0 0 7569 6648 0 0 12792 8560 0 0 2429 2178 0 0 113178 14375 0 0 95250 15542 0 0 2429 0 0 785 719 829 6424 0 0 3.81087 3.81087 -138.198 -3.81087 0 0 1.17392e+06 4061.99 0.50 0.10 0.21 -1 -1 0.50 0.034705 0.0310159 76 78 30 30 89 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 7.59 vpr 63.22 MiB 0.03 6968 -1 -1 1 0.03 -1 -1 30400 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64736 32 32 387 309 1 179 83 17 17 289 -1 unnamed_device 24.4 MiB 0.80 716 63.2 MiB 0.13 0.00 3.01749 -104.169 -3.01749 3.01749 1.07 0.000554317 0.000506878 0.0539392 0.0496216 46 2244 49 6.95648e+06 275038 828058. 2865.25 3.16 0.225444 0.200211 28066 200906 -1 1707 22 1409 2187 146685 35332 0 0 146685 35332 2187 1686 0 0 6822 6078 0 0 11321 7528 0 0 2187 1873 0 0 59667 9851 0 0 64501 8316 0 0 2187 0 0 778 963 1059 7873 0 0 3.90652 3.90652 -132.409 -3.90652 0 0 1.01997e+06 3529.29 0.42 0.09 0.20 -1 -1 0.42 0.0339391 0.0303418 77 50 54 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 18.92 vpr 62.81 MiB 0.03 7036 -1 -1 1 0.03 -1 -1 30420 -1 -1 16 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64316 29 32 343 267 1 176 77 17 17 289 -1 unnamed_device 24.3 MiB 0.48 701 62.8 MiB 0.09 0.00 3.3745 -105.09 -3.3745 3.3745 1.08 0.000448252 0.000409547 0.0406171 0.0373779 40 2708 34 6.95648e+06 231611 706193. 2443.58 14.84 0.339937 0.299408 26914 176310 -1 1962 19 1652 2476 237463 53604 0 0 237463 53604 2476 2081 0 0 8167 7102 0 0 14329 9542 0 0 2476 2110 0 0 109394 15480 0 0 100621 17289 0 0 2476 0 0 824 912 650 6814 0 0 4.03026 4.03026 -140.315 -4.03026 0 0 926341. 3205.33 0.38 0.09 0.17 -1 -1 0.38 0.0281061 0.0253422 75 25 87 29 29 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 7.77 vpr 63.01 MiB 0.02 6972 -1 -1 1 0.03 -1 -1 30224 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64520 32 32 376 288 1 187 77 17 17 289 -1 unnamed_device 24.4 MiB 0.75 704 63.0 MiB 0.09 0.00 3.04139 -109.825 -3.04139 3.04139 1.07 0.000589291 0.000539969 0.0400492 0.0368244 62 1988 33 6.95648e+06 188184 1.05005e+06 3633.38 3.17 0.202673 0.179432 30946 263737 -1 1358 24 2038 3464 209421 51338 0 0 209421 51338 3464 2357 0 0 10048 8906 0 0 19754 11697 0 0 3464 2435 0 0 92262 10435 0 0 80429 15508 0 0 3464 0 0 1426 1476 949 10533 0 0 3.68116 3.68116 -134.596 -3.68116 0 0 1.30136e+06 4502.97 0.57 0.11 0.25 -1 -1 0.57 0.0395069 0.0353389 78 31 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 6.99 vpr 63.35 MiB 0.02 6896 -1 -1 1 0.04 -1 -1 30564 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64872 32 32 402 316 1 191 93 17 17 289 -1 unnamed_device 24.5 MiB 0.35 807 63.4 MiB 0.10 0.00 2.5973 -95.5077 -2.5973 2.5973 1.07 0.000607357 0.000555987 0.0433948 0.0398505 46 2324 33 6.95648e+06 419795 828058. 2865.25 3.20 0.218424 0.193567 28066 200906 -1 1729 21 1453 1996 141627 33644 0 0 141627 33644 1996 1545 0 0 6397 5581 0 0 10087 7055 0 0 1996 1667 0 0 60478 8931 0 0 60673 8865 0 0 1996 0 0 543 702 547 5476 0 0 3.18097 3.18097 -120.729 -3.18097 0 0 1.01997e+06 3529.29 0.30 0.08 0.13 -1 -1 0.30 0.0305634 0.0271124 89 61 63 32 63 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 10.27 vpr 62.57 MiB 0.02 6812 -1 -1 1 0.03 -1 -1 30592 -1 -1 14 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64076 27 32 269 226 1 129 73 17 17 289 -1 unnamed_device 24.1 MiB 4.85 478 62.6 MiB 0.06 0.00 2.76796 -78.1223 -2.76796 2.76796 1.06 0.000438739 0.000401247 0.0274622 0.025313 36 1502 45 6.95648e+06 202660 648988. 2245.63 2.05 0.135137 0.118318 26050 158493 -1 1053 19 826 1222 78431 20431 0 0 78431 20431 1222 925 0 0 4216 3652 0 0 6942 5016 0 0 1222 972 0 0 32502 5390 0 0 32327 4476 0 0 1222 0 0 396 620 196 3410 0 0 2.88157 2.88157 -94.7563 -2.88157 0 0 828058. 2865.25 0.33 0.05 0.14 -1 -1 0.33 0.021809 0.0194698 54 26 54 27 27 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 6.62 vpr 63.09 MiB 0.03 6904 -1 -1 1 0.04 -1 -1 30228 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64608 31 32 317 242 1 178 80 17 17 289 -1 unnamed_device 24.4 MiB 0.59 690 63.1 MiB 0.09 0.00 2.5203 -84.8536 -2.5203 2.5203 1.05 0.000520226 0.000479284 0.0381997 0.0351959 52 2015 38 6.95648e+06 246087 926341. 3205.33 2.37 0.183664 0.162556 29218 227130 -1 1473 21 1084 1593 110747 29105 0 0 110747 29105 1593 1217 0 0 5320 4535 0 0 8992 6274 0 0 1593 1343 0 0 45119 7838 0 0 48130 7898 0 0 1593 0 0 509 524 746 5090 0 0 3.13117 3.13117 -107.512 -3.13117 0 0 1.14541e+06 3963.36 0.49 0.07 0.23 -1 -1 0.49 0.029764 0.0268249 77 -1 115 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 7.86 vpr 63.04 MiB 0.03 6992 -1 -1 1 0.04 -1 -1 30168 -1 -1 11 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64552 31 32 338 292 1 143 74 17 17 289 -1 unnamed_device 24.1 MiB 1.87 644 63.0 MiB 0.05 0.00 2.60155 -84.316 -2.60155 2.60155 0.99 0.000317567 0.0002933 0.0212202 0.0196418 38 2024 24 6.95648e+06 159232 678818. 2348.85 2.62 0.160998 0.142364 26626 170182 -1 1532 21 1043 1542 126825 27573 0 0 126825 27573 1542 1294 0 0 4802 4161 0 0 7543 5278 0 0 1542 1304 0 0 54690 8076 0 0 56706 7460 0 0 1542 0 0 499 555 476 4176 0 0 3.28742 3.28742 -113.617 -3.28742 0 0 902133. 3121.57 0.36 0.07 0.17 -1 -1 0.36 0.0272504 0.0242754 57 81 0 0 84 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 6.40 vpr 62.89 MiB 0.02 6748 -1 -1 1 0.04 -1 -1 30316 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64400 32 32 314 256 1 156 74 17 17 289 -1 unnamed_device 24.2 MiB 0.70 583 62.9 MiB 0.08 0.00 2.44885 -93.915 -2.44885 2.44885 1.08 0.000508437 0.000466328 0.0357849 0.0329453 42 2016 30 6.95648e+06 144757 744469. 2576.02 2.16 0.165471 0.146208 27202 183097 -1 1507 21 1452 1968 161914 36630 0 0 161914 36630 1968 1663 0 0 6275 5441 0 0 11184 7452 0 0 1968 1689 0 0 73242 9334 0 0 67277 11051 0 0 1968 0 0 516 313 476 4625 0 0 2.98052 2.98052 -121.156 -2.98052 0 0 949917. 3286.91 0.40 0.08 0.19 -1 -1 0.40 0.027565 0.0246421 62 31 64 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 7.58 vpr 62.71 MiB 0.02 6876 -1 -1 1 0.03 -1 -1 30092 -1 -1 12 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64212 30 32 325 273 1 148 74 17 17 289 -1 unnamed_device 24.1 MiB 2.15 641 62.7 MiB 0.09 0.00 2.6083 -93.0682 -2.6083 2.6083 0.79 0.000533041 0.000489528 0.0400916 0.0368358 36 1952 32 6.95648e+06 173708 648988. 2245.63 2.27 0.186661 0.165281 26050 158493 -1 1402 22 1314 1665 134740 31082 0 0 134740 31082 1665 1430 0 0 5351 4619 0 0 8904 6054 0 0 1665 1442 0 0 60108 8322 0 0 57047 9215 0 0 1665 0 0 351 233 361 3482 0 0 3.67917 3.67917 -123.326 -3.67917 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0269577 0.0237956 60 58 30 30 60 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 7.07 vpr 62.97 MiB 0.02 6696 -1 -1 1 0.03 -1 -1 30528 -1 -1 12 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64480 32 32 331 280 1 150 76 17 17 289 -1 unnamed_device 24.1 MiB 0.96 553 63.0 MiB 0.09 0.00 2.4781 -86.3316 -2.4781 2.4781 1.10 0.000543415 0.00050039 0.0384549 0.0354234 48 1689 27 6.95648e+06 173708 865456. 2994.66 2.42 0.163918 0.145629 28354 207349 -1 1395 23 1174 1695 148797 39467 0 0 148797 39467 1695 1355 0 0 5883 5263 0 0 10631 7257 0 0 1695 1435 0 0 61693 12391 0 0 67200 11766 0 0 1695 0 0 521 678 428 4836 0 0 2.88957 2.88957 -114.984 -2.88957 0 0 1.05005e+06 3633.38 0.44 0.08 0.22 -1 -1 0.44 0.0294344 0.0261203 60 57 25 25 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 8.67 vpr 63.40 MiB 0.02 7000 -1 -1 1 0.05 -1 -1 30428 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64920 32 32 386 305 1 180 85 17 17 289 -1 unnamed_device 24.6 MiB 1.35 715 63.4 MiB 0.06 0.00 2.5833 -94.1965 -2.5833 2.5833 1.04 0.00035394 0.000326199 0.0270475 0.0249628 44 2434 41 6.95648e+06 303989 787024. 2723.27 3.70 0.205363 0.18137 27778 195446 -1 1882 22 1608 2538 230877 51971 0 0 230877 51971 2538 1902 0 0 7953 7058 0 0 14435 9339 0 0 2538 2066 0 0 106158 14917 0 0 97255 16689 0 0 2538 0 0 930 1028 957 7747 0 0 3.57407 3.57407 -135.031 -3.57407 0 0 997811. 3452.63 0.44 0.11 0.18 -1 -1 0.44 0.0416361 0.0374562 79 55 64 32 57 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 7.71 vpr 63.38 MiB 0.02 6848 -1 -1 1 0.04 -1 -1 30464 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64900 32 32 407 319 1 192 90 17 17 289 -1 unnamed_device 24.5 MiB 1.07 856 63.4 MiB 0.12 0.00 3.13369 -118.051 -3.13369 3.13369 1.09 0.000631916 0.000580524 0.0468376 0.043092 44 2503 21 6.95648e+06 376368 787024. 2723.27 2.87 0.212478 0.189115 27778 195446 -1 2020 22 2110 2945 264748 53415 0 0 264748 53415 2945 2297 0 0 9312 8385 0 0 16513 11043 0 0 2945 2444 0 0 123967 13439 0 0 109066 15807 0 0 2945 0 0 835 873 940 7941 0 0 3.93606 3.93606 -149.343 -3.93606 0 0 997811. 3452.63 0.40 0.10 0.18 -1 -1 0.40 0.0341123 0.0304028 87 60 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 7.41 vpr 62.46 MiB 0.02 6680 -1 -1 1 0.03 -1 -1 30596 -1 -1 13 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63964 29 32 272 228 1 141 74 17 17 289 -1 unnamed_device 23.9 MiB 1.33 518 62.5 MiB 0.08 0.00 2.64555 -77.8882 -2.64555 2.64555 1.05 0.000441507 0.000405191 0.0336625 0.0309864 38 2164 26 6.95648e+06 188184 678818. 2348.85 2.79 0.152989 0.135554 26626 170182 -1 1463 21 1099 1678 128832 28907 0 0 128832 28907 1678 1448 0 0 5169 4518 0 0 8555 5677 0 0 1678 1519 0 0 58323 7456 0 0 53429 8289 0 0 1678 0 0 579 627 434 4530 0 0 2.97862 2.97862 -102.848 -2.97862 0 0 902133. 3121.57 0.23 0.04 0.15 -1 -1 0.23 0.0143729 0.0127571 58 21 58 29 24 24 -fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 8.17 vpr 63.31 MiB 0.02 6980 -1 -1 1 0.04 -1 -1 30392 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64832 32 32 401 315 1 185 77 17 17 289 -1 unnamed_device 24.5 MiB 1.97 855 63.3 MiB 0.11 0.00 2.7746 -102.548 -2.7746 2.7746 1.06 0.000616573 0.000566758 0.048868 0.0450014 62 2188 31 6.95648e+06 188184 1.05005e+06 3633.38 2.37 0.188942 0.167336 30946 263737 -1 1806 19 1527 2396 162266 36589 0 0 162266 36589 2396 1791 0 0 7939 7080 0 0 13369 9375 0 0 2396 2117 0 0 68125 8142 0 0 68041 8084 0 0 2396 0 0 869 680 810 7019 0 0 3.23297 3.23297 -126.094 -3.23297 0 0 1.30136e+06 4502.97 0.54 0.08 0.28 -1 -1 0.54 0.0292284 0.0260991 77 60 64 32 62 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 6.82 vpr 63.28 MiB 0.02 7056 -1 -1 1 0.04 -1 -1 30224 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64800 32 32 383 303 1 179 84 17 17 289 -1 unnamed_device 24.5 MiB 1.32 688 63.3 MiB 0.11 0.00 2.5613 -93.6772 -2.5613 2.5613 0.74 0.00061641 0.000567297 0.0474807 0.0436409 44 2154 30 6.95648e+06 289514 787024. 2723.27 2.68 0.193766 0.169909 27778 195446 -1 1556 21 1555 2099 147766 35069 0 0 147766 35069 2099 1634 0 0 6837 6019 0 0 10871 7812 0 0 2099 1738 0 0 67617 8078 0 0 58243 9788 0 0 2099 0 0 544 427 605 5129 0 0 3.13697 3.13697 -121.17 -3.13697 0 0 997811. 3452.63 0.39 0.07 0.17 -1 -1 0.39 0.0305546 0.0270856 78 54 64 32 56 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 6.50 vpr 63.06 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 30088 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64572 32 32 339 284 1 156 84 17 17 289 -1 unnamed_device 24.4 MiB 0.57 570 63.1 MiB 0.10 0.00 2.04276 -76.9212 -2.04276 2.04276 1.05 0.000553646 0.000507704 0.0408359 0.0375473 50 1577 20 6.95648e+06 289514 902133. 3121.57 2.23 0.158148 0.139202 28642 213929 -1 1326 21 1102 1402 120594 29268 0 0 120594 29268 1402 1126 0 0 4644 3914 0 0 7956 5379 0 0 1402 1234 0 0 50404 8770 0 0 54786 8845 0 0 1402 0 0 300 453 297 3318 0 0 2.40703 2.40703 -100.803 -2.40703 0 0 1.08113e+06 3740.92 0.45 0.07 0.22 -1 -1 0.45 0.026742 0.0236298 67 62 29 29 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 5.60 vpr 62.30 MiB 0.02 6676 -1 -1 1 0.03 -1 -1 30288 -1 -1 10 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63800 30 32 226 208 1 118 72 17 17 289 -1 unnamed_device 23.7 MiB 0.38 451 62.3 MiB 0.06 0.00 1.84156 -65.2368 -1.84156 1.84156 0.99 0.000318394 0.000290758 0.0271126 0.0248063 36 1436 27 6.95648e+06 144757 648988. 2245.63 2.00 0.130307 0.114339 26050 158493 -1 1136 17 705 893 94082 20599 0 0 94082 20599 893 798 0 0 3132 2707 0 0 5014 3712 0 0 893 804 0 0 43270 6043 0 0 40880 6535 0 0 893 0 0 188 176 136 1799 0 0 2.22668 2.22668 -88.2217 -2.22668 0 0 828058. 2865.25 0.32 0.04 0.13 -1 -1 0.32 0.0159946 0.0141972 45 29 24 24 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 8.74 vpr 62.85 MiB 0.02 6876 -1 -1 1 0.03 -1 -1 30420 -1 -1 11 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64356 31 32 335 280 1 152 74 17 17 289 -1 unnamed_device 24.2 MiB 1.39 637 62.8 MiB 0.08 0.00 3.23215 -106.742 -3.23215 3.23215 1.02 0.000465873 0.000424771 0.0329558 0.0302593 46 2005 47 6.95648e+06 159232 828058. 2865.25 3.77 0.20755 0.183725 28066 200906 -1 1456 20 966 1288 110542 25953 0 0 110542 25953 1288 1148 0 0 4328 3876 0 0 6862 4946 0 0 1288 1170 0 0 49475 7042 0 0 47301 7771 0 0 1288 0 0 322 246 366 2894 0 0 3.35382 3.35382 -123.501 -3.35382 0 0 1.01997e+06 3529.29 0.42 0.07 0.21 -1 -1 0.42 0.0269633 0.0241149 61 55 31 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 16.66 vpr 63.12 MiB 0.03 6928 -1 -1 1 0.03 -1 -1 30160 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64636 32 32 366 283 1 184 85 17 17 289 -1 unnamed_device 24.3 MiB 0.45 668 63.1 MiB 0.10 0.00 3.10369 -106.356 -3.10369 3.10369 1.03 0.000612687 0.00056436 0.0400887 0.0369154 48 1932 29 6.95648e+06 303989 865456. 2994.66 12.63 0.33322 0.29401 28354 207349 -1 1629 23 1832 2455 221775 50325 0 0 221775 50325 2455 2112 0 0 8025 7015 0 0 14128 9545 0 0 2455 2234 0 0 103626 13532 0 0 91086 15887 0 0 2455 0 0 623 648 751 6123 0 0 3.89211 3.89211 -136.18 -3.89211 0 0 1.05005e+06 3633.38 0.47 0.10 0.20 -1 -1 0.47 0.0353906 0.0315238 81 31 91 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 8.97 vpr 63.66 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 30504 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65192 32 32 460 375 1 188 91 17 17 289 -1 unnamed_device 24.9 MiB 1.27 809 63.7 MiB 0.11 0.00 3.03469 -105.175 -3.03469 3.03469 1.08 0.000438972 0.000406639 0.0467442 0.0432745 44 3110 43 6.95648e+06 390843 787024. 2723.27 4.05 0.236034 0.209098 27778 195446 -1 2101 26 1717 2600 256156 63021 0 0 256156 63021 2600 2096 0 0 7913 7119 0 0 14942 9303 0 0 2600 2211 0 0 111175 22280 0 0 116926 20012 0 0 2600 0 0 883 1010 1002 7891 0 0 3.59656 3.59656 -134.02 -3.59656 0 0 997811. 3452.63 0.41 0.12 0.18 -1 -1 0.41 0.0423068 0.0375438 85 108 0 0 125 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 7.16 vpr 62.11 MiB 0.03 6664 -1 -1 1 0.03 -1 -1 30556 -1 -1 13 26 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63604 26 32 198 186 1 108 71 17 17 289 -1 unnamed_device 23.6 MiB 1.03 396 62.1 MiB 0.05 0.00 1.82136 -55.181 -1.82136 1.82136 1.05 0.000396585 0.000363733 0.0194417 0.0179084 36 1393 48 6.95648e+06 188184 648988. 2245.63 2.74 0.132125 0.116101 26050 158493 -1 1007 20 661 852 86330 19230 0 0 86330 19230 852 828 0 0 2854 2498 0 0 4851 3272 0 0 852 830 0 0 38036 5943 0 0 38885 5859 0 0 852 0 0 191 187 219 1831 0 0 2.07638 2.07638 -74.452 -2.07638 0 0 828058. 2865.25 0.36 0.05 0.16 -1 -1 0.36 0.0166201 0.0147635 44 21 26 26 22 22 -fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 7.11 vpr 63.16 MiB 0.03 6904 -1 -1 1 0.04 -1 -1 30068 -1 -1 12 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64672 32 32 333 251 1 180 76 17 17 289 -1 unnamed_device 24.4 MiB 0.71 707 63.2 MiB 0.10 0.00 3.3371 -110.857 -3.3371 3.3371 1.06 0.000557412 0.00051232 0.0316849 0.0291313 62 1967 23 6.95648e+06 173708 1.05005e+06 3633.38 2.68 0.165782 0.146004 30946 263737 -1 1567 19 1453 2203 165375 38758 0 0 165375 38758 2203 1861 0 0 7121 6229 0 0 12384 8201 0 0 2203 1958 0 0 63201 11056 0 0 78263 9453 0 0 2203 0 0 750 926 536 6357 0 0 4.01606 4.01606 -134.51 -4.01606 0 0 1.30136e+06 4502.97 0.54 0.10 0.23 -1 -1 0.54 0.0270127 0.0241744 74 -1 122 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 11.03 vpr 62.09 MiB 0.02 6644 -1 -1 1 0.03 -1 -1 30316 -1 -1 8 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63584 32 32 199 182 1 119 72 17 17 289 -1 unnamed_device 23.6 MiB 0.36 634 62.1 MiB 0.06 0.00 1.77736 -70.2586 -1.77736 1.77736 1.05 0.000361279 0.000331554 0.0253442 0.0233321 38 1655 24 6.95648e+06 115805 678818. 2348.85 7.23 0.219563 0.19322 26626 170182 -1 1351 28 823 1058 199533 70485 0 0 199533 70485 1058 996 0 0 3633 3189 0 0 6893 4422 0 0 1058 1007 0 0 97589 30521 0 0 89302 30350 0 0 1058 0 0 235 163 238 2216 0 0 2.12348 2.12348 -92.3989 -2.12348 0 0 902133. 3121.57 0.37 0.10 0.12 -1 -1 0.37 0.0251058 0.0222716 44 -1 53 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 7.70 vpr 63.29 MiB 0.02 6828 -1 -1 1 0.04 -1 -1 30488 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64804 32 32 376 288 1 186 90 17 17 289 -1 unnamed_device 24.5 MiB 0.63 828 63.3 MiB 0.12 0.00 3.17289 -114.42 -3.17289 3.17289 1.06 0.000478522 0.000436631 0.0452119 0.0414465 40 2659 32 6.95648e+06 376368 706193. 2443.58 3.49 0.193461 0.170892 26914 176310 -1 2380 21 2039 3156 378015 83985 0 0 378015 83985 3156 2474 0 0 10348 9227 0 0 21345 12730 0 0 3156 2619 0 0 167753 29204 0 0 172257 27731 0 0 3156 0 0 1117 1280 1389 10080 0 0 4.21056 4.21056 -158.361 -4.21056 0 0 926341. 3205.33 0.36 0.09 0.17 -1 -1 0.36 0.0208022 0.0183775 85 21 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 15.55 vpr 63.16 MiB 0.02 6964 -1 -1 1 0.03 -1 -1 30064 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64672 32 32 337 253 1 188 92 17 17 289 -1 unnamed_device 24.3 MiB 0.31 1083 63.2 MiB 0.09 0.00 2.5943 -101.787 -2.5943 2.5943 1.09 0.000320657 0.000295415 0.0349931 0.0322169 40 2530 45 6.95648e+06 405319 706193. 2443.58 11.66 0.331913 0.291661 26914 176310 -1 2370 22 1731 2552 296477 63784 0 0 296477 63784 2552 2079 0 0 8702 7668 0 0 16251 10742 0 0 2552 2217 0 0 131405 21183 0 0 135015 19895 0 0 2552 0 0 821 1073 1067 7899 0 0 2.95857 2.95857 -125.53 -2.95857 0 0 926341. 3205.33 0.38 0.12 0.15 -1 -1 0.38 0.0343254 0.0309142 87 -1 124 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 6.76 vpr 63.34 MiB 0.04 7020 -1 -1 1 0.04 -1 -1 30676 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64860 32 32 407 319 1 189 92 17 17 289 -1 unnamed_device 24.5 MiB 0.45 913 63.3 MiB 0.14 0.00 3.10069 -113.2 -3.10069 3.10069 1.10 0.000628095 0.000576389 0.0562663 0.0514892 48 2358 22 6.95648e+06 405319 865456. 2994.66 2.46 0.205217 0.182092 28354 207349 -1 2056 24 2149 3466 314419 63368 0 0 314419 63368 3466 2582 0 0 11084 9960 0 0 22707 13698 0 0 3466 2752 0 0 134728 17864 0 0 138968 16512 0 0 3466 0 0 1317 1524 1374 11473 0 0 4.03826 4.03826 -149.324 -4.03826 0 0 1.05005e+06 3633.38 0.45 0.12 0.21 -1 -1 0.45 0.0352325 0.0313467 87 54 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 15.93 vpr 62.67 MiB 0.02 6672 -1 -1 1 0.04 -1 -1 30268 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64172 32 32 294 246 1 145 74 17 17 289 -1 unnamed_device 24.0 MiB 1.05 812 62.7 MiB 0.08 0.00 2.3791 -88.817 -2.3791 2.3791 1.06 0.000476905 0.000441615 0.0332807 0.0306788 42 2123 49 6.95648e+06 144757 744469. 2576.02 11.26 0.303315 0.265723 27202 183097 -1 1699 18 1123 1755 146599 30520 0 0 146599 30520 1755 1513 0 0 5741 5089 0 0 9973 6822 0 0 1755 1576 0 0 64151 7459 0 0 63224 8061 0 0 1755 0 0 632 738 715 5224 0 0 2.86332 2.86332 -113.264 -2.86332 0 0 949917. 3286.91 0.40 0.07 0.19 -1 -1 0.40 0.025464 0.0228931 57 31 54 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 6.88 vpr 62.78 MiB 0.02 6892 -1 -1 1 0.03 -1 -1 30060 -1 -1 12 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64284 30 32 296 244 1 148 74 17 17 289 -1 unnamed_device 24.2 MiB 0.61 591 62.8 MiB 0.07 0.00 2.6163 -92.4601 -2.6163 2.6163 0.99 0.000415305 0.000379804 0.0282058 0.0258741 38 2086 34 6.95648e+06 173708 678818. 2348.85 3.24 0.166652 0.14745 26626 170182 -1 1583 22 1372 1845 171168 38264 0 0 171168 38264 1845 1665 0 0 5855 5069 0 0 9995 6630 0 0 1845 1686 0 0 73257 11701 0 0 78371 11513 0 0 1845 0 0 473 322 529 4349 0 0 3.14507 3.14507 -118.372 -3.14507 0 0 902133. 3121.57 0.24 0.05 0.10 -1 -1 0.24 0.016403 0.0145719 60 29 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 6.54 vpr 62.58 MiB 0.02 6804 -1 -1 1 0.03 -1 -1 30260 -1 -1 13 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64080 28 32 278 232 1 144 73 17 17 289 -1 unnamed_device 24.0 MiB 0.64 507 62.6 MiB 0.08 0.00 2.5894 -83.1346 -2.5894 2.5894 1.08 0.000457404 0.000419239 0.0362493 0.0333173 44 1939 27 6.95648e+06 188184 787024. 2723.27 2.33 0.17798 0.137023 27778 195446 -1 1398 35 1604 2319 227278 55071 0 0 227278 55071 2319 2018 0 0 7143 6302 0 0 15040 8886 0 0 2319 2050 0 0 102597 16919 0 0 97860 18896 0 0 2319 0 0 715 777 709 5982 0 0 3.07097 3.07097 -105.517 -3.07097 0 0 997811. 3452.63 0.40 0.12 0.16 -1 -1 0.40 0.0399183 0.0352562 61 27 56 28 28 28 -fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 6.57 vpr 62.76 MiB 0.02 6764 -1 -1 1 0.03 -1 -1 30324 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64268 32 32 283 225 1 160 74 17 17 289 -1 unnamed_device 24.1 MiB 0.22 873 62.8 MiB 0.08 0.00 2.43165 -99.8836 -2.43165 2.43165 1.09 0.00049104 0.000451866 0.0331464 0.0306208 40 2168 30 6.95648e+06 144757 706193. 2443.58 2.71 0.17679 0.157123 26914 176310 -1 2065 30 2040 3050 502944 119978 0 0 502944 119978 3050 2736 0 0 9718 8804 0 0 23629 12331 0 0 3050 2745 0 0 242509 44921 0 0 220988 48441 0 0 3050 0 0 1010 1316 1178 9182 0 0 3.32522 3.32522 -128.787 -3.32522 0 0 926341. 3205.33 0.37 0.17 0.18 -1 -1 0.37 0.0368537 0.0328004 64 -1 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 7.99 vpr 62.95 MiB 0.02 6888 -1 -1 1 0.03 -1 -1 30264 -1 -1 21 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64460 31 32 303 249 1 156 84 17 17 289 -1 unnamed_device 24.3 MiB 0.25 565 62.9 MiB 0.09 0.00 2.5943 -90.9525 -2.5943 2.5943 1.08 0.000514804 0.000472661 0.0345929 0.0317644 46 1958 33 6.95648e+06 303989 828058. 2865.25 4.22 0.19206 0.170923 28066 200906 -1 1412 23 1323 1908 145740 36994 0 0 145740 36994 1908 1515 0 0 5856 5162 0 0 9799 6531 0 0 1908 1581 0 0 66314 9662 0 0 59955 12543 0 0 1908 0 0 585 796 590 5771 0 0 3.29527 3.29527 -116.237 -3.29527 0 0 1.01997e+06 3529.29 0.42 0.08 0.21 -1 -1 0.42 0.0289447 0.0258144 68 26 61 31 31 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 6.83 vpr 62.63 MiB 0.02 6916 -1 -1 1 0.03 -1 -1 30112 -1 -1 18 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64136 29 32 312 264 1 148 79 17 17 289 -1 unnamed_device 24.0 MiB 0.86 502 62.6 MiB 0.07 0.00 2.12706 -71.7738 -2.12706 2.12706 1.07 0.00048623 0.00044656 0.0315735 0.0290426 46 1459 23 6.95648e+06 260562 828058. 2865.25 2.35 0.175268 0.15623 28066 200906 -1 1150 20 1106 1465 87102 22508 0 0 87102 22508 1465 1148 0 0 4567 3898 0 0 7735 5200 0 0 1465 1254 0 0 37080 4764 0 0 34790 6244 0 0 1465 0 0 359 412 385 3687 0 0 2.47263 2.47263 -87.3574 -2.47263 0 0 1.01997e+06 3529.29 0.44 0.06 0.21 -1 -1 0.44 0.0243377 0.0216665 64 55 29 29 57 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 9.37 vpr 63.44 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 30412 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64964 32 32 423 310 1 219 92 17 17 289 -1 unnamed_device 24.8 MiB 0.84 1124 63.4 MiB 0.13 0.00 3.32935 -120.571 -3.32935 3.32935 1.10 0.000668168 0.000613415 0.0511975 0.0471976 44 3236 39 6.95648e+06 405319 787024. 2723.27 4.61 0.258735 0.230591 27778 195446 -1 2422 29 2617 4110 419353 107789 0 0 419353 107789 4110 2994 0 0 12090 10786 0 0 25370 14520 0 0 4110 3233 0 0 197075 37477 0 0 176598 38779 0 0 4110 0 0 1493 2395 2772 17790 0 0 4.10841 4.10841 -147.895 -4.10841 0 0 997811. 3452.63 0.38 0.17 0.21 -1 -1 0.38 0.0478762 0.0424227 100 26 128 32 27 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 6.83 vpr 63.42 MiB 0.02 7048 -1 -1 1 0.04 -1 -1 30456 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64940 32 32 403 317 1 190 91 17 17 289 -1 unnamed_device 24.5 MiB 0.90 748 63.4 MiB 0.10 0.00 2.6866 -97.658 -2.6866 2.6866 1.02 0.000549959 0.000499739 0.0384111 0.0352161 42 2631 32 6.95648e+06 390843 744469. 2576.02 2.43 0.217659 0.191935 27202 183097 -1 1892 22 1920 2739 221974 50720 0 0 221974 50720 2739 2119 0 0 9012 7853 0 0 16034 10729 0 0 2739 2296 0 0 93400 14249 0 0 98050 13474 0 0 2739 0 0 819 1088 989 7881 0 0 3.37072 3.37072 -132.814 -3.37072 0 0 949917. 3286.91 0.31 0.07 0.19 -1 -1 0.31 0.0240394 0.0214234 87 62 62 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 7.12 vpr 63.03 MiB 0.02 6816 -1 -1 1 0.03 -1 -1 30496 -1 -1 15 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64544 31 32 353 302 1 150 78 17 17 289 -1 unnamed_device 24.2 MiB 0.83 555 63.0 MiB 0.10 0.00 2.76796 -90.6303 -2.76796 2.76796 0.88 0.000535822 0.000492079 0.0442712 0.0406712 48 1830 45 6.95648e+06 217135 865456. 2994.66 2.82 0.200094 0.176435 28354 207349 -1 1400 26 1302 1932 198464 63590 0 0 198464 63590 1932 1555 0 0 6257 5564 0 0 12020 7676 0 0 1932 1665 0 0 88862 23210 0 0 87461 23920 0 0 1932 0 0 630 789 766 6029 0 0 3.26222 3.26222 -112.401 -3.26222 0 0 1.05005e+06 3633.38 0.44 0.10 0.22 -1 -1 0.44 0.0348198 0.0310137 62 77 0 0 89 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 8.75 vpr 63.30 MiB 0.02 7016 -1 -1 1 0.04 -1 -1 30516 -1 -1 14 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64816 31 32 391 309 1 186 77 17 17 289 -1 unnamed_device 24.4 MiB 0.72 1018 63.3 MiB 0.11 0.00 2.5613 -96.8883 -2.5613 2.5613 0.87 0.000612975 0.000559212 0.0496871 0.0456766 38 3103 44 6.95648e+06 202660 678818. 2348.85 4.57 0.224638 0.198852 26626 170182 -1 2447 21 1895 2791 279335 53467 0 0 279335 53467 2791 2370 0 0 8397 7437 0 0 13245 8969 0 0 2791 2510 0 0 128932 14937 0 0 123179 17244 0 0 2791 0 0 896 1080 1116 7941 0 0 3.53912 3.53912 -129.425 -3.53912 0 0 902133. 3121.57 0.36 0.09 0.17 -1 -1 0.36 0.0253825 0.0226246 79 59 60 30 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 9.53 vpr 63.47 MiB 0.02 7220 -1 -1 1 0.03 -1 -1 30412 -1 -1 14 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64996 31 32 455 371 1 185 77 17 17 289 -1 unnamed_device 24.8 MiB 2.28 842 63.5 MiB 0.08 0.00 3.85289 -126.398 -3.85289 3.85289 1.05 0.00063663 0.000583778 0.0376286 0.0345614 40 2734 30 6.95648e+06 202660 706193. 2443.58 3.77 0.245329 0.217629 26914 176310 -1 2265 21 1596 2365 236046 49099 0 0 236046 49099 2365 2067 0 0 7731 6723 0 0 13909 8971 0 0 2365 2118 0 0 106177 14569 0 0 103499 14651 0 0 2365 0 0 769 801 973 6938 0 0 4.49581 4.49581 -155.244 -4.49581 0 0 926341. 3205.33 0.36 0.12 0.12 -1 -1 0.36 0.0462638 0.0413295 78 111 0 0 124 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 8.48 vpr 63.22 MiB 0.03 7172 -1 -1 1 0.04 -1 -1 30388 -1 -1 13 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64740 31 32 413 333 1 182 76 17 17 289 -1 unnamed_device 24.6 MiB 1.80 735 63.2 MiB 0.11 0.00 3.72384 -110.565 -3.72384 3.72384 1.07 0.000576157 0.000526424 0.0531176 0.0487681 46 2453 34 6.95648e+06 188184 828058. 2865.25 2.94 0.226087 0.20114 28066 200906 -1 1646 22 1458 2264 147698 35913 0 0 147698 35913 2264 1821 0 0 6971 6100 0 0 11732 7684 0 0 2264 1887 0 0 60635 9616 0 0 63832 8805 0 0 2264 0 0 806 884 688 6310 0 0 4.11816 4.11816 -138.295 -4.11816 0 0 1.01997e+06 3529.29 0.40 0.08 0.21 -1 -1 0.40 0.0332219 0.029718 76 86 31 31 89 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 10.20 vpr 63.14 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 30388 -1 -1 25 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64652 31 32 391 309 1 185 88 17 17 289 -1 unnamed_device 24.3 MiB 0.91 871 63.1 MiB 0.09 0.00 2.6273 -95.9663 -2.6273 2.6273 1.08 0.000599336 0.000551051 0.0354879 0.0326067 36 2802 34 6.95648e+06 361892 648988. 2245.63 5.68 0.19962 0.176146 26050 158493 -1 2201 22 1850 2735 240915 49626 0 0 240915 49626 2735 2198 0 0 8396 7431 0 0 15327 9563 0 0 2735 2334 0 0 106054 14229 0 0 105668 13871 0 0 2735 0 0 885 1081 1051 8026 0 0 3.44877 3.44877 -126.968 -3.44877 0 0 828058. 2865.25 0.35 0.10 0.16 -1 -1 0.35 0.0359188 0.0324068 85 58 60 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 24.97 vpr 63.26 MiB 0.02 6996 -1 -1 1 0.04 -1 -1 30732 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64780 32 32 407 319 1 190 90 17 17 289 -1 unnamed_device 24.4 MiB 0.51 764 63.3 MiB 0.07 0.00 3.16669 -114.068 -3.16669 3.16669 0.88 0.000351661 0.000322656 0.026196 0.0240333 48 2604 41 6.95648e+06 376368 865456. 2994.66 20.96 0.348017 0.306282 28354 207349 -1 1994 25 2138 3394 423325 135655 0 0 423325 135655 3394 2515 0 0 10747 9520 0 0 22618 13114 0 0 3394 2737 0 0 195632 56137 0 0 187540 51632 0 0 3394 0 0 1256 2033 2029 14234 0 0 4.44696 4.44696 -152.971 -4.44696 0 0 1.05005e+06 3633.38 0.41 0.18 0.19 -1 -1 0.41 0.0468477 0.0427268 86 42 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 6.87 vpr 63.48 MiB 0.03 7192 -1 -1 1 0.03 -1 -1 30788 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65000 32 32 496 380 1 222 95 17 17 289 -1 unnamed_device 25.2 MiB 1.09 1064 63.5 MiB 0.08 0.00 3.32935 -122.578 -3.32935 3.32935 0.78 0.000464948 0.00043138 0.033364 0.0308363 46 2844 24 6.95648e+06 448746 828058. 2865.25 2.56 0.194877 0.171599 28066 200906 -1 2384 25 2138 3196 267548 53039 0 0 267548 53039 3196 2393 0 0 9654 8531 0 0 16477 10577 0 0 3196 2558 0 0 117396 14851 0 0 117629 14129 0 0 3196 0 0 1058 1336 1469 10913 0 0 4.03032 4.03032 -151.598 -4.03032 0 0 1.01997e+06 3529.29 0.31 0.12 0.20 -1 -1 0.31 0.0435942 0.038632 104 91 62 32 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 6.83 vpr 62.66 MiB 0.02 6740 -1 -1 1 0.02 -1 -1 30696 -1 -1 11 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64160 31 32 305 250 1 152 74 17 17 289 -1 unnamed_device 24.0 MiB 0.45 824 62.7 MiB 0.08 0.00 2.84796 -103.992 -2.84796 2.84796 1.00 0.000480501 0.000440429 0.0316891 0.0291569 38 2125 28 6.95648e+06 159232 678818. 2348.85 2.97 0.165614 0.145967 26626 170182 -1 1801 23 1471 2080 206781 40768 0 0 206781 40768 2080 1794 0 0 6457 5676 0 0 12427 7406 0 0 2080 1800 0 0 96256 11083 0 0 87481 13009 0 0 2080 0 0 609 598 686 5547 0 0 3.37652 3.37652 -127.393 -3.37652 0 0 902133. 3121.57 0.36 0.09 0.16 -1 -1 0.36 0.0290254 0.0258961 62 24 62 31 31 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 7.52 vpr 63.36 MiB 0.02 6996 -1 -1 1 0.04 -1 -1 30440 -1 -1 27 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64880 31 32 395 311 1 188 90 17 17 289 -1 unnamed_device 24.5 MiB 0.54 760 63.4 MiB 0.11 0.00 3.5328 -117.17 -3.5328 3.5328 1.06 0.000611759 0.000561969 0.0428954 0.0395107 54 2325 28 6.95648e+06 390843 949917. 3286.91 3.37 0.215668 0.192259 29506 232905 -1 1743 21 1714 2697 227694 53319 0 0 227694 53319 2697 1917 0 0 8188 7032 0 0 14939 9266 0 0 2697 2069 0 0 99935 15956 0 0 99238 17079 0 0 2697 0 0 983 1440 1518 10788 0 0 3.86587 3.86587 -137.556 -3.86587 0 0 1.17392e+06 4061.99 0.36 0.10 0.24 -1 -1 0.36 0.0321256 0.0287141 86 59 62 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 10.44 vpr 63.12 MiB 0.03 6968 -1 -1 1 0.03 -1 -1 30484 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64640 32 32 397 313 1 188 90 17 17 289 -1 unnamed_device 24.3 MiB 0.91 817 63.1 MiB 0.08 0.00 2.79476 -96.8542 -2.79476 2.79476 1.06 0.000392333 0.00034784 0.029537 0.0271714 40 2941 43 6.95648e+06 376368 706193. 2443.58 5.91 0.224147 0.198082 26914 176310 -1 2279 23 1834 2989 323768 70764 0 0 323768 70764 2989 2489 0 0 9861 8762 0 0 18734 11848 0 0 2989 2587 0 0 146970 21758 0 0 142225 23320 0 0 2989 0 0 1155 1544 1464 10280 0 0 3.24537 3.24537 -125.996 -3.24537 0 0 926341. 3205.33 0.41 0.14 0.15 -1 -1 0.41 0.0459479 0.0418282 85 54 62 32 62 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 8.49 vpr 63.14 MiB 0.02 6852 -1 -1 1 0.03 -1 -1 30288 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64652 32 32 345 257 1 187 77 17 17 289 -1 unnamed_device 24.4 MiB 0.97 780 63.1 MiB 0.07 0.00 3.03039 -111.418 -3.03039 3.03039 1.05 0.000515276 0.000471406 0.0287328 0.0264814 44 3066 41 6.95648e+06 188184 787024. 2723.27 3.97 0.217154 0.193154 27778 195446 -1 2245 22 1919 3195 300704 63474 0 0 300704 63474 3195 2673 0 0 9585 8692 0 0 18792 11434 0 0 3195 2739 0 0 124341 20208 0 0 141596 17728 0 0 3195 0 0 1276 1729 1474 11378 0 0 4.27176 4.27176 -155.151 -4.27176 0 0 997811. 3452.63 0.41 0.11 0.18 -1 -1 0.41 0.0308327 0.0276229 78 -1 128 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 8.76 vpr 63.45 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 30320 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64972 32 32 424 343 1 182 87 17 17 289 -1 unnamed_device 24.6 MiB 1.61 707 63.4 MiB 0.10 0.00 2.5503 -92.1642 -2.5503 2.5503 1.04 0.000633322 0.000580109 0.0459583 0.0422427 46 2360 49 6.95648e+06 332941 828058. 2865.25 3.50 0.24764 0.219407 28066 200906 -1 1659 22 1599 2386 167087 39185 0 0 167087 39185 2386 1770 0 0 7290 6516 0 0 12414 8084 0 0 2386 1861 0 0 72743 10403 0 0 69868 10551 0 0 2386 0 0 787 719 986 7924 0 0 3.17317 3.17317 -118.706 -3.17317 0 0 1.01997e+06 3529.29 0.47 0.09 0.21 -1 -1 0.47 0.039639 0.0357084 81 81 25 25 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 8.17 vpr 63.34 MiB 0.02 7012 -1 -1 1 0.04 -1 -1 30316 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64856 32 32 395 311 1 186 92 17 17 289 -1 unnamed_device 24.5 MiB 0.83 871 63.3 MiB 0.09 0.00 2.6023 -96.9969 -2.6023 2.6023 1.03 0.000517053 0.000473964 0.0366796 0.0336568 38 2800 29 6.95648e+06 405319 678818. 2348.85 3.88 0.253565 0.226533 26626 170182 -1 2064 21 1484 2287 175778 37824 0 0 175778 37824 2287 1698 0 0 6880 6004 0 0 11372 7566 0 0 2287 1781 0 0 78302 10661 0 0 74650 10114 0 0 2287 0 0 803 899 1176 8367 0 0 3.20917 3.20917 -124.559 -3.20917 0 0 902133. 3121.57 0.37 0.09 0.15 -1 -1 0.37 0.0322127 0.0287279 85 58 64 32 60 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 7.67 vpr 63.33 MiB 0.02 6984 -1 -1 1 0.04 -1 -1 30560 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64848 32 32 405 318 1 192 92 17 17 289 -1 unnamed_device 24.4 MiB 0.51 828 63.3 MiB 0.11 0.00 2.6646 -97.6585 -2.6646 2.6646 0.98 0.000520465 0.000475742 0.0438429 0.0402194 46 2606 44 6.95648e+06 405319 828058. 2865.25 3.56 0.217508 0.192598 28066 200906 -1 1978 24 1940 2941 236548 55728 0 0 236548 55728 2941 2199 0 0 9118 8110 0 0 15613 10179 0 0 2941 2432 0 0 102321 16934 0 0 103614 15874 0 0 2941 0 0 1001 1246 1128 8892 0 0 3.59732 3.59732 -127.6 -3.59732 0 0 1.01997e+06 3529.29 0.43 0.11 0.21 -1 -1 0.43 0.0381935 0.0341246 88 61 63 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 8.01 vpr 63.29 MiB 0.02 6980 -1 -1 1 0.04 -1 -1 30544 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64808 32 32 376 288 1 186 92 17 17 289 -1 unnamed_device 24.4 MiB 0.67 813 63.3 MiB 0.13 0.00 3.16669 -113.478 -3.16669 3.16669 1.05 0.000586404 0.000537692 0.0493984 0.0454018 46 2397 45 6.95648e+06 405319 828058. 2865.25 3.74 0.261641 0.233457 28066 200906 -1 1882 22 2119 3430 248471 55215 0 0 248471 55215 3430 2432 0 0 10311 9405 0 0 20209 11968 0 0 3430 2628 0 0 106651 15103 0 0 104440 13679 0 0 3430 0 0 1311 1501 1330 11478 0 0 4.05706 4.05706 -153.972 -4.05706 0 0 1.01997e+06 3529.29 0.35 0.06 0.20 -1 -1 0.35 0.0196229 0.017462 85 21 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 7.46 vpr 63.17 MiB 0.02 7056 -1 -1 1 0.04 -1 -1 30660 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64688 32 32 407 319 1 189 94 17 17 289 -1 unnamed_device 24.3 MiB 1.00 857 63.2 MiB 0.09 0.00 3.08969 -114.316 -3.08969 3.08969 0.97 0.000572432 0.00051412 0.034516 0.0314815 40 2541 26 6.95648e+06 434271 706193. 2443.58 3.16 0.212492 0.188258 26914 176310 -1 2158 28 2436 3382 390873 98830 0 0 390873 98830 3382 2709 0 0 10788 9456 0 0 20480 12802 0 0 3382 2902 0 0 184964 35831 0 0 167877 35130 0 0 3382 0 0 946 1200 1245 9935 0 0 4.39226 4.39226 -156.189 -4.39226 0 0 926341. 3205.33 0.24 0.09 0.14 -1 -1 0.24 0.0243107 0.0214564 88 50 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 14.62 vpr 63.48 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 30484 -1 -1 25 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65008 31 32 449 367 1 185 88 17 17 289 -1 unnamed_device 24.8 MiB 1.46 967 63.5 MiB 0.10 0.00 3.56395 -115.065 -3.56395 3.56395 1.11 0.000654735 0.000601496 0.0407518 0.037539 38 3435 50 6.95648e+06 361892 678818. 2348.85 9.22 0.256208 0.226535 26626 170182 -1 2565 51 2469 4072 833653 397335 0 0 833653 397335 4072 3425 0 0 10881 9660 0 0 24209 12742 0 0 4072 3608 0 0 404201 185494 0 0 386218 182406 0 0 4072 0 0 1603 2209 2106 14284 0 0 4.63307 4.63307 -152.669 -4.63307 0 0 902133. 3121.57 0.37 0.36 0.17 -1 -1 0.37 0.0736517 0.0649185 84 110 0 0 122 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 8.11 vpr 63.39 MiB 0.03 7048 -1 -1 1 0.04 -1 -1 30428 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64912 32 32 432 346 1 187 77 17 17 289 -1 unnamed_device 24.8 MiB 1.23 873 63.4 MiB 0.11 0.00 3.08604 -106.745 -3.08604 3.08604 1.06 0.000667068 0.000613723 0.0500849 0.0461056 44 3091 48 6.95648e+06 188184 787024. 2723.27 3.13 0.214675 0.189153 27778 195446 -1 2277 39 2818 4923 380188 81175 0 0 380188 81175 4923 4086 0 0 13632 12465 0 0 27325 15336 0 0 4923 4374 0 0 163133 21315 0 0 166252 23599 0 0 4923 0 0 2105 2778 2571 17061 0 0 4.47846 4.47846 -151.269 -4.47846 0 0 997811. 3452.63 0.41 0.17 0.18 -1 -1 0.41 0.0584906 0.0519794 78 86 32 32 94 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 8.61 vpr 62.88 MiB 0.02 6744 -1 -1 1 0.03 -1 -1 30616 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64388 32 32 312 255 1 160 87 17 17 289 -1 unnamed_device 24.2 MiB 0.17 664 62.9 MiB 0.09 0.00 2.6834 -96.1081 -2.6834 2.6834 1.08 0.000505135 0.000467042 0.0323127 0.0297008 40 2324 48 6.95648e+06 332941 706193. 2443.58 4.72 0.195397 0.173126 26914 176310 -1 1888 43 2132 3448 636317 248195 0 0 636317 248195 3448 2865 0 0 10648 9787 0 0 26421 14197 0 0 3448 3004 0 0 306829 111883 0 0 285523 106459 0 0 3448 0 0 1316 2003 1989 13426 0 0 3.11682 3.11682 -123.028 -3.11682 0 0 926341. 3205.33 0.38 0.24 0.19 -1 -1 0.38 0.0472149 0.0419851 71 20 63 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 7.53 vpr 63.10 MiB 0.02 6952 -1 -1 1 0.03 -1 -1 30376 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64616 32 32 370 314 1 158 74 17 17 289 -1 unnamed_device 24.4 MiB 1.17 588 63.1 MiB 0.08 0.00 2.5393 -92.4485 -2.5393 2.5393 1.06 0.000551775 0.000508039 0.0339596 0.0313114 44 2333 46 6.95648e+06 144757 787024. 2723.27 2.93 0.218313 0.193462 27778 195446 -1 1627 21 1306 1929 170509 37599 0 0 170509 37599 1929 1671 0 0 6099 5439 0 0 10237 6865 0 0 1929 1718 0 0 81643 9498 0 0 68672 12408 0 0 1929 0 0 623 622 569 5107 0 0 3.40052 3.40052 -124.772 -3.40052 0 0 997811. 3452.63 0.34 0.08 0.12 -1 -1 0.34 0.0285946 0.0251532 63 91 0 0 94 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 11.13 vpr 63.39 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 30708 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64916 32 32 469 351 1 223 94 17 17 289 -1 unnamed_device 25.1 MiB 0.64 1063 63.4 MiB 0.12 0.00 3.77644 -134.137 -3.77644 3.77644 0.91 0.000691932 0.000635954 0.0464467 0.0427247 46 3263 32 6.95648e+06 434271 828058. 2865.25 6.98 0.289029 0.25907 28066 200906 -1 2566 23 2636 4118 314377 66839 0 0 314377 66839 4118 3212 0 0 12239 10977 0 0 21506 13569 0 0 4118 3478 0 0 139772 17177 0 0 132624 18426 0 0 4118 0 0 1482 1643 1659 13106 0 0 5.44061 5.44061 -181.801 -5.44061 0 0 1.01997e+06 3529.29 0.42 0.13 0.16 -1 -1 0.42 0.0430612 0.0387745 103 53 96 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 6.71 vpr 63.50 MiB 0.03 6984 -1 -1 1 0.03 -1 -1 30376 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65020 32 32 368 284 1 186 88 17 17 289 -1 unnamed_device 24.7 MiB 0.98 748 63.5 MiB 0.09 0.00 2.6445 -97.9601 -2.6445 2.6445 1.08 0.000363567 0.00033587 0.0369501 0.0339596 44 2159 32 6.95648e+06 347416 787024. 2723.27 2.06 0.156043 0.137868 27778 195446 -1 1582 20 1494 1905 140332 31791 0 0 140332 31791 1905 1560 0 0 6191 5367 0 0 9969 7084 0 0 1905 1613 0 0 66446 7215 0 0 53916 8952 0 0 1905 0 0 411 335 431 4272 0 0 3.09487 3.09487 -118.475 -3.09487 0 0 997811. 3452.63 0.43 0.08 0.17 -1 -1 0.43 0.0322438 0.0288213 83 31 92 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 7.81 vpr 62.94 MiB 0.02 6848 -1 -1 1 0.03 -1 -1 30304 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64452 30 32 296 244 1 152 81 17 17 289 -1 unnamed_device 24.1 MiB 0.39 564 62.9 MiB 0.09 0.00 2.6426 -90.0278 -2.6426 2.6426 0.97 0.000566629 0.000523884 0.0346977 0.0318659 38 2332 36 6.95648e+06 275038 678818. 2348.85 4.06 0.169368 0.149225 26626 170182 -1 1617 20 1320 1856 148253 32520 0 0 148253 32520 1856 1568 0 0 5609 4837 0 0 8966 6012 0 0 1856 1673 0 0 61643 9649 0 0 68323 8781 0 0 1856 0 0 536 656 563 5255 0 0 3.15597 3.15597 -117.821 -3.15597 0 0 902133. 3121.57 0.36 0.07 0.16 -1 -1 0.36 0.0231638 0.0206138 65 29 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 10.13 vpr 63.51 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 30840 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65036 32 32 531 413 1 225 95 17 17 289 -1 unnamed_device 25.0 MiB 1.97 1039 63.5 MiB 0.14 0.00 3.74344 -131.999 -3.74344 3.74344 0.95 0.000776828 0.000713741 0.0550143 0.0505049 46 3114 31 6.95648e+06 448746 828058. 2865.25 4.59 0.260321 0.23161 28066 200906 -1 2396 23 2633 3878 279617 58071 0 0 279617 58071 3878 2862 0 0 11736 10431 0 0 20691 13244 0 0 3878 3041 0 0 123528 13527 0 0 115906 14966 0 0 3878 0 0 1245 1654 1753 13634 0 0 4.85141 4.85141 -172.761 -4.85141 0 0 1.01997e+06 3529.29 0.43 0.13 0.21 -1 -1 0.43 0.0477846 0.0428113 103 109 32 32 128 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 15.96 vpr 63.16 MiB 0.03 6888 -1 -1 1 0.04 -1 -1 30492 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64676 32 32 376 288 1 187 92 17 17 289 -1 unnamed_device 24.3 MiB 1.06 840 63.2 MiB 0.13 0.00 3.18389 -115.688 -3.18389 3.18389 0.86 0.000619987 0.000570124 0.0494449 0.0455117 40 2621 46 6.95648e+06 405319 706193. 2443.58 11.86 0.339799 0.299186 26914 176310 -1 2256 20 1913 2643 306764 68573 0 0 306764 68573 2643 2177 0 0 9375 8279 0 0 17365 11557 0 0 2643 2286 0 0 134218 22297 0 0 140520 21977 0 0 2643 0 0 730 1050 944 7913 0 0 4.33886 4.33886 -160.491 -4.33886 0 0 926341. 3205.33 0.37 0.12 0.16 -1 -1 0.37 0.0335415 0.0301775 86 31 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 7.63 vpr 62.71 MiB 0.02 6708 -1 -1 1 0.03 -1 -1 30232 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64216 32 32 283 225 1 158 88 17 17 289 -1 unnamed_device 24.3 MiB 0.32 588 62.7 MiB 0.09 0.00 2.45795 -91.5353 -2.45795 2.45795 1.04 0.000456419 0.000417847 0.0356989 0.0326346 50 1930 46 6.95648e+06 347416 902133. 3121.57 3.77 0.194054 0.17179 28642 213929 -1 1568 27 1646 2642 338525 100049 0 0 338525 100049 2642 2054 0 0 8304 7297 0 0 16951 9765 0 0 2642 2204 0 0 156703 37867 0 0 151283 40862 0 0 2642 0 0 996 1469 1248 9877 0 0 3.15742 3.15742 -119.659 -3.15742 0 0 1.08113e+06 3740.92 0.34 0.15 0.18 -1 -1 0.34 0.0360886 0.032215 70 -1 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 8.86 vpr 63.30 MiB 0.03 6920 -1 -1 1 0.04 -1 -1 30960 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64816 32 32 438 320 1 225 95 17 17 289 -1 unnamed_device 24.8 MiB 0.53 952 63.3 MiB 0.14 0.00 3.77644 -131.905 -3.77644 3.77644 1.10 0.00070679 0.000652308 0.0541541 0.0500641 48 3185 29 6.95648e+06 448746 865456. 2994.66 4.35 0.236405 0.210769 28354 207349 -1 2412 26 2830 4307 449053 88719 0 0 449053 88719 4307 3245 0 0 13743 12126 0 0 28084 16860 0 0 4307 3432 0 0 208446 25787 0 0 190166 27269 0 0 4307 0 0 1477 2739 2428 18487 0 0 5.35451 5.35451 -179.039 -5.35451 0 0 1.05005e+06 3633.38 0.45 0.17 0.22 -1 -1 0.45 0.0492682 0.0441737 105 26 128 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 6.94 vpr 62.68 MiB 0.02 6788 -1 -1 1 0.03 -1 -1 30316 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64184 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 24.1 MiB 0.37 773 62.7 MiB 0.08 0.00 2.42065 -97.1473 -2.42065 2.42065 1.06 0.000475707 0.000436881 0.0337011 0.0310654 38 2272 35 6.95648e+06 144757 678818. 2348.85 3.14 0.153346 0.135415 26626 170182 -1 1883 21 1455 2048 187871 39689 0 0 187871 39689 2048 1819 0 0 6450 5674 0 0 10761 7162 0 0 2048 1843 0 0 83934 11357 0 0 82630 11834 0 0 2048 0 0 593 564 688 5427 0 0 3.28122 3.28122 -130.998 -3.28122 0 0 902133. 3121.57 0.37 0.08 0.16 -1 -1 0.37 0.0278159 0.0248605 62 -1 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 6.41 vpr 62.69 MiB 0.02 6740 -1 -1 1 0.04 -1 -1 30436 -1 -1 21 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64192 30 32 296 244 1 151 83 17 17 289 -1 unnamed_device 24.0 MiB 0.89 699 62.7 MiB 0.10 0.00 2.5833 -90.0474 -2.5833 2.5833 0.95 0.000489927 0.000449608 0.0385011 0.0354651 46 1772 23 6.95648e+06 303989 828058. 2865.25 2.19 0.147958 0.130787 28066 200906 -1 1460 20 980 1508 108465 24028 0 0 108465 24028 1508 1158 0 0 4842 4184 0 0 7769 5326 0 0 1508 1227 0 0 44689 6053 0 0 48149 6080 0 0 1508 0 0 528 652 531 4945 0 0 3.04787 3.04787 -112.974 -3.04787 0 0 1.01997e+06 3529.29 0.33 0.06 0.12 -1 -1 0.33 0.024767 0.0221387 65 29 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 10.07 vpr 63.49 MiB 0.02 7184 -1 -1 1 0.03 -1 -1 30296 -1 -1 20 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65012 29 32 393 319 1 174 81 17 17 289 -1 unnamed_device 24.6 MiB 1.48 782 63.5 MiB 0.12 0.00 2.81496 -90.9115 -2.81496 2.81496 1.05 0.000598044 0.000547986 0.0489306 0.0450727 38 2710 35 6.95648e+06 289514 678818. 2348.85 5.04 0.206765 0.183657 26626 170182 -1 2126 20 1661 2570 203917 43305 0 0 203917 43305 2570 2059 0 0 7726 6835 0 0 13087 8480 0 0 2570 2328 0 0 88055 12070 0 0 89909 11533 0 0 2570 0 0 909 956 919 7726 0 0 3.16997 3.16997 -114.947 -3.16997 0 0 902133. 3121.57 0.36 0.09 0.16 -1 -1 0.36 0.0356468 0.032277 77 81 29 29 85 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 7.41 vpr 63.17 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 30648 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64688 32 32 407 319 1 186 77 17 17 289 -1 unnamed_device 24.3 MiB 0.88 711 63.2 MiB 0.09 0.00 3.15569 -115.348 -3.15569 3.15569 1.00 0.000597324 0.000547002 0.0417792 0.038441 44 2602 41 6.95648e+06 188184 787024. 2723.27 3.04 0.233785 0.207346 27778 195446 -1 1838 66 4276 5916 592191 126432 0 0 592191 126432 5916 5298 0 0 16562 14530 0 0 33570 18837 0 0 5916 5537 0 0 274326 37845 0 0 255901 44385 0 0 5916 0 0 1640 1959 2993 16790 0 0 4.53926 4.53926 -160.992 -4.53926 0 0 997811. 3452.63 0.42 0.24 0.20 -1 -1 0.42 0.0766399 0.0669886 78 53 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 8.21 vpr 63.44 MiB 0.03 7052 -1 -1 1 0.04 -1 -1 30576 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64960 32 32 407 319 1 190 89 17 17 289 -1 unnamed_device 24.6 MiB 1.49 793 63.4 MiB 0.13 0.00 3.12869 -112.99 -3.12869 3.12869 1.02 0.000623494 0.000567217 0.0511837 0.0469067 50 2557 34 6.95648e+06 361892 902133. 3121.57 3.49 0.24215 0.215495 28642 213929 -1 2210 31 2505 3889 629157 188671 0 0 629157 188671 3889 3206 0 0 11700 10325 0 0 26360 14249 0 0 3889 3419 0 0 279625 78755 0 0 303694 78717 0 0 3889 0 0 1384 1804 1862 12977 0 0 3.97896 3.97896 -149.077 -3.97896 0 0 1.08113e+06 3740.92 0.29 0.14 0.16 -1 -1 0.29 0.0293582 0.0261617 85 55 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 7.27 vpr 63.06 MiB 0.02 6752 -1 -1 1 0.03 -1 -1 30452 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64576 32 32 345 287 1 155 88 17 17 289 -1 unnamed_device 24.4 MiB 1.14 569 63.1 MiB 0.05 0.00 2.50195 -92.4518 -2.50195 2.50195 0.96 0.000352261 0.000311635 0.0207826 0.0191732 60 1547 47 6.95648e+06 347416 1.01997e+06 3529.29 2.56 0.16372 0.144043 30658 258169 -1 1321 19 1266 1915 146617 35358 0 0 146617 35358 1915 1436 0 0 6175 5400 0 0 10799 7195 0 0 1915 1530 0 0 67258 7806 0 0 58555 11991 0 0 1915 0 0 649 818 701 6475 0 0 3.13582 3.13582 -113.672 -3.13582 0 0 1.27783e+06 4421.56 0.56 0.07 0.28 -1 -1 0.56 0.0253875 0.0226704 69 55 32 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 7.47 vpr 62.98 MiB 0.02 6948 -1 -1 1 0.03 -1 -1 30392 -1 -1 10 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64492 31 32 353 302 1 147 73 17 17 289 -1 unnamed_device 24.4 MiB 1.70 555 63.0 MiB 0.09 0.00 2.80096 -90.6898 -2.80096 2.80096 0.89 0.000537856 0.000494301 0.0410717 0.0377367 44 1842 30 6.95648e+06 144757 787024. 2723.27 2.44 0.183289 0.161371 27778 195446 -1 1275 29 1333 2014 135175 32465 0 0 135175 32465 2014 1590 0 0 6112 5480 0 0 11897 7426 0 0 2014 1657 0 0 53370 8112 0 0 59768 8200 0 0 2014 0 0 681 706 485 5336 0 0 3.03837 3.03837 -108.505 -3.03837 0 0 997811. 3452.63 0.42 0.08 0.20 -1 -1 0.42 0.033012 0.0288828 59 82 0 0 89 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 7.40 vpr 63.29 MiB 0.02 6960 -1 -1 1 0.03 -1 -1 30404 -1 -1 22 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64804 30 32 374 297 1 178 84 17 17 289 -1 unnamed_device 24.5 MiB 0.98 748 63.3 MiB 0.11 0.00 2.6866 -93.5828 -2.6866 2.6866 1.08 0.000572912 0.000526245 0.0433544 0.039959 40 2297 46 6.95648e+06 318465 706193. 2443.58 2.85 0.237601 0.211897 26914 176310 -1 1963 20 1503 2117 185320 40512 0 0 185320 40512 2117 1698 0 0 7213 6325 0 0 12826 8676 0 0 2117 1788 0 0 87183 10145 0 0 73864 11880 0 0 2117 0 0 614 738 794 6453 0 0 3.32827 3.32827 -126.37 -3.32827 0 0 926341. 3205.33 0.37 0.09 0.18 -1 -1 0.37 0.0315987 0.0283276 79 52 60 30 57 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 10.30 vpr 63.16 MiB 0.03 7032 -1 -1 1 0.04 -1 -1 30528 -1 -1 16 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64672 28 32 332 260 1 172 76 17 17 289 -1 unnamed_device 24.4 MiB 1.02 688 63.2 MiB 0.08 0.00 3.68925 -107.014 -3.68925 3.68925 0.91 0.000568154 0.000509621 0.0371013 0.0342158 38 2570 38 6.95648e+06 231611 678818. 2348.85 5.88 0.204177 0.180822 26626 170182 -1 1870 19 1580 2339 188479 41093 0 0 188479 41093 2339 1929 0 0 7117 6149 0 0 11563 7747 0 0 2339 2046 0 0 79089 12069 0 0 86032 11153 0 0 2339 0 0 759 1176 862 7942 0 0 4.22591 4.22591 -137.049 -4.22591 0 0 902133. 3121.57 0.35 0.08 0.17 -1 -1 0.35 0.0294705 0.0264713 74 20 84 28 28 28 -fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 6.58 vpr 62.91 MiB 0.02 6932 -1 -1 1 0.03 -1 -1 30080 -1 -1 12 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64420 30 32 325 273 1 151 74 17 17 289 -1 unnamed_device 24.1 MiB 0.87 595 62.9 MiB 0.08 0.00 2.5905 -90.2125 -2.5905 2.5905 0.97 0.000432051 0.000395072 0.0321596 0.029548 46 1697 21 6.95648e+06 173708 828058. 2865.25 2.39 0.173034 0.153111 28066 200906 -1 1360 22 1354 1843 138912 31596 0 0 138912 31596 1843 1616 0 0 5710 5087 0 0 9252 6356 0 0 1843 1700 0 0 65104 7412 0 0 55160 9425 0 0 1843 0 0 489 315 512 4263 0 0 2.84547 2.84547 -110.642 -2.84547 0 0 1.01997e+06 3529.29 0.39 0.07 0.17 -1 -1 0.39 0.0261523 0.0231637 61 58 30 30 60 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 8.85 vpr 62.95 MiB 0.02 6960 -1 -1 1 0.04 -1 -1 30432 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64464 32 32 361 308 1 152 74 17 17 289 -1 unnamed_device 24.1 MiB 1.35 647 63.0 MiB 0.09 0.00 2.5753 -88.177 -2.5753 2.5753 1.02 0.000547336 0.000501859 0.0383166 0.0351467 44 2346 41 6.95648e+06 144757 787024. 2723.27 3.92 0.228794 0.203379 27778 195446 -1 1579 22 1205 1796 149391 35383 0 0 149391 35383 1796 1459 0 0 5757 5058 0 0 9479 6527 0 0 1796 1476 0 0 63066 10260 0 0 67497 10603 0 0 1796 0 0 591 689 501 4923 0 0 2.87742 2.87742 -114.516 -2.87742 0 0 997811. 3452.63 0.43 0.08 0.21 -1 -1 0.43 0.0305227 0.0271819 60 88 0 0 91 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 6.64 vpr 63.33 MiB 0.02 6900 -1 -1 1 0.03 -1 -1 30204 -1 -1 25 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64852 31 32 335 251 1 188 88 17 17 289 -1 unnamed_device 24.5 MiB 0.17 774 63.3 MiB 0.09 0.00 3.37335 -115.517 -3.37335 3.37335 1.05 0.000561281 0.000517412 0.0329267 0.0304542 60 2192 25 6.95648e+06 361892 1.01997e+06 3529.29 2.80 0.170057 0.151147 30658 258169 -1 1677 22 1679 2569 202745 47926 0 0 202745 47926 2569 2041 0 0 8097 7044 0 0 15796 9615 0 0 2569 2114 0 0 82702 13978 0 0 91012 13134 0 0 2569 0 0 890 1072 589 7452 0 0 4.27772 4.27772 -142.754 -4.27772 0 0 1.27783e+06 4421.56 0.44 0.09 0.21 -1 -1 0.44 0.0318833 0.0285409 86 -1 124 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 13.59 vpr 63.16 MiB 0.03 6968 -1 -1 1 0.04 -1 -1 30636 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64676 32 32 407 319 1 189 91 17 17 289 -1 unnamed_device 24.3 MiB 1.37 961 63.2 MiB 0.14 0.00 3.15569 -119.151 -3.15569 3.15569 1.05 0.000621395 0.000573377 0.0549125 0.0504774 38 3418 50 6.95648e+06 390843 678818. 2348.85 8.82 0.258312 0.229577 26626 170182 -1 2500 23 2034 3325 327444 64789 0 0 327444 64789 3325 2512 0 0 9399 8381 0 0 17986 10437 0 0 3325 2663 0 0 151913 19993 0 0 141496 20803 0 0 3325 0 0 1291 1588 1479 11531 0 0 4.10726 4.10726 -157.344 -4.10726 0 0 902133. 3121.57 0.36 0.12 0.18 -1 -1 0.36 0.0346775 0.0307916 86 57 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 23.38 vpr 63.12 MiB 0.03 7048 -1 -1 1 0.04 -1 -1 30444 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64636 32 32 407 319 1 187 90 17 17 289 -1 unnamed_device 24.2 MiB 1.74 851 63.1 MiB 0.06 0.00 3.18689 -113.996 -3.18689 3.18689 0.89 0.000363342 0.000334734 0.0228053 0.02107 48 2697 26 6.95648e+06 376368 865456. 2994.66 18.08 0.387153 0.340206 28354 207349 -1 2157 21 1967 3116 309103 64811 0 0 309103 64811 3116 2500 0 0 10075 8907 0 0 20685 12764 0 0 3116 2604 0 0 130527 19864 0 0 141584 18172 0 0 3116 0 0 1149 1523 1074 10266 0 0 4.08826 4.08826 -151.972 -4.08826 0 0 1.05005e+06 3633.38 0.45 0.11 0.22 -1 -1 0.45 0.033278 0.0298744 85 62 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 9.00 vpr 63.33 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 30488 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64848 32 32 399 315 1 188 91 17 17 289 -1 unnamed_device 24.4 MiB 1.08 810 63.3 MiB 0.12 0.00 3.23634 -109.476 -3.23634 3.23634 1.05 0.000640425 0.000589267 0.0487233 0.0447549 48 2768 46 6.95648e+06 390843 865456. 2994.66 4.35 0.23871 0.211175 28354 207349 -1 2106 21 1658 2741 309324 75969 0 0 309324 75969 2741 2099 0 0 8972 8158 0 0 18493 11260 0 0 2741 2330 0 0 138077 26132 0 0 138300 25990 0 0 2741 0 0 1083 1223 1395 9674 0 0 4.18391 4.18391 -140.753 -4.18391 0 0 1.05005e+06 3633.38 0.45 0.11 0.21 -1 -1 0.45 0.0317249 0.0283061 86 62 60 30 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 7.32 vpr 62.82 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 30384 -1 -1 12 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64332 30 32 296 244 1 150 74 17 17 289 -1 unnamed_device 24.2 MiB 0.57 601 62.8 MiB 0.07 0.00 2.79296 -91.0963 -2.79296 2.79296 1.10 0.000438531 0.000401186 0.0275583 0.0253733 52 1776 41 6.95648e+06 173708 926341. 3205.33 3.27 0.179051 0.157998 29218 227130 -1 1253 21 1151 1808 138297 33970 0 0 138297 33970 1808 1373 0 0 5795 5103 0 0 10431 6926 0 0 1808 1502 0 0 63202 9166 0 0 55253 9900 0 0 1808 0 0 657 573 508 5040 0 0 3.01162 3.01162 -104.236 -3.01162 0 0 1.14541e+06 3963.36 0.39 0.04 0.24 -1 -1 0.39 0.0154907 0.013751 62 29 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 8.01 vpr 63.24 MiB 0.03 7020 -1 -1 1 0.03 -1 -1 30376 -1 -1 15 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64756 30 32 383 303 1 183 77 17 17 289 -1 unnamed_device 24.4 MiB 0.80 700 63.2 MiB 0.10 0.00 3.3885 -110.958 -3.3885 3.3885 0.98 0.000584644 0.000536424 0.0456339 0.0419988 38 2681 47 6.95648e+06 217135 678818. 2348.85 3.80 0.188644 0.166539 26626 170182 -1 2042 31 2401 3312 367333 103569 0 0 367333 103569 3312 3028 0 0 9914 8616 0 0 17638 11397 0 0 3312 3081 0 0 168850 40806 0 0 164307 36641 0 0 3312 0 0 911 1075 1109 8517 0 0 4.21682 4.21682 -150.939 -4.21682 0 0 902133. 3121.57 0.38 0.15 0.17 -1 -1 0.38 0.0432093 0.0381913 78 58 60 30 60 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 8.93 vpr 63.72 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 30836 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65252 32 32 469 381 1 190 95 17 17 289 -1 unnamed_device 25.0 MiB 1.54 903 63.7 MiB 0.13 0.00 3.17289 -116.806 -3.17289 3.17289 1.07 0.000693959 0.000638521 0.050898 0.0468725 44 2767 28 6.95648e+06 448746 787024. 2723.27 3.62 0.207043 0.183737 27778 195446 -1 2208 21 1903 3015 254499 51857 0 0 254499 51857 3015 2103 0 0 9248 8246 0 0 17037 10688 0 0 3015 2306 0 0 114201 14096 0 0 107983 14418 0 0 3015 0 0 1112 1618 1644 12467 0 0 4.45316 4.45316 -156.532 -4.45316 0 0 997811. 3452.63 0.43 0.12 0.19 -1 -1 0.43 0.044121 0.0399874 88 106 0 0 128 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 8.12 vpr 63.47 MiB 0.03 7148 -1 -1 1 0.04 -1 -1 30544 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64992 31 32 425 341 1 182 85 17 17 289 -1 unnamed_device 24.6 MiB 1.14 792 63.5 MiB 0.11 0.00 3.3683 -112.062 -3.3683 3.3683 1.07 0.000626641 0.000574446 0.0479352 0.0441041 46 2503 40 6.95648e+06 318465 828058. 2865.25 3.50 0.234456 0.208494 28066 200906 -1 1913 22 1760 2647 197311 45192 0 0 197311 45192 2647 2063 0 0 8166 7348 0 0 14263 9159 0 0 2647 2241 0 0 84083 11990 0 0 85505 12391 0 0 2647 0 0 887 794 978 7764 0 0 4.23372 4.23372 -143.154 -4.23372 0 0 1.01997e+06 3529.29 0.27 0.06 0.16 -1 -1 0.27 0.0217865 0.0194102 81 79 31 31 93 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 9.48 vpr 63.31 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 30544 -1 -1 18 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64828 30 32 404 328 1 173 80 17 17 289 -1 unnamed_device 24.5 MiB 1.64 832 63.3 MiB 0.11 0.00 2.82586 -96.8802 -2.82586 2.82586 1.19 0.000541524 0.000478815 0.0484039 0.0441577 38 2682 30 6.95648e+06 260562 678818. 2348.85 4.24 0.242119 0.215768 26626 170182 -1 2138 22 1587 2389 226474 45974 0 0 226474 45974 2389 1969 0 0 7482 6631 0 0 12605 8359 0 0 2389 2189 0 0 102107 13245 0 0 99502 13581 0 0 2389 0 0 802 816 936 6863 0 0 3.78877 3.78877 -130.203 -3.78877 0 0 902133. 3121.57 0.36 0.09 0.17 -1 -1 0.36 0.0317783 0.0282398 75 83 26 26 90 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 8.65 vpr 63.38 MiB 0.03 7020 -1 -1 1 0.04 -1 -1 30508 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64900 32 32 407 319 1 193 77 17 17 289 -1 unnamed_device 24.5 MiB 1.58 790 63.4 MiB 0.12 0.00 3.03339 -110.592 -3.03339 3.03339 1.07 0.000607238 0.000554497 0.0538854 0.0496225 62 2264 41 6.95648e+06 188184 1.05005e+06 3633.38 3.12 0.227022 0.201985 30946 263737 -1 1607 21 1757 2865 210218 49051 0 0 210218 49051 2865 2248 0 0 8933 8032 0 0 16201 10276 0 0 2865 2345 0 0 94682 11521 0 0 84672 14629 0 0 2865 0 0 1108 1011 828 8338 0 0 3.52726 3.52726 -131.913 -3.52726 0 0 1.30136e+06 4502.97 0.55 0.10 0.28 -1 -1 0.55 0.0349081 0.0312046 81 58 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 8.59 vpr 63.39 MiB 0.03 7176 -1 -1 1 0.03 -1 -1 30340 -1 -1 22 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64912 29 32 387 316 1 171 83 17 17 289 -1 unnamed_device 24.5 MiB 1.21 679 63.4 MiB 0.09 0.00 2.6676 -86.1022 -2.6676 2.6676 1.13 0.000587426 0.000538599 0.0381939 0.035138 38 2263 28 6.95648e+06 318465 678818. 2348.85 3.79 0.186644 0.164637 26626 170182 -1 1688 22 1588 2352 169454 37836 0 0 169454 37836 2352 1809 0 0 7238 6379 0 0 12349 8099 0 0 2352 1904 0 0 75114 9221 0 0 70049 10424 0 0 2352 0 0 764 842 767 6513 0 0 3.60717 3.60717 -117.065 -3.60717 0 0 902133. 3121.57 0.36 0.08 0.13 -1 -1 0.36 0.0330942 0.0296022 77 81 26 26 85 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 7.26 vpr 62.55 MiB 0.02 6728 -1 -1 1 0.03 -1 -1 30304 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64056 32 32 283 225 1 154 74 17 17 289 -1 unnamed_device 24.0 MiB 1.11 566 62.6 MiB 0.05 0.00 2.43165 -92.5942 -2.43165 2.43165 1.10 0.000290303 0.00026742 0.0224347 0.020761 44 2320 30 6.95648e+06 144757 787024. 2723.27 2.58 0.162116 0.143129 27778 195446 -1 1598 21 1332 2036 173434 40099 0 0 173434 40099 2036 1651 0 0 6257 5618 0 0 11862 7393 0 0 2036 1721 0 0 73535 12514 0 0 77708 11202 0 0 2036 0 0 704 819 615 5702 0 0 3.88442 3.88442 -138.149 -3.88442 0 0 997811. 3452.63 0.43 0.08 0.15 -1 -1 0.43 0.0265518 0.0237385 61 -1 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 11.79 vpr 63.44 MiB 0.02 6980 -1 -1 1 0.04 -1 -1 30400 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64960 32 32 407 319 1 187 88 17 17 289 -1 unnamed_device 24.7 MiB 3.67 1019 63.4 MiB 0.13 0.00 3.14769 -120.356 -3.14769 3.14769 1.22 0.000623929 0.000572794 0.0511771 0.0471654 44 2739 34 6.95648e+06 347416 787024. 2723.27 4.27 0.242828 0.216429 27778 195446 -1 2286 21 1855 2821 260051 51037 0 0 260051 51037 2821 2321 0 0 8940 8043 0 0 16590 10340 0 0 2821 2465 0 0 122072 12638 0 0 106807 15230 0 0 2821 0 0 966 1029 892 8442 0 0 3.97396 3.97396 -149.878 -3.97396 0 0 997811. 3452.63 0.43 0.10 0.19 -1 -1 0.43 0.0343308 0.0308113 84 62 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 7.31 vpr 63.46 MiB 0.03 7052 -1 -1 1 0.04 -1 -1 30472 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64984 32 32 407 319 1 193 77 17 17 289 -1 unnamed_device 24.6 MiB 0.61 811 63.5 MiB 0.13 0.00 3.05859 -113.458 -3.05859 3.05859 1.11 0.000669693 0.000616003 0.0600527 0.0553863 62 2090 35 6.95648e+06 188184 1.05005e+06 3633.38 2.76 0.257885 0.230417 30946 263737 -1 1622 20 1583 2170 156634 35709 0 0 156634 35709 2170 1880 0 0 7107 6152 0 0 11917 8049 0 0 2170 1939 0 0 61443 9287 0 0 71827 8402 0 0 2170 0 0 587 434 476 5071 0 0 3.98196 3.98196 -141.412 -3.98196 0 0 1.30136e+06 4502.97 0.54 0.08 0.25 -1 -1 0.54 0.0320036 0.0285722 81 62 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 13.02 vpr 62.99 MiB 0.02 6900 -1 -1 1 0.03 -1 -1 30432 -1 -1 11 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64504 32 32 315 267 1 152 75 17 17 289 -1 unnamed_device 24.2 MiB 1.22 621 63.0 MiB 0.08 0.00 2.75376 -89.6353 -2.75376 2.75376 1.08 0.00049712 0.000455216 0.031882 0.0293965 40 2074 24 6.95648e+06 159232 706193. 2443.58 8.50 0.233659 0.203938 26914 176310 -1 1704 21 1246 1740 162838 37947 0 0 162838 37947 1740 1568 0 0 5987 5185 0 0 10661 7197 0 0 1740 1605 0 0 70559 11309 0 0 72151 11083 0 0 1740 0 0 494 390 671 4721 0 0 3.52107 3.52107 -123.953 -3.52107 0 0 926341. 3205.33 0.25 0.05 0.12 -1 -1 0.25 0.0166554 0.0147638 60 47 32 32 54 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 7.63 vpr 62.59 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 30300 -1 -1 11 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64088 31 32 275 220 1 154 74 17 17 289 -1 unnamed_device 24.0 MiB 0.35 784 62.6 MiB 0.07 0.00 2.6756 -103.137 -2.6756 2.6756 1.10 0.000485916 0.000446124 0.0284775 0.0262566 36 2202 31 6.95648e+06 159232 648988. 2245.63 3.78 0.158882 0.140377 26050 158493 -1 1930 23 1599 2225 234454 47145 0 0 234454 47145 2225 1966 0 0 6992 6127 0 0 11921 7862 0 0 2225 2003 0 0 108771 14188 0 0 102320 14999 0 0 2225 0 0 626 610 679 5624 0 0 3.18412 3.18412 -129.145 -3.18412 0 0 828058. 2865.25 0.34 0.10 0.12 -1 -1 0.34 0.0299348 0.026737 63 -1 93 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 7.59 vpr 63.30 MiB 0.03 6960 -1 -1 1 0.04 -1 -1 30436 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64820 32 32 381 303 1 180 83 17 17 289 -1 unnamed_device 24.5 MiB 1.26 731 63.3 MiB 0.11 0.00 3.07684 -104.371 -3.07684 3.07684 1.03 0.000552208 0.00050497 0.0462959 0.0424272 62 1907 24 6.95648e+06 275038 1.05005e+06 3633.38 2.54 0.183023 0.161048 30946 263737 -1 1378 20 1381 1819 102870 24694 0 0 102870 24694 1819 1504 0 0 6040 5187 0 0 9316 6654 0 0 1819 1578 0 0 38809 5258 0 0 45067 4513 0 0 1819 0 0 438 363 443 4269 0 0 3.55106 3.55106 -125.365 -3.55106 0 0 1.30136e+06 4502.97 0.55 0.07 0.28 -1 -1 0.55 0.0298083 0.026592 78 56 60 32 58 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 7.49 vpr 63.46 MiB 0.02 7108 -1 -1 1 0.03 -1 -1 30360 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64988 32 32 406 330 1 182 82 17 17 289 -1 unnamed_device 24.6 MiB 0.67 805 63.5 MiB 0.11 0.00 3.18505 -105.843 -3.18505 3.18505 0.94 0.000613516 0.000562411 0.0480209 0.0441252 54 2401 37 6.95648e+06 260562 949917. 3286.91 3.18 0.214712 0.190059 29506 232905 -1 1800 21 1544 2212 170147 41949 0 0 170147 41949 2212 1852 0 0 7247 6285 0 0 11481 8136 0 0 2212 1987 0 0 70545 12230 0 0 76450 11459 0 0 2212 0 0 668 812 636 6036 0 0 3.83482 3.83482 -134.756 -3.83482 0 0 1.17392e+06 4061.99 0.48 0.06 0.25 -1 -1 0.48 0.0215337 0.019241 78 81 28 28 88 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 8.02 vpr 63.39 MiB 0.02 6992 -1 -1 1 0.04 -1 -1 30480 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64912 32 32 399 285 1 218 91 17 17 289 -1 unnamed_device 24.7 MiB 0.45 1080 63.4 MiB 0.07 0.00 3.73059 -130.235 -3.73059 3.73059 1.03 0.000635723 0.000582105 0.0219228 0.0201166 46 3179 40 6.95648e+06 390843 828058. 2865.25 4.09 0.194171 0.171181 28066 200906 -1 2395 23 2088 3349 253676 58044 0 0 253676 58044 3349 2581 0 0 10421 9220 0 0 18604 11865 0 0 3349 2754 0 0 106611 15317 0 0 111342 16307 0 0 3349 0 0 1261 1322 1342 10802 0 0 4.59606 4.59606 -166.097 -4.59606 0 0 1.01997e+06 3529.29 0.39 0.10 0.17 -1 -1 0.39 0.0361707 0.0323456 100 -1 156 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 8.68 vpr 62.82 MiB 0.02 7024 -1 -1 1 0.03 -1 -1 30596 -1 -1 18 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64324 30 32 371 295 1 176 80 17 17 289 -1 unnamed_device 24.3 MiB 1.08 723 62.8 MiB 0.12 0.00 2.88706 -94.8461 -2.88706 2.88706 0.90 0.000581714 0.000535271 0.0531806 0.0489842 46 2267 50 6.95648e+06 260562 828058. 2865.25 4.12 0.208123 0.184524 28066 200906 -1 1646 20 1559 2316 168060 40982 0 0 168060 40982 2316 1743 0 0 7229 6403 0 0 12499 8247 0 0 2316 1871 0 0 70948 10836 0 0 72752 11882 0 0 2316 0 0 757 922 770 6623 0 0 3.30327 3.30327 -119.606 -3.30327 0 0 1.01997e+06 3529.29 0.43 0.08 0.21 -1 -1 0.43 0.0296505 0.0265283 77 47 60 30 56 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 6.25 vpr 62.64 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 30724 -1 -1 15 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64144 27 32 269 226 1 137 74 17 17 289 -1 unnamed_device 24.1 MiB 0.72 623 62.6 MiB 0.07 0.00 2.6742 -84.6424 -2.6742 2.6742 1.04 0.000394369 0.000360088 0.0263514 0.0242937 34 1779 32 6.95648e+06 217135 618332. 2139.56 1.96 0.148271 0.130175 25762 151098 -1 1422 24 1232 1512 147459 30702 0 0 147459 30702 1512 1380 0 0 5248 4407 0 0 8366 6012 0 0 1512 1430 0 0 66555 8941 0 0 64266 8532 0 0 1512 0 0 280 224 296 2966 0 0 3.08562 3.08562 -110.421 -3.08562 0 0 787024. 2723.27 0.33 0.12 0.15 -1 -1 0.33 0.0268608 0.023864 57 26 54 27 27 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 8.48 vpr 63.37 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 30664 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64888 32 32 493 378 1 222 94 17 17 289 -1 unnamed_device 25.1 MiB 0.78 1081 63.4 MiB 0.13 0.00 3.4105 -116.886 -3.4105 3.4105 0.96 0.000703636 0.000644363 0.0506876 0.0465795 48 3199 42 6.95648e+06 434271 865456. 2994.66 4.05 0.261122 0.229727 28354 207349 -1 2750 27 2715 4627 456813 93450 0 0 456813 93450 4627 3612 0 0 14199 12654 0 0 28475 17029 0 0 4627 4026 0 0 198902 28038 0 0 205983 28091 0 0 4627 0 0 1912 2386 2202 16099 0 0 4.72896 4.72896 -159.425 -4.72896 0 0 1.05005e+06 3633.38 0.44 0.16 0.22 -1 -1 0.44 0.0465485 0.0413242 103 85 62 31 95 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 11.24 vpr 63.32 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 30528 -1 -1 14 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64836 31 32 455 371 1 187 77 17 17 289 -1 unnamed_device 24.9 MiB 3.76 686 63.3 MiB 0.09 0.00 3.95134 -124.725 -3.95134 3.95134 1.06 0.000673182 0.000619455 0.0399795 0.0362592 50 2301 44 6.95648e+06 202660 902133. 3121.57 3.85 0.249239 0.220057 28642 213929 -1 1776 31 1826 2639 276613 82292 0 0 276613 82292 2639 2275 0 0 7937 7036 0 0 16262 9616 0 0 2639 2315 0 0 125758 28306 0 0 121378 32744 0 0 2639 0 0 813 943 806 7459 0 0 5.15351 5.15351 -160.998 -5.15351 0 0 1.08113e+06 3740.92 0.42 0.13 0.21 -1 -1 0.42 0.0480677 0.0424723 79 105 0 0 124 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 9.91 vpr 63.12 MiB 0.02 6816 -1 -1 1 0.03 -1 -1 30396 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64640 32 32 355 304 1 147 74 17 17 289 -1 unnamed_device 24.3 MiB 3.37 576 63.1 MiB 0.06 0.00 2.5155 -87.9453 -2.5155 2.5155 0.80 0.000318359 0.000293325 0.0260019 0.0239818 38 2057 44 6.95648e+06 144757 678818. 2348.85 3.35 0.176216 0.1543 26626 170182 -1 1601 23 1281 1934 153796 35957 0 0 153796 35957 1934 1544 0 0 6117 5470 0 0 10334 6887 0 0 1934 1575 0 0 64497 10477 0 0 68980 10004 0 0 1934 0 0 653 726 582 5405 0 0 3.08717 3.08717 -116.56 -3.08717 0 0 902133. 3121.57 0.30 0.06 0.17 -1 -1 0.30 0.0222692 0.0196881 58 86 0 0 89 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 7.36 vpr 63.15 MiB 0.03 6936 -1 -1 1 0.03 -1 -1 30368 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64668 32 32 364 282 1 188 86 17 17 289 -1 unnamed_device 24.6 MiB 0.48 755 63.2 MiB 0.10 0.00 3.4405 -113.598 -3.4405 3.4405 1.07 0.000576376 0.000529077 0.0409332 0.0377523 56 2261 21 6.95648e+06 318465 973134. 3367.25 3.04 0.158076 0.140557 29794 239141 -1 1804 21 1653 2426 198333 48471 0 0 198333 48471 2426 1983 0 0 8062 6960 0 0 15314 10158 0 0 2426 2041 0 0 88008 11751 0 0 82097 15578 0 0 2426 0 0 773 898 474 6457 0 0 3.93802 3.93802 -143.044 -3.93802 0 0 1.19926e+06 4149.71 0.51 0.09 0.25 -1 -1 0.51 0.033107 0.0296724 83 31 90 30 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 7.58 vpr 63.49 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 30624 -1 -1 23 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65012 31 32 443 336 1 210 86 17 17 289 -1 unnamed_device 24.8 MiB 1.00 970 63.5 MiB 0.13 0.00 3.4515 -119.337 -3.4515 3.4515 1.02 0.000653957 0.000600591 0.0534505 0.0491423 40 2846 46 6.95648e+06 332941 706193. 2443.58 3.09 0.253253 0.222735 26914 176310 -1 2335 24 2115 2895 296265 71884 0 0 296265 71884 2895 2320 0 0 9591 8339 0 0 17609 11540 0 0 2895 2424 0 0 137011 22665 0 0 126264 24596 0 0 2895 0 0 780 905 980 8274 0 0 4.23082 4.23082 -153.255 -4.23082 0 0 926341. 3205.33 0.36 0.13 0.16 -1 -1 0.36 0.0430913 0.0385623 95 50 87 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 7.77 vpr 63.26 MiB 0.03 7072 -1 -1 1 0.03 -1 -1 30536 -1 -1 20 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64780 30 32 373 297 1 178 82 17 17 289 -1 unnamed_device 24.5 MiB 1.07 829 63.3 MiB 0.10 0.00 2.77276 -89.8261 -2.77276 2.77276 1.08 0.000576135 0.000528326 0.0413477 0.0380244 44 2767 31 6.95648e+06 289514 787024. 2723.27 3.05 0.208503 0.185642 27778 195446 -1 1973 23 1416 2343 172175 39064 0 0 172175 39064 2343 1820 0 0 7364 6582 0 0 12515 8621 0 0 2343 1999 0 0 77171 8918 0 0 70439 11124 0 0 2343 0 0 927 778 1022 7476 0 0 3.03687 3.03687 -116.097 -3.03687 0 0 997811. 3452.63 0.40 0.08 0.20 -1 -1 0.40 0.0310167 0.0274994 78 50 58 30 58 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 22.07 vpr 63.50 MiB 0.02 7048 -1 -1 1 0.04 -1 -1 30496 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65028 32 32 407 319 1 193 98 17 17 289 -1 unnamed_device 24.8 MiB 0.51 875 63.5 MiB 0.14 0.00 3.16669 -115.498 -3.16669 3.16669 1.09 0.000621089 0.000570195 0.0553416 0.0508683 40 2429 31 6.95648e+06 492173 706193. 2443.58 17.62 0.416557 0.368463 26914 176310 -1 2216 51 3548 5311 929909 375503 0 0 929909 375503 5311 4363 0 0 15330 13328 0 0 38105 19193 0 0 5311 4697 0 0 440920 169761 0 0 424932 164161 0 0 5311 0 0 1763 2239 2703 17288 0 0 4.28866 4.28866 -152.649 -4.28866 0 0 926341. 3205.33 0.38 0.38 0.18 -1 -1 0.38 0.0764709 0.0679324 91 61 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 8.73 vpr 63.57 MiB 0.02 6968 -1 -1 1 0.04 -1 -1 30392 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65092 32 32 405 318 1 192 95 17 17 289 -1 unnamed_device 24.7 MiB 0.42 929 63.6 MiB 0.13 0.00 2.5393 -97.1379 -2.5393 2.5393 1.07 0.000621864 0.000569273 0.0524996 0.0480954 36 2740 47 6.95648e+06 448746 648988. 2245.63 4.62 0.226831 0.200908 26050 158493 -1 2108 23 1674 2299 212622 44310 0 0 212622 44310 2299 1839 0 0 7779 6829 0 0 12517 8952 0 0 2299 1908 0 0 97072 12347 0 0 90656 12435 0 0 2299 0 0 625 703 650 5895 0 0 3.24827 3.24827 -130.806 -3.24827 0 0 828058. 2865.25 0.35 0.10 0.16 -1 -1 0.35 0.0363296 0.032401 90 61 63 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 9.71 vpr 62.55 MiB 0.02 6888 -1 -1 1 0.03 -1 -1 30356 -1 -1 13 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64052 29 32 287 238 1 136 74 17 17 289 -1 unnamed_device 23.9 MiB 4.54 545 62.6 MiB 0.07 0.00 2.67856 -84.8689 -2.67856 2.67856 1.05 0.000490273 0.000450908 0.029013 0.0267561 34 1575 49 6.95648e+06 188184 618332. 2139.56 1.86 0.176343 0.154792 25762 151098 -1 1332 19 1093 1341 109022 23931 0 0 109022 23931 1341 1208 0 0 4485 3792 0 0 7168 5194 0 0 1341 1218 0 0 50863 5927 0 0 43824 6592 0 0 1341 0 0 248 267 268 2620 0 0 2.97572 2.97572 -111.765 -2.97572 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0149908 0.0133957 56 28 58 29 29 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 6.98 vpr 62.85 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 30160 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64360 32 32 334 290 1 148 74 17 17 289 -1 unnamed_device 24.1 MiB 0.91 609 62.9 MiB 0.05 0.00 2.4623 -84.442 -2.4623 2.4623 0.99 0.000305392 0.000281503 0.0231572 0.0214406 38 1738 45 6.95648e+06 144757 678818. 2348.85 2.61 0.162272 0.142654 26626 170182 -1 1335 20 1071 1333 99672 23146 0 0 99672 23146 1333 1214 0 0 4498 3884 0 0 6481 4891 0 0 1333 1244 0 0 45317 5441 0 0 40710 6472 0 0 1333 0 0 262 169 268 2586 0 0 2.79537 2.79537 -105.578 -2.79537 0 0 902133. 3121.57 0.37 0.06 0.17 -1 -1 0.37 0.0265969 0.0236812 58 79 0 0 82 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 7.73 vpr 63.13 MiB 0.02 6928 -1 -1 1 0.03 -1 -1 30484 -1 -1 28 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64644 31 32 365 281 1 188 91 17 17 289 -1 unnamed_device 24.4 MiB 0.43 815 63.1 MiB 0.12 0.00 3.61895 -118.026 -3.61895 3.61895 1.10 0.000572403 0.000525024 0.0436141 0.0402785 46 2450 24 6.95648e+06 405319 828058. 2865.25 3.59 0.196958 0.175418 28066 200906 -1 1885 20 1554 2325 183098 41876 0 0 183098 41876 2325 1863 0 0 7346 6519 0 0 12704 8317 0 0 2325 1959 0 0 75559 12139 0 0 82839 11079 0 0 2325 0 0 771 1027 836 7321 0 0 4.03037 4.03037 -149.39 -4.03037 0 0 1.01997e+06 3529.29 0.42 0.08 0.21 -1 -1 0.42 0.0280325 0.0249465 86 29 93 31 31 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 7.58 vpr 62.56 MiB 0.02 6964 -1 -1 1 0.03 -1 -1 30420 -1 -1 14 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64060 29 32 297 254 1 144 75 17 17 289 -1 unnamed_device 24.0 MiB 1.27 508 62.6 MiB 0.07 0.00 2.76175 -82.4444 -2.76175 2.76175 1.01 0.000472011 0.000433265 0.0301246 0.0277372 46 1565 37 6.95648e+06 202660 828058. 2865.25 2.89 0.173708 0.153243 28066 200906 -1 1089 20 926 1293 89906 21793 0 0 89906 21793 1293 1148 0 0 4165 3631 0 0 6414 4497 0 0 1293 1184 0 0 41708 5233 0 0 35033 6100 0 0 1293 0 0 367 370 436 3538 0 0 2.75013 2.75013 -94.2831 -2.75013 0 0 1.01997e+06 3529.29 0.39 0.05 0.14 -1 -1 0.39 0.0227039 0.0201579 59 48 29 29 52 26 -fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 8.09 vpr 62.44 MiB 0.02 6832 -1 -1 1 0.03 -1 -1 30428 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63936 32 32 314 256 1 154 74 17 17 289 -1 unnamed_device 23.9 MiB 1.14 664 62.4 MiB 0.08 0.00 2.55695 -96.556 -2.55695 2.55695 1.01 0.000475877 0.000431475 0.0340359 0.0309472 44 2067 30 6.95648e+06 144757 787024. 2723.27 3.59 0.186568 0.165411 27778 195446 -1 1513 22 1493 2040 191633 40604 0 0 191633 40604 2040 1794 0 0 6343 5561 0 0 11159 7320 0 0 2040 1875 0 0 83675 12067 0 0 86376 11987 0 0 2040 0 0 547 497 584 4962 0 0 3.10762 3.10762 -120.66 -3.10762 0 0 997811. 3452.63 0.29 0.07 0.20 -1 -1 0.29 0.0238557 0.0209558 61 31 64 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 6.99 vpr 63.45 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 30344 -1 -1 24 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64976 31 32 387 307 1 181 87 17 17 289 -1 unnamed_device 24.6 MiB 0.90 707 63.5 MiB 0.09 0.00 2.6976 -94.8878 -2.6976 2.6976 1.10 0.00062478 0.000574695 0.0373384 0.0343585 40 2160 34 6.95648e+06 347416 706193. 2443.58 2.46 0.193257 0.170763 26914 176310 -1 1727 22 1598 2113 180724 42868 0 0 180724 42868 2113 1707 0 0 7252 6198 0 0 12203 8519 0 0 2113 1814 0 0 82238 11912 0 0 74805 12718 0 0 2113 0 0 515 615 615 5246 0 0 3.84477 3.84477 -128.557 -3.84477 0 0 926341. 3205.33 0.38 0.09 0.19 -1 -1 0.38 0.0320263 0.0286184 82 60 58 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 9.06 vpr 62.73 MiB 0.02 6920 -1 -1 1 0.03 -1 -1 30288 -1 -1 11 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64240 31 32 308 262 1 143 74 17 17 289 -1 unnamed_device 24.1 MiB 2.63 652 62.7 MiB 0.09 0.00 2.71585 -85.7297 -2.71585 2.71585 1.06 0.000486713 0.000446512 0.0403693 0.0370848 34 2253 46 6.95648e+06 159232 618332. 2139.56 2.90 0.188313 0.166217 25762 151098 -1 1662 35 1472 2236 353420 148073 0 0 353420 148073 2236 1933 0 0 7052 6070 0 0 15836 8997 0 0 2236 1968 0 0 158453 62564 0 0 167607 66541 0 0 2236 0 0 764 884 873 6417 0 0 3.01202 3.01202 -114.504 -3.01202 0 0 787024. 2723.27 0.33 0.15 0.15 -1 -1 0.33 0.0370911 0.0325899 57 49 31 31 53 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 8.77 vpr 63.33 MiB 0.03 7056 -1 -1 1 0.04 -1 -1 30464 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64848 32 32 383 307 1 176 83 17 17 289 -1 unnamed_device 24.5 MiB 1.64 668 63.3 MiB 0.10 0.00 2.5143 -86.2247 -2.5143 2.5143 1.08 0.000553961 0.000503311 0.0444714 0.0407966 50 2033 25 6.95648e+06 275038 902133. 3121.57 3.42 0.221593 0.19812 28642 213929 -1 1624 24 1500 2217 232416 75934 0 0 232416 75934 2217 1879 0 0 7234 6165 0 0 13243 8522 0 0 2217 1984 0 0 103769 28251 0 0 103736 29133 0 0 2217 0 0 717 934 1039 7585 0 0 2.74237 2.74237 -109.438 -2.74237 0 0 1.08113e+06 3740.92 0.44 0.11 0.22 -1 -1 0.44 0.0367744 0.0328492 76 56 52 26 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 7.35 vpr 63.41 MiB 0.03 7208 -1 -1 1 0.04 -1 -1 30316 -1 -1 25 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64928 31 32 422 339 1 187 88 17 17 289 -1 unnamed_device 24.5 MiB 1.29 715 63.4 MiB 0.13 0.00 2.98426 -101.115 -2.98426 2.98426 1.04 0.000559166 0.000512707 0.0549243 0.0503156 42 2497 50 6.95648e+06 361892 744469. 2576.02 2.64 0.25793 0.228339 27202 183097 -1 1714 21 1828 2457 200547 43446 0 0 200547 43446 2457 1982 0 0 7842 6776 0 0 14145 9263 0 0 2457 2123 0 0 87649 11078 0 0 85997 12224 0 0 2457 0 0 629 717 802 6381 0 0 3.28612 3.28612 -124.626 -3.28612 0 0 949917. 3286.91 0.39 0.09 0.16 -1 -1 0.39 0.0358151 0.0321675 85 88 31 31 92 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 7.04 vpr 62.98 MiB 0.02 6892 -1 -1 1 0.03 -1 -1 30304 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64496 32 32 333 279 1 154 74 17 17 289 -1 unnamed_device 24.1 MiB 0.88 588 63.0 MiB 0.07 0.00 2.4011 -83.9523 -2.4011 2.4011 1.08 0.000519048 0.000476039 0.0339265 0.0312398 52 1891 39 6.95648e+06 144757 926341. 3205.33 2.35 0.17964 0.158239 29218 227130 -1 1446 23 1379 2083 208163 66691 0 0 208163 66691 2083 1661 0 0 6344 5523 0 0 12060 7475 0 0 2083 1698 0 0 84563 26282 0 0 101030 24052 0 0 2083 0 0 704 805 627 5643 0 0 2.96282 2.96282 -111.092 -2.96282 0 0 1.14541e+06 3963.36 0.48 0.10 0.27 -1 -1 0.48 0.0313796 0.028118 61 54 32 32 60 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 7.93 vpr 63.20 MiB 0.02 6812 -1 -1 1 0.04 -1 -1 30180 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64720 32 32 339 283 1 158 74 17 17 289 -1 unnamed_device 24.3 MiB 0.98 684 63.2 MiB 0.07 0.00 2.5503 -94.2523 -2.5503 2.5503 1.03 0.000493817 0.000454062 0.0311184 0.0286443 46 2288 41 6.95648e+06 144757 828058. 2865.25 3.39 0.190493 0.167812 28066 200906 -1 1708 22 1370 1997 159603 35328 0 0 159603 35328 1997 1595 0 0 6242 5540 0 0 10644 6949 0 0 1997 1652 0 0 73790 9056 0 0 64933 10536 0 0 1997 0 0 627 547 471 5012 0 0 3.29047 3.29047 -123.202 -3.29047 0 0 1.01997e+06 3529.29 0.43 0.08 0.21 -1 -1 0.43 0.0301794 0.0269769 63 60 32 32 62 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 10.17 vpr 63.30 MiB 0.02 7028 -1 -1 1 0.03 -1 -1 30664 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64824 32 32 407 319 1 190 93 17 17 289 -1 unnamed_device 24.4 MiB 0.98 829 63.3 MiB 0.08 0.00 3.12269 -114.052 -3.12269 3.12269 1.16 0.000363631 0.000335261 0.0301465 0.0279126 38 2838 44 6.95648e+06 419795 678818. 2348.85 5.62 0.235707 0.209118 26626 170182 -1 2197 23 1986 2853 271572 60144 0 0 271572 60144 2853 2212 0 0 8615 7522 0 0 15113 9581 0 0 2853 2356 0 0 122853 19954 0 0 119285 18519 0 0 2853 0 0 867 977 1049 8596 0 0 4.50036 4.50036 -159.638 -4.50036 0 0 902133. 3121.57 0.35 0.11 0.16 -1 -1 0.35 0.0357474 0.0319106 88 49 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 6.98 vpr 62.98 MiB 0.03 7064 -1 -1 1 0.04 -1 -1 30492 -1 -1 19 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64488 29 32 367 293 1 175 80 17 17 289 -1 unnamed_device 24.4 MiB 0.92 707 63.0 MiB 0.07 0.00 2.7306 -90.9635 -2.7306 2.7306 1.09 0.000575608 0.000529489 0.0268559 0.0248208 44 2026 38 6.95648e+06 275038 787024. 2723.27 2.58 0.203577 0.180076 27778 195446 -1 1528 23 1349 1821 127085 28037 0 0 127085 28037 1821 1480 0 0 5759 5054 0 0 9620 6672 0 0 1821 1528 0 0 59814 5826 0 0 48250 7477 0 0 1821 0 0 472 320 601 4948 0 0 3.05567 3.05567 -108.191 -3.05567 0 0 997811. 3452.63 0.41 0.05 0.20 -1 -1 0.41 0.018642 0.0165437 77 54 56 29 58 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 21.04 vpr 63.43 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 30644 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64956 32 32 469 381 1 192 93 17 17 289 -1 unnamed_device 24.7 MiB 1.46 984 63.4 MiB 0.13 0.00 3.08969 -116.839 -3.08969 3.08969 1.09 0.000668832 0.000613086 0.0572841 0.052461 40 2713 23 6.95648e+06 419795 706193. 2443.58 15.86 0.402293 0.353707 26914 176310 -1 2490 22 2088 3066 306007 61176 0 0 306007 61176 3066 2453 0 0 9961 8801 0 0 18678 11923 0 0 3066 2575 0 0 134872 18184 0 0 136364 17240 0 0 3066 0 0 978 1175 1105 9536 0 0 4.34686 4.34686 -161.586 -4.34686 0 0 926341. 3205.33 0.37 0.12 0.16 -1 -1 0.37 0.0397791 0.0353942 89 117 0 0 128 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 8.66 vpr 62.24 MiB 0.02 6824 -1 -1 1 0.03 -1 -1 30340 -1 -1 11 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63736 31 32 259 212 1 144 74 17 17 289 -1 unnamed_device 23.7 MiB 1.79 617 62.2 MiB 0.07 0.00 2.4703 -81.6138 -2.4703 2.4703 1.09 0.00043202 0.000395712 0.0276917 0.0254428 46 1992 32 6.95648e+06 159232 828058. 2865.25 3.23 0.159618 0.141376 28066 200906 -1 1377 21 1027 1478 156191 40606 0 0 156191 40606 1478 1251 0 0 4828 4157 0 0 7891 5387 0 0 1478 1299 0 0 69981 14141 0 0 70535 14371 0 0 1478 0 0 451 393 470 3760 0 0 2.86433 2.86433 -104.745 -2.86433 0 0 1.01997e+06 3529.29 0.42 0.07 0.21 -1 -1 0.42 0.0228992 0.0203305 58 -1 85 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 7.91 vpr 63.18 MiB 0.05 7036 -1 -1 1 0.04 -1 -1 30428 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64692 32 32 418 338 1 182 87 17 17 289 -1 unnamed_device 24.3 MiB 1.08 756 63.2 MiB 0.11 0.00 3.10505 -105.698 -3.10505 3.10505 0.96 0.000640213 0.000586672 0.0512596 0.0470239 50 2182 47 6.95648e+06 332941 902133. 3121.57 3.06 0.219987 0.19412 28642 213929 -1 1746 28 2000 2615 260857 90920 0 0 260857 90920 2615 2206 0 0 7981 6881 0 0 14629 9288 0 0 2615 2267 0 0 114313 35573 0 0 118704 34705 0 0 2615 0 0 615 566 601 5999 0 0 3.78446 3.78446 -139.062 -3.78446 0 0 1.08113e+06 3740.92 0.46 0.13 0.22 -1 -1 0.46 0.0422444 0.0376975 81 89 28 28 92 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 10.84 vpr 63.00 MiB 0.02 6916 -1 -1 1 0.03 -1 -1 30168 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64508 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 24.3 MiB 3.47 580 63.0 MiB 0.08 0.00 2.45985 -93.1734 -2.45985 2.45985 0.96 0.000482556 0.000442663 0.0380229 0.0348804 38 2296 30 6.95648e+06 144757 678818. 2348.85 4.12 0.212072 0.188168 26626 170182 -1 1758 22 1519 2162 228136 47825 0 0 228136 47825 2162 1930 0 0 6719 6043 0 0 11516 7460 0 0 2162 1977 0 0 97862 15569 0 0 107715 14846 0 0 2162 0 0 643 927 851 6185 0 0 3.36742 3.36742 -132.465 -3.36742 0 0 902133. 3121.57 0.34 0.09 0.14 -1 -1 0.34 0.0314002 0.0280224 61 93 0 0 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 7.57 vpr 63.28 MiB 0.02 6904 -1 -1 1 0.04 -1 -1 30500 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64796 32 32 401 316 1 188 88 17 17 289 -1 unnamed_device 24.4 MiB 1.08 850 63.3 MiB 0.10 0.00 2.5393 -95.813 -2.5393 2.5393 1.06 0.000611751 0.000558937 0.0423193 0.0388239 40 2231 37 6.95648e+06 347416 706193. 2443.58 3.16 0.200277 0.177423 26914 176310 -1 1900 23 1599 2269 269503 58728 0 0 269503 58728 2269 1787 0 0 7809 6895 0 0 15361 9653 0 0 2269 1906 0 0 125129 18984 0 0 116666 19503 0 0 2269 0 0 670 767 771 6927 0 0 3.24827 3.24827 -122.52 -3.24827 0 0 926341. 3205.33 0.24 0.07 0.11 -1 -1 0.24 0.0207744 0.0183767 84 59 61 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 9.03 vpr 63.46 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 30780 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64988 32 32 500 382 1 222 97 17 17 289 -1 unnamed_device 25.1 MiB 1.30 1069 63.5 MiB 0.11 0.00 3.74344 -134.628 -3.74344 3.74344 0.68 0.000430101 0.000395823 0.0410729 0.0378834 44 3080 44 6.95648e+06 477698 787024. 2723.27 4.52 0.2832 0.250815 27778 195446 -1 2453 26 2776 4079 372287 72423 0 0 372287 72423 4079 3086 0 0 12224 10850 0 0 24041 14280 0 0 4079 3286 0 0 175035 18990 0 0 152829 21931 0 0 4079 0 0 1303 2090 2009 15185 0 0 4.64781 4.64781 -170.729 -4.64781 0 0 997811. 3452.63 0.44 0.15 0.18 -1 -1 0.44 0.0505026 0.0452366 104 81 64 32 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 5.34 vpr 62.08 MiB 0.02 6780 -1 -1 1 0.03 -1 -1 30128 -1 -1 10 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63568 30 32 246 229 1 117 72 17 17 289 -1 unnamed_device 23.5 MiB 0.53 426 62.1 MiB 0.04 0.00 1.83056 -63.1332 -1.83056 1.83056 0.95 0.000261875 0.000241975 0.0170993 0.0158606 34 1461 40 6.95648e+06 144757 618332. 2139.56 1.73 0.123655 0.107915 25762 151098 -1 917 26 784 978 64936 18129 0 0 64936 18129 978 952 0 0 3274 2728 0 0 5545 3847 0 0 978 961 0 0 26791 5455 0 0 27370 4186 0 0 978 0 0 194 136 196 1892 0 0 1.94508 1.94508 -78.2732 -1.94508 0 0 787024. 2723.27 0.33 0.06 0.16 -1 -1 0.33 0.0247553 0.0216646 45 51 0 0 53 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 7.95 vpr 62.87 MiB 0.02 6920 -1 -1 1 0.03 -1 -1 30340 -1 -1 12 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64380 30 32 296 244 1 141 74 17 17 289 -1 unnamed_device 24.2 MiB 2.15 457 62.9 MiB 0.07 0.00 2.68956 -87.7818 -2.68956 2.68956 1.02 0.00043476 0.00039551 0.0322623 0.0295823 46 1674 35 6.95648e+06 173708 828058. 2865.25 2.17 0.140222 0.123346 28066 200906 -1 1277 51 2066 3083 316855 69764 0 0 316855 69764 3083 2743 0 0 8924 7936 0 0 18943 10580 0 0 3083 2746 0 0 143519 20525 0 0 139303 25234 0 0 3083 0 0 1017 1203 1369 9194 0 0 2.85037 2.85037 -108.953 -2.85037 0 0 1.01997e+06 3529.29 0.43 0.14 0.21 -1 -1 0.43 0.049592 0.0432958 58 29 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 7.44 vpr 62.98 MiB 0.02 6824 -1 -1 1 0.03 -1 -1 30184 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64492 32 32 314 256 1 161 74 17 17 289 -1 unnamed_device 24.1 MiB 0.27 592 63.0 MiB 0.09 0.00 2.43165 -93.329 -2.43165 2.43165 1.09 0.000546836 0.000503477 0.0382568 0.0352154 56 1971 32 6.95648e+06 144757 973134. 3367.25 3.39 0.186831 0.165896 29794 239141 -1 1464 21 1522 2493 206429 48706 0 0 206429 48706 2493 1902 0 0 7775 6922 0 0 15086 9409 0 0 2493 1952 0 0 91442 12423 0 0 87140 16098 0 0 2493 0 0 971 1084 739 7557 0 0 3.09372 3.09372 -122.8 -3.09372 0 0 1.19926e+06 4149.71 0.50 0.09 0.25 -1 -1 0.50 0.0287153 0.0256417 65 31 64 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 7.93 vpr 62.47 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 30440 -1 -1 15 25 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63968 25 32 251 214 1 132 72 17 17 289 -1 unnamed_device 24.0 MiB 0.58 456 62.5 MiB 0.05 0.00 2.73975 -73.8006 -2.73975 2.73975 0.98 0.000409031 0.000376032 0.0197311 0.0181981 38 1898 39 6.95648e+06 217135 678818. 2348.85 4.03 0.145297 0.12765 26626 170182 -1 1230 24 1168 1560 105074 26231 0 0 105074 26231 1560 1342 0 0 4976 4408 0 0 8491 5729 0 0 1560 1394 0 0 44693 6197 0 0 43794 7161 0 0 1560 0 0 392 430 444 3936 0 0 3.18237 3.18237 -97.7862 -3.18237 0 0 902133. 3121.57 0.36 0.06 0.15 -1 -1 0.36 0.0236571 0.020914 56 19 50 25 25 25 -fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 23.57 vpr 63.40 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 30508 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64920 32 32 432 346 1 185 77 17 17 289 -1 unnamed_device 24.7 MiB 1.31 777 63.4 MiB 0.06 0.00 3.24434 -109.955 -3.24434 3.24434 0.99 0.000378777 0.000347802 0.0250747 0.0231104 42 3049 46 6.95648e+06 188184 744469. 2576.02 18.74 0.396194 0.348387 27202 183097 -1 2193 22 1883 3267 284232 61119 0 0 284232 61119 3267 2570 0 0 10266 9193 0 0 18916 12231 0 0 3267 2636 0 0 125355 16550 0 0 123161 17939 0 0 3267 0 0 1384 1641 1490 10896 0 0 4.03326 4.03326 -147.661 -4.03326 0 0 949917. 3286.91 0.40 0.11 0.19 -1 -1 0.40 0.0373763 0.0332868 77 84 32 32 94 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 8.05 vpr 63.34 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 30352 -1 -1 29 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64860 31 32 421 339 1 185 92 17 17 289 -1 unnamed_device 24.7 MiB 0.99 775 63.3 MiB 0.11 0.00 2.7178 -94.9795 -2.7178 2.7178 0.89 0.000625199 0.000571794 0.0456402 0.0418475 38 2448 46 6.95648e+06 419795 678818. 2348.85 4.24 0.241315 0.213688 26626 170182 -1 1822 20 1757 2271 190401 41314 0 0 190401 41314 2271 1844 0 0 7067 6116 0 0 11284 7775 0 0 2271 1922 0 0 85070 11904 0 0 82438 11753 0 0 2271 0 0 514 546 521 5174 0 0 3.51907 3.51907 -124.922 -3.51907 0 0 902133. 3121.57 0.23 0.05 0.10 -1 -1 0.23 0.0184002 0.0164155 87 88 29 29 93 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 7.30 vpr 63.21 MiB 0.03 7044 -1 -1 1 0.04 -1 -1 30800 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64724 32 32 438 350 1 287 86 17 17 289 -1 unnamed_device 24.9 MiB 0.87 1162 63.2 MiB 0.15 0.00 3.54004 -127.096 -3.54004 3.54004 1.08 0.000652054 0.000600339 0.0631134 0.0581643 44 3535 41 6.99608e+06 323745 787024. 2723.27 2.89 0.222097 0.197453 27778 195446 -1 2509 34 2681 3195 404761 137787 0 0 404761 137787 3195 2818 0 0 9736 8579 0 0 18614 11478 0 0 3195 2963 0 0 189762 56014 0 0 180259 55935 0 0 3195 0 0 514 708 567 6380 0 0 4.7594 4.7594 -161.521 -4.7594 0 0 997811. 3452.63 0.29 0.11 0.20 -1 -1 0.29 0.0314342 0.027782 130 80 32 32 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 9.03 vpr 63.32 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 30700 -1 -1 20 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64844 30 32 409 330 1 259 82 17 17 289 -1 unnamed_device 24.7 MiB 1.79 1064 63.3 MiB 0.15 0.00 3.52832 -116.536 -3.52832 3.52832 1.07 0.000588333 0.000539124 0.0618813 0.0568788 56 2786 32 6.99608e+06 294314 973134. 3367.25 3.35 0.24225 0.216317 29794 239141 -1 2249 23 2365 3285 299675 65818 0 0 299675 65818 3285 2738 0 0 11017 9675 0 0 21122 13476 0 0 3285 2951 0 0 124536 18694 0 0 136430 18284 0 0 3285 0 0 920 983 765 7793 0 0 4.83089 4.83089 -158.276 -4.83089 0 0 1.19926e+06 4149.71 0.49 0.11 0.16 -1 -1 0.49 0.0361619 0.0324095 117 78 30 30 89 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 8.14 vpr 63.11 MiB 0.03 6964 -1 -1 1 0.04 -1 -1 30412 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64624 32 32 387 309 1 241 82 17 17 289 -1 unnamed_device 24.3 MiB 1.14 1164 63.1 MiB 0.08 0.00 2.96629 -109.899 -2.96629 2.96629 0.92 0.000356363 0.000328874 0.0357782 0.0329987 40 2963 44 6.99608e+06 264882 706193. 2443.58 3.47 0.209543 0.185379 26914 176310 -1 2605 24 1976 2278 332509 103154 0 0 332509 103154 2278 2093 0 0 7349 6422 0 0 14498 8666 0 0 2278 2138 0 0 160982 42647 0 0 145124 41188 0 0 2278 0 0 302 305 263 3924 0 0 4.03556 4.03556 -147.328 -4.03556 0 0 926341. 3205.33 0.37 0.13 0.18 -1 -1 0.37 0.0344584 0.030608 106 50 54 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 7.24 vpr 62.78 MiB 0.02 7064 -1 -1 1 0.03 -1 -1 30452 -1 -1 18 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64288 29 32 343 267 1 201 79 17 17 289 -1 unnamed_device 24.2 MiB 0.84 831 62.8 MiB 0.11 0.00 3.16965 -103.759 -3.16965 3.16965 0.89 0.000510927 0.000467965 0.0469342 0.0430807 40 2679 50 6.99608e+06 264882 706193. 2443.58 3.35 0.207027 0.182961 26914 176310 -1 2111 22 1976 2918 266292 60828 0 0 266292 60828 2918 2501 0 0 9426 8289 0 0 17892 11167 0 0 2918 2656 0 0 120320 17837 0 0 112818 18378 0 0 2918 0 0 942 1093 1171 8604 0 0 4.05371 4.05371 -136.688 -4.05371 0 0 926341. 3205.33 0.37 0.11 0.16 -1 -1 0.37 0.0329928 0.0296682 89 25 87 29 29 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 27.82 vpr 63.37 MiB 0.03 6776 -1 -1 1 0.04 -1 -1 30304 -1 -1 15 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64888 32 32 376 288 1 218 79 17 17 289 -1 unnamed_device 24.5 MiB 0.55 1101 63.4 MiB 0.13 0.00 3.52464 -134.12 -3.52464 3.52464 0.81 0.000614309 0.000563322 0.0516456 0.0474727 42 3848 36 6.99608e+06 220735 744469. 2576.02 23.86 0.34809 0.307653 27202 183097 -1 2955 23 2659 4218 458448 103084 0 0 458448 103084 4218 3470 0 0 12925 11599 0 0 25516 15418 0 0 4218 3637 0 0 213762 33305 0 0 197809 35655 0 0 4218 0 0 1559 1595 1684 12654 0 0 4.85645 4.85645 -182.03 -4.85645 0 0 949917. 3286.91 0.37 0.15 0.15 -1 -1 0.37 0.0373745 0.033472 93 31 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 24.21 vpr 63.42 MiB 0.02 7056 -1 -1 1 0.03 -1 -1 30348 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64944 32 32 402 316 1 251 94 17 17 289 -1 unnamed_device 24.8 MiB 0.58 1232 63.4 MiB 0.14 0.00 3.08859 -112.1 -3.08859 3.08859 1.07 0.000614614 0.000564313 0.0517408 0.047603 40 3526 47 6.99608e+06 441471 706193. 2443.58 19.94 0.419684 0.37008 26914 176310 -1 3088 22 2296 3334 348642 68981 0 0 348642 68981 3334 2733 0 0 10838 9454 0 0 21297 13424 0 0 3334 2882 0 0 156269 20552 0 0 153570 19936 0 0 3334 0 0 1038 1259 1159 9716 0 0 3.70341 3.70341 -142.317 -3.70341 0 0 926341. 3205.33 0.37 0.13 0.18 -1 -1 0.37 0.0359742 0.0322151 117 61 63 32 63 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 7.89 vpr 62.54 MiB 0.02 6804 -1 -1 1 0.03 -1 -1 30656 -1 -1 15 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64036 27 32 269 226 1 158 74 17 17 289 -1 unnamed_device 24.0 MiB 1.56 604 62.5 MiB 0.06 0.00 2.64844 -84.8254 -2.64844 2.64844 1.04 0.000412939 0.000377864 0.0223779 0.0205756 36 2023 40 6.99608e+06 220735 648988. 2245.63 2.92 0.150398 0.131815 26050 158493 -1 1576 22 1531 2197 184308 39284 0 0 184308 39284 2197 1818 0 0 7158 6336 0 0 12378 8326 0 0 2197 1845 0 0 82633 10341 0 0 77745 10618 0 0 2197 0 0 666 768 612 5945 0 0 3.30822 3.30822 -112.693 -3.30822 0 0 828058. 2865.25 0.35 0.08 0.16 -1 -1 0.35 0.0262467 0.0234115 68 26 54 27 27 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 6.93 vpr 62.67 MiB 0.03 6836 -1 -1 1 0.03 -1 -1 30188 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64172 31 32 317 242 1 178 80 17 17 289 -1 unnamed_device 24.0 MiB 0.53 714 62.7 MiB 0.08 0.00 2.49105 -83.6689 -2.49105 2.49105 0.97 0.000456017 0.00041802 0.0342014 0.0314234 64 1734 39 6.99608e+06 250167 1.08113e+06 3740.92 2.62 0.190627 0.168959 31522 276338 -1 1411 20 1229 1853 131515 30602 0 0 131515 30602 1853 1422 0 0 6101 5096 0 0 10803 7204 0 0 1853 1544 0 0 55365 7078 0 0 55540 8258 0 0 1853 0 0 624 777 913 6453 0 0 3.10592 3.10592 -100.937 -3.10592 0 0 1.36325e+06 4717.13 0.58 0.07 0.29 -1 -1 0.58 0.0275109 0.0246116 77 -1 115 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 22.08 vpr 62.99 MiB 0.02 7012 -1 -1 1 0.03 -1 -1 30208 -1 -1 15 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64500 31 32 338 292 1 222 78 17 17 289 -1 unnamed_device 24.2 MiB 3.63 1012 63.0 MiB 0.11 0.00 2.62059 -97.5399 -2.62059 2.62059 1.11 0.000553726 0.000508386 0.0433094 0.0398241 40 2776 27 6.99608e+06 220735 706193. 2443.58 14.79 0.290242 0.255396 26914 176310 -1 2323 22 1897 2322 214172 45659 0 0 214172 45659 2322 2067 0 0 7750 6816 0 0 13814 9120 0 0 2322 2221 0 0 94808 12754 0 0 93156 12681 0 0 2322 0 0 425 459 366 4448 0 0 3.59812 3.59812 -135.593 -3.59812 0 0 926341. 3205.33 0.38 0.09 0.18 -1 -1 0.38 0.0312949 0.0280856 96 81 0 0 84 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 16.51 vpr 62.78 MiB 0.02 6864 -1 -1 1 0.03 -1 -1 30308 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64284 32 32 314 256 1 187 77 17 17 289 -1 unnamed_device 23.8 MiB 0.91 677 62.8 MiB 0.08 0.00 2.95409 -110.115 -2.95409 2.95409 0.74 0.000534199 0.000490408 0.0317033 0.0292041 40 2393 32 6.99608e+06 191304 706193. 2443.58 12.37 0.316962 0.278345 26914 176310 -1 1927 20 1701 2097 188022 42131 0 0 188022 42131 2097 1921 0 0 6838 6030 0 0 11951 7987 0 0 2097 1944 0 0 78470 12760 0 0 86569 11489 0 0 2097 0 0 396 328 347 3960 0 0 3.85076 3.85076 -143.507 -3.85076 0 0 926341. 3205.33 0.38 0.08 0.16 -1 -1 0.38 0.0274572 0.0245748 79 31 64 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 8.97 vpr 63.13 MiB 0.02 6916 -1 -1 1 0.03 -1 -1 30140 -1 -1 15 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64648 30 32 325 273 1 199 77 17 17 289 -1 unnamed_device 24.4 MiB 2.98 761 63.1 MiB 0.10 0.00 3.05483 -105 -3.05483 3.05483 1.05 0.000519086 0.000479083 0.0430311 0.0395812 46 2347 22 6.99608e+06 220735 828058. 2865.25 2.53 0.18605 0.165401 28066 200906 -1 1865 20 1747 2347 191281 39607 0 0 191281 39607 2347 2036 0 0 7349 6474 0 0 12050 8177 0 0 2347 2087 0 0 87132 10163 0 0 80056 10670 0 0 2347 0 0 600 560 492 5277 0 0 3.751 3.751 -134.1 -3.751 0 0 1.01997e+06 3529.29 0.28 0.08 0.19 -1 -1 0.28 0.0252006 0.0223928 88 58 30 30 60 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 8.85 vpr 62.93 MiB 0.02 6868 -1 -1 1 0.03 -1 -1 30468 -1 -1 14 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64440 32 32 331 280 1 210 78 17 17 289 -1 unnamed_device 24.2 MiB 0.95 1019 62.9 MiB 0.10 0.00 2.5521 -98.3165 -2.5521 2.5521 1.10 0.000532918 0.000488981 0.0440544 0.040498 38 2704 32 6.99608e+06 206020 678818. 2348.85 4.31 0.191587 0.170558 26626 170182 -1 2182 26 1627 1758 232651 63392 0 0 232651 63392 1758 1675 0 0 5489 4760 0 0 9841 6313 0 0 1758 1679 0 0 108623 24631 0 0 105182 24334 0 0 1758 0 0 131 100 105 2356 0 0 3.22627 3.22627 -126.021 -3.22627 0 0 902133. 3121.57 0.37 0.11 0.17 -1 -1 0.37 0.0348535 0.0311368 91 57 25 25 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 8.74 vpr 63.25 MiB 0.03 7020 -1 -1 1 0.04 -1 -1 30464 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64772 32 32 386 305 1 231 80 17 17 289 -1 unnamed_device 24.6 MiB 1.15 951 63.3 MiB 0.11 0.00 3.00239 -108.813 -3.00239 3.00239 0.88 0.000595987 0.000544572 0.0438592 0.0402019 46 3122 35 6.99608e+06 235451 828058. 2865.25 4.28 0.2369 0.210773 28066 200906 -1 2203 22 1877 2554 209422 47216 0 0 209422 47216 2554 2140 0 0 7909 7057 0 0 13760 8838 0 0 2554 2184 0 0 99027 12146 0 0 83618 14851 0 0 2554 0 0 677 748 532 5939 0 0 3.52316 3.52316 -134.849 -3.52316 0 0 1.01997e+06 3529.29 0.42 0.13 0.18 -1 -1 0.42 0.0460544 0.0412921 101 55 64 32 57 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 7.87 vpr 63.24 MiB 0.03 6968 -1 -1 1 0.04 -1 -1 30612 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64760 32 32 407 319 1 254 83 17 17 289 -1 unnamed_device 24.6 MiB 1.05 1154 63.2 MiB 0.13 0.00 3.53814 -127.421 -3.53814 3.53814 1.08 0.000621873 0.000563287 0.0520082 0.0473109 46 3127 26 6.99608e+06 279598 828058. 2865.25 3.08 0.230546 0.20481 28066 200906 -1 2653 23 2757 3551 277788 56405 0 0 277788 56405 3551 3065 0 0 10765 9808 0 0 18142 11869 0 0 3551 3175 0 0 130650 12586 0 0 111129 15902 0 0 3551 0 0 794 744 798 7751 0 0 4.42125 4.42125 -169.966 -4.42125 0 0 1.01997e+06 3529.29 0.42 0.11 0.19 -1 -1 0.42 0.0367188 0.0329626 112 60 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 8.68 vpr 62.55 MiB 0.02 6812 -1 -1 1 0.03 -1 -1 30580 -1 -1 14 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64056 29 32 272 228 1 161 75 17 17 289 -1 unnamed_device 24.0 MiB 2.89 584 62.6 MiB 0.08 0.00 2.42075 -79.1279 -2.42075 2.42075 0.78 0.000457107 0.000420709 0.0342889 0.031537 48 1560 27 6.99608e+06 206020 865456. 2994.66 2.49 0.176919 0.157447 28354 207349 -1 1242 19 1041 1459 96906 25143 0 0 96906 25143 1459 1148 0 0 5177 4661 0 0 8806 6320 0 0 1459 1168 0 0 38251 5920 0 0 41754 5926 0 0 1459 0 0 418 414 203 3364 0 0 3.03562 3.03562 -101.92 -3.03562 0 0 1.05005e+06 3633.38 0.44 0.06 0.22 -1 -1 0.44 0.0219433 0.0195601 67 21 58 29 24 24 -fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 13.15 vpr 63.34 MiB 0.03 6960 -1 -1 1 0.04 -1 -1 30412 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64856 32 32 401 315 1 243 80 17 17 289 -1 unnamed_device 24.6 MiB 2.48 1284 63.3 MiB 0.09 0.00 3.01639 -115.687 -3.01639 3.01639 1.06 0.000360858 0.000332689 0.0363252 0.0334973 38 3769 33 6.99608e+06 235451 678818. 2348.85 7.16 0.219078 0.193987 26626 170182 -1 2911 25 2984 4233 378844 74119 0 0 378844 74119 4233 3742 0 0 12684 11157 0 0 20833 13703 0 0 4233 3942 0 0 165323 21603 0 0 171538 19972 0 0 4233 0 0 1249 1494 1573 11899 0 0 3.91001 3.91001 -152.96 -3.91001 0 0 902133. 3121.57 0.35 0.11 0.15 -1 -1 0.35 0.0304576 0.0271889 106 60 64 32 62 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 22.71 vpr 63.23 MiB 0.02 6972 -1 -1 1 0.03 -1 -1 30236 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64748 32 32 383 303 1 230 81 17 17 289 -1 unnamed_device 24.4 MiB 1.50 1172 63.2 MiB 0.12 0.00 2.74074 -110.372 -2.74074 2.74074 1.05 0.000590967 0.00054015 0.0491161 0.0451872 40 2888 42 6.99608e+06 250167 706193. 2443.58 17.61 0.385527 0.339677 26914 176310 -1 2578 24 2246 2831 296284 58271 0 0 296284 58271 2831 2504 0 0 9088 8042 0 0 17335 10948 0 0 2831 2579 0 0 137392 16382 0 0 126807 17816 0 0 2831 0 0 585 581 558 5807 0 0 3.63741 3.63741 -143.093 -3.63741 0 0 926341. 3205.33 0.38 0.12 0.18 -1 -1 0.38 0.0393361 0.0354481 99 54 64 32 56 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 7.75 vpr 62.98 MiB 0.02 6900 -1 -1 1 0.03 -1 -1 30196 -1 -1 14 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64496 32 32 339 284 1 218 78 17 17 289 -1 unnamed_device 24.2 MiB 0.79 923 63.0 MiB 0.06 0.00 2.74594 -102.452 -2.74594 2.74594 1.01 0.000529864 0.000487042 0.0243801 0.0224316 46 2601 35 6.99608e+06 206020 828058. 2865.25 3.49 0.164839 0.145543 28066 200906 -1 1742 18 1621 1962 137372 34001 0 0 137372 34001 1962 1749 0 0 6473 5791 0 0 9683 7133 0 0 1962 1764 0 0 62433 8902 0 0 54859 8662 0 0 1962 0 0 341 417 333 3955 0 0 3.11821 3.11821 -125.156 -3.11821 0 0 1.01997e+06 3529.29 0.41 0.12 0.19 -1 -1 0.41 0.0244625 0.0219306 91 62 29 29 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 8.43 vpr 62.17 MiB 0.02 6548 -1 -1 1 0.03 -1 -1 30288 -1 -1 11 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63660 30 32 226 208 1 139 73 17 17 289 -1 unnamed_device 23.5 MiB 2.53 493 62.2 MiB 0.07 0.00 1.97056 -70.6311 -1.97056 1.97056 1.06 0.000397569 0.000365397 0.0286502 0.0263928 40 1592 34 6.99608e+06 161872 706193. 2443.58 2.50 0.135742 0.119266 26914 176310 -1 1217 23 906 993 107638 29566 0 0 107638 29566 993 922 0 0 3504 3087 0 0 6118 4191 0 0 993 924 0 0 46230 10290 0 0 49800 10152 0 0 993 0 0 87 88 40 1382 0 0 2.34583 2.34583 -90.0722 -2.34583 0 0 926341. 3205.33 0.36 0.06 0.13 -1 -1 0.36 0.0216966 0.0191977 56 29 24 24 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 8.41 vpr 62.98 MiB 0.03 6940 -1 -1 1 0.03 -1 -1 30416 -1 -1 15 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64496 31 32 335 280 1 209 78 17 17 289 -1 unnamed_device 24.4 MiB 2.70 1019 63.0 MiB 0.10 0.00 3.17469 -113.444 -3.17469 3.17469 1.03 0.000515453 0.000473286 0.0399119 0.0367045 42 2581 32 6.99608e+06 220735 744469. 2576.02 2.29 0.171965 0.151623 27202 183097 -1 2197 22 1674 2063 215595 42834 0 0 215595 42834 2063 1762 0 0 6867 6064 0 0 13720 8610 0 0 2063 1861 0 0 96676 11740 0 0 94206 12797 0 0 2063 0 0 389 236 398 4108 0 0 3.51216 3.51216 -136.875 -3.51216 0 0 949917. 3286.91 0.40 0.09 0.16 -1 -1 0.40 0.030388 0.0272301 91 55 31 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 7.55 vpr 63.11 MiB 0.03 6976 -1 -1 1 0.03 -1 -1 30184 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64620 32 32 366 283 1 215 87 17 17 289 -1 unnamed_device 24.2 MiB 0.41 875 63.1 MiB 0.10 0.00 3.29568 -115.809 -3.29568 3.29568 0.95 0.000503696 0.000460523 0.0377143 0.0345863 40 3078 24 6.99608e+06 338461 706193. 2443.58 3.75 0.205258 0.181567 26914 176310 -1 2523 21 2309 3223 318151 68805 0 0 318151 68805 3223 2791 0 0 10513 9382 0 0 19055 12706 0 0 3223 2874 0 0 138427 20850 0 0 143710 20202 0 0 3223 0 0 914 1018 1073 8760 0 0 4.7033 4.7033 -170.276 -4.7033 0 0 926341. 3205.33 0.37 0.12 0.18 -1 -1 0.37 0.0342985 0.0309468 97 31 91 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 9.07 vpr 63.25 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 30540 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64772 32 32 460 375 1 303 86 17 17 289 -1 unnamed_device 24.9 MiB 1.13 1256 63.3 MiB 0.15 0.00 3.26728 -115.334 -3.26728 3.26728 0.94 0.000668221 0.000613278 0.0640928 0.0589513 46 3848 42 6.99608e+06 323745 828058. 2865.25 4.30 0.24813 0.219945 28066 200906 -1 2550 22 2378 2715 192306 43636 0 0 192306 43636 2715 2513 0 0 8734 7855 0 0 14218 9790 0 0 2715 2605 0 0 83438 10098 0 0 80486 10775 0 0 2715 0 0 337 341 241 4308 0 0 4.51762 4.51762 -151.355 -4.51762 0 0 1.01997e+06 3529.29 0.43 0.10 0.20 -1 -1 0.43 0.0400625 0.0359412 138 108 0 0 125 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 6.71 vpr 61.90 MiB 0.02 6756 -1 -1 1 0.03 -1 -1 30548 -1 -1 15 26 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63388 26 32 198 186 1 125 73 17 17 289 -1 unnamed_device 23.4 MiB 0.95 399 61.9 MiB 0.07 0.00 2.1814 -62.1378 -2.1814 2.1814 1.06 0.000338538 0.000310316 0.0263043 0.0242036 38 1042 38 6.99608e+06 220735 678818. 2348.85 2.35 0.12649 0.111161 26626 170182 -1 844 17 606 706 45700 11956 0 0 45700 11956 706 657 0 0 2355 2041 0 0 3537 2638 0 0 706 662 0 0 19962 2969 0 0 18434 2989 0 0 706 0 0 100 112 84 1218 0 0 2.52267 2.52267 -77.7092 -2.52267 0 0 902133. 3121.57 0.36 0.04 0.17 -1 -1 0.36 0.0153838 0.0137188 52 21 26 26 22 22 -fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 8.47 vpr 62.86 MiB 0.03 6832 -1 -1 1 0.04 -1 -1 30188 -1 -1 12 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64368 32 32 333 251 1 181 76 17 17 289 -1 unnamed_device 24.2 MiB 0.98 761 62.9 MiB 0.05 0.00 3.40945 -111.783 -3.40945 3.40945 0.66 0.000316922 0.000291796 0.0195825 0.0180889 48 2468 45 6.99608e+06 176588 865456. 2994.66 4.27 0.192965 0.170757 28354 207349 -1 2004 21 1743 2741 270706 70606 0 0 270706 70606 2741 2234 0 0 8762 7702 0 0 16840 10495 0 0 2741 2342 0 0 113642 24187 0 0 125980 23646 0 0 2741 0 0 998 1247 1036 8692 0 0 4.00106 4.00106 -142.597 -4.00106 0 0 1.05005e+06 3633.38 0.42 0.10 0.21 -1 -1 0.42 0.0280848 0.0249003 75 -1 122 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 5.32 vpr 61.95 MiB 0.02 6648 -1 -1 1 0.03 -1 -1 30292 -1 -1 8 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63440 32 32 199 182 1 119 72 17 17 289 -1 unnamed_device 23.5 MiB 0.31 753 62.0 MiB 0.06 0.00 1.68521 -70.2395 -1.68521 1.68521 1.01 0.000324138 0.000287781 0.0228228 0.0209238 34 1680 50 6.99608e+06 117725 618332. 2139.56 1.79 0.125203 0.109583 25762 151098 -1 1453 17 738 960 87858 17957 0 0 87858 17957 960 878 0 0 3245 2784 0 0 5214 3655 0 0 960 892 0 0 38146 5166 0 0 39333 4582 0 0 960 0 0 222 268 242 2202 0 0 2.08312 2.08312 -94.1011 -2.08312 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0153288 0.0137003 44 -1 53 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 8.65 vpr 63.25 MiB 0.03 6936 -1 -1 1 0.04 -1 -1 30664 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64772 32 32 376 288 1 218 81 17 17 289 -1 unnamed_device 24.4 MiB 1.57 802 63.3 MiB 0.11 0.00 3.25275 -116.923 -3.25275 3.25275 1.10 0.000625486 0.000576152 0.0450495 0.041503 48 2994 38 6.99608e+06 250167 865456. 2994.66 3.19 0.23075 0.206042 28354 207349 -1 2317 29 2539 3591 446234 150867 0 0 446234 150867 3591 2964 0 0 11374 10132 0 0 22675 14153 0 0 3591 3157 0 0 203380 61299 0 0 201623 59162 0 0 3591 0 0 1052 1093 1060 9260 0 0 4.20872 4.20872 -158.61 -4.20872 0 0 1.05005e+06 3633.38 0.45 0.19 0.22 -1 -1 0.45 0.0456041 0.0409577 95 21 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 8.92 vpr 62.75 MiB 0.02 6856 -1 -1 1 0.03 -1 -1 30040 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64252 32 32 337 253 1 188 92 17 17 289 -1 unnamed_device 24.3 MiB 0.30 1027 62.7 MiB 0.09 0.00 2.43175 -96.8894 -2.43175 2.43175 1.06 0.000542227 0.000497826 0.0378195 0.0348405 36 2703 48 6.99608e+06 412039 648988. 2245.63 5.09 0.243904 0.21731 26050 158493 -1 2236 21 1678 2453 205945 41697 0 0 205945 41697 2453 1969 0 0 7537 6603 0 0 12679 8398 0 0 2453 2035 0 0 91479 11318 0 0 89344 11374 0 0 2453 0 0 775 701 1038 7051 0 0 2.92932 2.92932 -121.796 -2.92932 0 0 828058. 2865.25 0.34 0.09 0.16 -1 -1 0.34 0.0304931 0.0273179 87 -1 124 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 9.78 vpr 63.33 MiB 0.03 7044 -1 -1 1 0.04 -1 -1 30500 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64852 32 32 407 319 1 256 85 17 17 289 -1 unnamed_device 24.7 MiB 0.61 1331 63.3 MiB 0.12 0.00 3.14945 -119.832 -3.14945 3.14945 1.08 0.000603113 0.000552116 0.0480994 0.0443246 38 3860 46 6.99608e+06 309029 678818. 2348.85 5.52 0.234966 0.207948 26626 170182 -1 3009 22 2470 3463 295222 58666 0 0 295222 58666 3463 2927 0 0 10361 9168 0 0 16962 11074 0 0 3463 3134 0 0 126538 16907 0 0 134435 15456 0 0 3463 0 0 993 1120 840 8854 0 0 4.54432 4.54432 -171.064 -4.54432 0 0 902133. 3121.57 0.36 0.12 0.18 -1 -1 0.36 0.0382973 0.0344497 115 54 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 14.92 vpr 62.56 MiB 0.02 6744 -1 -1 1 0.03 -1 -1 30136 -1 -1 11 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64060 32 32 294 246 1 175 75 17 17 289 -1 unnamed_device 23.9 MiB 1.36 731 62.6 MiB 0.08 0.00 2.4829 -87.8794 -2.4829 2.4829 1.05 0.000456687 0.000418704 0.0314645 0.0289902 38 2601 46 6.99608e+06 161872 678818. 2348.85 10.03 0.306792 0.268969 26626 170182 -1 1924 20 1446 2015 181039 38785 0 0 181039 38785 2015 1785 0 0 6422 5691 0 0 10068 7047 0 0 2015 1822 0 0 78470 11315 0 0 82049 11125 0 0 2015 0 0 569 670 503 5029 0 0 3.48182 3.48182 -130.173 -3.48182 0 0 902133. 3121.57 0.36 0.08 0.18 -1 -1 0.36 0.0267244 0.0239894 72 31 54 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 15.17 vpr 62.67 MiB 0.02 6892 -1 -1 1 0.03 -1 -1 30084 -1 -1 13 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64176 30 32 296 244 1 173 75 17 17 289 -1 unnamed_device 24.1 MiB 9.18 687 62.7 MiB 0.07 0.00 2.93029 -98.4514 -2.93029 2.93029 0.99 0.000478424 0.000436656 0.0292821 0.0268653 44 2217 28 6.99608e+06 191304 787024. 2723.27 2.54 0.167332 0.147611 27778 195446 -1 1676 19 1432 2074 150090 34581 0 0 150090 34581 2074 1708 0 0 6793 6024 0 0 10981 7868 0 0 2074 1779 0 0 64323 8182 0 0 63845 9020 0 0 2074 0 0 642 528 684 5462 0 0 3.45901 3.45901 -127.555 -3.45901 0 0 997811. 3452.63 0.41 0.07 0.20 -1 -1 0.41 0.024739 0.0221657 73 29 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 9.05 vpr 62.44 MiB 0.02 6820 -1 -1 1 0.03 -1 -1 30240 -1 -1 15 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63940 28 32 278 232 1 163 75 17 17 289 -1 unnamed_device 23.8 MiB 1.39 631 62.4 MiB 0.07 0.00 3.06475 -93.3824 -3.06475 3.06475 1.08 0.000462701 0.00042413 0.0279384 0.0257123 40 2416 45 6.99608e+06 220735 706193. 2443.58 4.20 0.166805 0.146127 26914 176310 -1 1777 20 1401 2091 202878 48267 0 0 202878 48267 2091 1834 0 0 6994 6059 0 0 12806 8499 0 0 2091 1892 0 0 89080 14681 0 0 89816 15302 0 0 2091 0 0 690 781 530 5650 0 0 3.41201 3.41201 -122.234 -3.41201 0 0 926341. 3205.33 0.35 0.08 0.16 -1 -1 0.35 0.0238558 0.0213493 72 27 56 28 28 28 -fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 7.29 vpr 62.42 MiB 0.03 6700 -1 -1 1 0.03 -1 -1 30304 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63916 32 32 283 225 1 160 74 17 17 289 -1 unnamed_device 23.9 MiB 0.21 871 62.4 MiB 0.04 0.00 2.36125 -100.739 -2.36125 2.36125 1.02 0.000279434 0.000258238 0.0162734 0.01506 38 2353 47 6.99608e+06 147157 678818. 2348.85 3.60 0.182086 0.1616 26626 170182 -1 2021 23 1718 2636 233568 45116 0 0 233568 45116 2636 2117 0 0 7636 6782 0 0 13307 8254 0 0 2636 2154 0 0 111184 11910 0 0 96169 13899 0 0 2636 0 0 918 843 841 7596 0 0 3.13392 3.13392 -131.321 -3.13392 0 0 902133. 3121.57 0.35 0.09 0.17 -1 -1 0.35 0.0249001 0.021913 64 -1 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 7.00 vpr 62.64 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 30244 -1 -1 15 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64148 31 32 303 249 1 185 78 17 17 289 -1 unnamed_device 24.2 MiB 0.84 963 62.6 MiB 0.08 0.00 2.44275 -95.2161 -2.44275 2.44275 0.92 0.000492673 0.000453488 0.0304122 0.0280805 44 2456 41 6.99608e+06 220735 787024. 2723.27 2.97 0.182634 0.161673 27778 195446 -1 2044 20 1382 1849 148085 30715 0 0 148085 30715 1849 1478 0 0 5860 5188 0 0 10246 6933 0 0 1849 1557 0 0 70091 6821 0 0 58190 8738 0 0 1849 0 0 467 295 453 4360 0 0 2.85532 2.85532 -117.829 -2.85532 0 0 997811. 3452.63 0.41 0.07 0.19 -1 -1 0.41 0.0248208 0.0221438 77 26 61 31 31 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 11.23 vpr 63.05 MiB 0.02 6808 -1 -1 1 0.03 -1 -1 30080 -1 -1 16 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64564 29 32 312 264 1 197 77 17 17 289 -1 unnamed_device 24.3 MiB 3.89 935 63.1 MiB 0.10 0.00 2.46925 -85.9119 -2.46925 2.46925 1.07 0.000499683 0.000460285 0.0407941 0.0376255 36 2636 47 6.99608e+06 235451 648988. 2245.63 3.86 0.232126 0.207557 26050 158493 -1 2137 17 1405 1731 148282 31578 0 0 148282 31578 1731 1561 0 0 5848 5016 0 0 9012 6623 0 0 1731 1651 0 0 65439 8804 0 0 64521 7923 0 0 1731 0 0 326 389 401 3825 0 0 2.84952 2.84952 -111.59 -2.84952 0 0 828058. 2865.25 0.34 0.08 0.14 -1 -1 0.34 0.0284186 0.025929 86 55 29 29 57 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 8.87 vpr 63.43 MiB 0.05 7052 -1 -1 1 0.03 -1 -1 30424 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64952 32 32 423 310 1 243 84 17 17 289 -1 unnamed_device 24.6 MiB 1.20 1105 63.4 MiB 0.15 0.00 3.13845 -116.82 -3.13845 3.13845 0.93 0.000674832 0.000621213 0.061421 0.0564424 46 3542 39 6.99608e+06 294314 828058. 2865.25 4.30 0.244369 0.217776 28066 200906 -1 2630 24 2528 3823 309826 64714 0 0 309826 64714 3823 3000 0 0 11221 9941 0 0 20553 12586 0 0 3823 3106 0 0 134080 17856 0 0 136326 18225 0 0 3823 0 0 1295 1748 2108 15054 0 0 4.13542 4.13542 -156.31 -4.13542 0 0 1.01997e+06 3529.29 0.39 0.12 0.18 -1 -1 0.39 0.040852 0.0336602 106 26 128 32 27 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 8.39 vpr 63.31 MiB 0.02 6976 -1 -1 1 0.03 -1 -1 30444 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64828 32 32 403 317 1 252 82 17 17 289 -1 unnamed_device 24.7 MiB 1.32 1152 63.3 MiB 0.06 0.00 3.57808 -120.336 -3.57808 3.57808 1.07 0.000367657 0.000339453 0.0255392 0.0236085 40 3280 28 6.99608e+06 264882 706193. 2443.58 3.39 0.196117 0.173118 26914 176310 -1 3000 37 3355 4342 635832 187133 0 0 635832 187133 4342 3973 0 0 13378 11978 0 0 28746 16148 0 0 4342 4062 0 0 301456 77505 0 0 283568 73467 0 0 4342 0 0 987 1095 1216 9738 0 0 4.81945 4.81945 -173.628 -4.81945 0 0 926341. 3205.33 0.36 0.24 0.18 -1 -1 0.36 0.0564219 0.0501583 110 62 62 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 7.84 vpr 63.15 MiB 0.04 6900 -1 -1 1 0.03 -1 -1 30476 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64668 31 32 353 302 1 224 79 17 17 289 -1 unnamed_device 24.4 MiB 0.95 1071 63.2 MiB 0.05 0.00 2.82209 -104.377 -2.82209 2.82209 0.87 0.000329678 0.000304333 0.0171173 0.0158585 38 2765 27 6.99608e+06 235451 678818. 2348.85 3.55 0.1571 0.138117 26626 170182 -1 2231 20 1555 1618 147730 30225 0 0 147730 30225 1618 1573 0 0 5132 4480 0 0 8615 5684 0 0 1618 1574 0 0 65420 8330 0 0 65327 8584 0 0 1618 0 0 63 65 51 1983 0 0 3.10026 3.10026 -124.754 -3.10026 0 0 902133. 3121.57 0.37 0.07 0.17 -1 -1 0.37 0.0265586 0.0236464 99 77 0 0 89 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 7.82 vpr 62.92 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 30464 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64432 31 32 391 309 1 242 81 17 17 289 -1 unnamed_device 24.1 MiB 1.01 1232 62.9 MiB 0.09 0.00 2.97859 -111.89 -2.97859 2.97859 1.06 0.000622771 0.000574234 0.035766 0.0329388 44 3213 48 6.99608e+06 264882 787024. 2723.27 3.41 0.231163 0.204649 27778 195446 -1 2485 19 1879 2524 212973 42853 0 0 212973 42853 2524 2209 0 0 7944 7055 0 0 13366 9101 0 0 2524 2291 0 0 96019 10366 0 0 90596 11831 0 0 2524 0 0 645 476 688 5973 0 0 3.52636 3.52636 -139.424 -3.52636 0 0 997811. 3452.63 0.42 0.10 0.20 -1 -1 0.42 0.0328238 0.0295657 105 59 60 30 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 7.97 vpr 63.25 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 30440 -1 -1 23 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64764 31 32 455 371 1 302 86 17 17 289 -1 unnamed_device 24.8 MiB 1.22 1347 63.2 MiB 0.15 0.00 3.66267 -129.515 -3.66267 3.66267 1.05 0.000690437 0.000624057 0.0617623 0.0568833 48 3181 28 6.99608e+06 338461 865456. 2994.66 2.94 0.25529 0.227594 28354 207349 -1 2712 21 2584 2934 263040 53787 0 0 263040 53787 2934 2766 0 0 9932 8786 0 0 18011 11961 0 0 2934 2808 0 0 116984 13889 0 0 112245 13577 0 0 2934 0 0 350 353 255 4624 0 0 4.50984 4.50984 -167.012 -4.50984 0 0 1.05005e+06 3633.38 0.46 0.12 0.22 -1 -1 0.46 0.0405221 0.0363411 138 111 0 0 124 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 12.02 vpr 63.28 MiB 0.03 7096 -1 -1 1 0.04 -1 -1 30340 -1 -1 19 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64796 31 32 413 333 1 258 82 17 17 289 -1 unnamed_device 24.6 MiB 3.83 1244 63.3 MiB 0.12 0.00 3.95733 -133.137 -3.95733 3.95733 1.09 0.000631245 0.000578664 0.0489164 0.0449781 38 3944 46 6.99608e+06 279598 678818. 2348.85 4.65 0.243387 0.216609 26626 170182 -1 2845 21 2353 3013 250106 52883 0 0 250106 52883 3013 2620 0 0 9585 8311 0 0 14624 10424 0 0 3013 2700 0 0 111241 14741 0 0 108630 14087 0 0 3013 0 0 660 652 637 6508 0 0 5.06534 5.06534 -176.747 -5.06534 0 0 902133. 3121.57 0.36 0.10 0.17 -1 -1 0.36 0.0353198 0.0317066 117 86 31 31 89 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 10.32 vpr 63.06 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 30356 -1 -1 20 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64576 31 32 391 309 1 241 83 17 17 289 -1 unnamed_device 24.4 MiB 2.54 1035 63.1 MiB 0.11 0.00 2.94309 -104.399 -2.94309 2.94309 0.96 0.000511173 0.000466695 0.044617 0.0407652 48 3028 47 6.99608e+06 294314 865456. 2994.66 4.15 0.243123 0.216969 28354 207349 -1 2499 21 2011 2569 232360 52546 0 0 232360 52546 2569 2260 0 0 8511 7367 0 0 15385 10155 0 0 2569 2357 0 0 100202 15545 0 0 103124 14862 0 0 2569 0 0 558 676 649 5952 0 0 4.22366 4.22366 -142.12 -4.22366 0 0 1.05005e+06 3633.38 0.45 0.10 0.22 -1 -1 0.45 0.0328648 0.0293991 107 58 60 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 8.82 vpr 63.29 MiB 0.02 6968 -1 -1 1 0.04 -1 -1 30392 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64812 32 32 407 319 1 252 81 17 17 289 -1 unnamed_device 24.6 MiB 1.02 973 63.3 MiB 0.07 0.00 3.16475 -113.697 -3.16475 3.16475 1.04 0.000623726 0.000574074 0.0304129 0.0280713 54 3129 44 6.99608e+06 250167 949917. 3286.91 4.28 0.213274 0.188924 29506 232905 -1 2221 24 2047 2607 223392 52379 0 0 223392 52379 2607 2236 0 0 7815 6831 0 0 13837 8638 0 0 2607 2310 0 0 94464 16070 0 0 102062 16294 0 0 2607 0 0 560 621 577 6019 0 0 4.55032 4.55032 -158.279 -4.55032 0 0 1.17392e+06 4061.99 0.38 0.06 0.25 -1 -1 0.38 0.021089 0.0187466 110 42 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 11.56 vpr 63.51 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 30616 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65036 32 32 496 380 1 313 86 17 17 289 -1 unnamed_device 25.3 MiB 2.46 1461 63.5 MiB 0.16 0.00 3.89803 -142.659 -3.89803 3.89803 1.07 0.000749671 0.000689507 0.0686544 0.0631351 40 4708 50 6.99608e+06 323745 706193. 2443.58 5.43 0.28858 0.256055 26914 176310 -1 3989 22 3496 4848 533529 107773 0 0 533529 107773 4848 4380 0 0 16133 14436 0 0 30226 19492 0 0 4848 4539 0 0 238577 32485 0 0 238897 32441 0 0 4848 0 0 1352 2128 1939 14417 0 0 5.6326 5.6326 -205.604 -5.6326 0 0 926341. 3205.33 0.36 0.17 0.16 -1 -1 0.36 0.0446517 0.040002 139 91 62 32 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 6.34 vpr 62.41 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 30728 -1 -1 13 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63912 31 32 305 250 1 181 76 17 17 289 -1 unnamed_device 24.0 MiB 0.83 643 62.4 MiB 0.08 0.00 2.6383 -95.3164 -2.6383 2.6383 1.10 0.000487224 0.000446509 0.0319365 0.0293862 40 2065 36 6.99608e+06 191304 706193. 2443.58 2.28 0.171244 0.150696 26914 176310 -1 1607 25 1566 1945 193220 55504 0 0 193220 55504 1945 1731 0 0 6229 5512 0 0 11916 7576 0 0 1945 1763 0 0 90432 18615 0 0 80753 20307 0 0 1945 0 0 379 403 371 3894 0 0 3.24217 3.24217 -124.658 -3.24217 0 0 926341. 3205.33 0.41 0.10 0.18 -1 -1 0.41 0.03134 0.0279413 75 24 62 31 31 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 10.90 vpr 63.37 MiB 0.03 7104 -1 -1 1 0.04 -1 -1 30328 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64888 31 32 395 311 1 243 81 17 17 289 -1 unnamed_device 24.6 MiB 0.80 1057 63.4 MiB 0.10 0.00 3.56194 -124.493 -3.56194 3.56194 1.04 0.000368968 0.000340899 0.0419046 0.0386106 40 3938 46 6.99608e+06 264882 706193. 2443.58 6.43 0.238257 0.211241 26914 176310 -1 2774 22 2435 2985 301302 66977 0 0 301302 66977 2985 2695 0 0 9347 8208 0 0 17433 10943 0 0 2985 2772 0 0 132284 21749 0 0 136268 20610 0 0 2985 0 0 550 753 785 6821 0 0 4.46661 4.46661 -166.405 -4.46661 0 0 926341. 3205.33 0.40 0.11 0.18 -1 -1 0.40 0.0336865 0.0299957 106 59 62 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 29.26 vpr 63.28 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 30636 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64796 32 32 397 313 1 246 84 17 17 289 -1 unnamed_device 24.6 MiB 1.40 1286 63.3 MiB 0.14 0.00 2.98659 -112.128 -2.98659 2.98659 1.01 0.000639732 0.00058678 0.0578487 0.0530855 38 3956 43 6.99608e+06 294314 678818. 2348.85 24.29 0.385457 0.339685 26626 170182 -1 3077 20 2116 2958 265382 53346 0 0 265382 53346 2958 2626 0 0 8989 7890 0 0 14793 9753 0 0 2958 2688 0 0 118292 15133 0 0 117392 15256 0 0 2958 0 0 842 811 681 7300 0 0 3.82396 3.82396 -147.955 -3.82396 0 0 902133. 3121.57 0.36 0.11 0.17 -1 -1 0.36 0.0324478 0.0291709 108 54 62 32 62 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 24.86 vpr 62.75 MiB 0.02 6920 -1 -1 1 0.04 -1 -1 30364 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64252 32 32 345 257 1 186 77 17 17 289 -1 unnamed_device 24.3 MiB 1.07 838 62.7 MiB 0.08 0.00 2.92079 -108.122 -2.92079 2.92079 0.81 0.00056878 0.000521838 0.0329682 0.0303932 48 3211 38 6.99608e+06 191304 865456. 2994.66 20.34 0.376428 0.330187 28354 207349 -1 2293 23 1861 3244 379864 86994 0 0 379864 86994 3244 2707 0 0 9997 8920 0 0 20704 12037 0 0 3244 2843 0 0 173152 29784 0 0 169523 30703 0 0 3244 0 0 1383 1759 2028 12470 0 0 4.69156 4.69156 -166.719 -4.69156 0 0 1.05005e+06 3633.38 0.45 0.13 0.22 -1 -1 0.45 0.0321566 0.0285309 77 -1 128 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 22.87 vpr 63.32 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 30448 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64840 32 32 424 343 1 266 83 17 17 289 -1 unnamed_device 24.6 MiB 1.68 1140 63.3 MiB 0.11 0.00 2.82204 -105.252 -2.82204 2.82204 1.05 0.000534163 0.000490859 0.0455965 0.0419714 44 3507 41 6.99608e+06 279598 787024. 2723.27 17.36 0.376528 0.330198 27778 195446 -1 2476 23 2263 2784 226055 48499 0 0 226055 48499 2784 2476 0 0 8447 7397 0 0 14512 9605 0 0 2784 2526 0 0 100513 12072 0 0 97015 14423 0 0 2784 0 0 521 841 904 6732 0 0 3.34281 3.34281 -136.586 -3.34281 0 0 997811. 3452.63 0.40 0.10 0.19 -1 -1 0.40 0.0346112 0.0307246 120 81 25 25 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 20.60 vpr 63.02 MiB 0.02 7024 -1 -1 1 0.03 -1 -1 30300 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64532 32 32 395 311 1 242 84 17 17 289 -1 unnamed_device 24.4 MiB 1.15 1242 63.0 MiB 0.12 0.00 2.95229 -111.693 -2.95229 2.95229 1.06 0.000620606 0.000569869 0.0520214 0.0478857 40 3370 25 6.99608e+06 294314 706193. 2443.58 15.75 0.377139 0.332533 26914 176310 -1 2941 23 2379 3365 375276 76874 0 0 375276 76874 3365 2845 0 0 11066 9711 0 0 21723 13605 0 0 3365 2970 0 0 176262 23213 0 0 159495 24530 0 0 3365 0 0 986 1177 1627 10941 0 0 3.99031 3.99031 -149.307 -3.99031 0 0 926341. 3205.33 0.36 0.15 0.18 -1 -1 0.36 0.0452806 0.0407609 106 58 64 32 60 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 23.12 vpr 63.30 MiB 0.02 6992 -1 -1 1 0.04 -1 -1 30496 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64820 32 32 405 318 1 253 81 17 17 289 -1 unnamed_device 24.7 MiB 0.81 1139 63.3 MiB 0.13 0.00 2.95409 -111.977 -2.95409 2.95409 1.08 0.000615552 0.00056709 0.0551001 0.0506748 40 3442 26 6.99608e+06 250167 706193. 2443.58 18.58 0.407885 0.356617 26914 176310 -1 2985 21 2452 3198 350966 71426 0 0 350966 71426 3198 2866 0 0 10621 9361 0 0 19158 12569 0 0 3198 2972 0 0 160752 21673 0 0 154039 21985 0 0 3198 0 0 746 1012 916 7632 0 0 3.61812 3.61812 -144.299 -3.61812 0 0 926341. 3205.33 0.38 0.13 0.18 -1 -1 0.38 0.0372334 0.0336022 108 61 63 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 8.22 vpr 63.18 MiB 0.03 6948 -1 -1 1 0.04 -1 -1 30544 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64700 32 32 376 288 1 218 80 17 17 289 -1 unnamed_device 24.3 MiB 1.17 820 63.2 MiB 0.11 0.00 3.25275 -116.146 -3.25275 3.25275 1.06 0.000573106 0.000525898 0.0453498 0.0416436 48 2869 47 6.99608e+06 235451 865456. 2994.66 3.52 0.232057 0.206103 28354 207349 -1 2262 22 2101 2992 288513 68744 0 0 288513 68744 2992 2577 0 0 9352 8273 0 0 17903 11097 0 0 2992 2635 0 0 122937 22633 0 0 132337 21529 0 0 2992 0 0 891 760 968 7743 0 0 4.09142 4.09142 -150.417 -4.09142 0 0 1.05005e+06 3633.38 0.32 0.07 0.18 -1 -1 0.32 0.0202207 0.0180607 94 21 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 7.80 vpr 63.15 MiB 0.02 7048 -1 -1 1 0.04 -1 -1 30672 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64668 32 32 407 319 1 251 82 17 17 289 -1 unnamed_device 24.5 MiB 1.03 996 63.2 MiB 0.13 0.00 3.20395 -115.16 -3.20395 3.20395 1.00 0.00056797 0.000518521 0.0537582 0.0492401 46 2826 46 6.99608e+06 264882 828058. 2865.25 3.16 0.24945 0.220825 28066 200906 -1 1885 27 2478 3049 195251 47385 0 0 195251 47385 3049 2648 0 0 9350 8431 0 0 15497 10199 0 0 3049 2813 0 0 82356 11903 0 0 81950 11391 0 0 3049 0 0 571 672 681 6173 0 0 4.81191 4.81191 -157.185 -4.81191 0 0 1.01997e+06 3529.29 0.42 0.10 0.20 -1 -1 0.42 0.0377355 0.0334725 110 50 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 9.76 vpr 63.23 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 30404 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64748 31 32 449 367 1 290 85 17 17 289 -1 unnamed_device 24.9 MiB 1.68 1480 63.2 MiB 0.15 0.00 3.20798 -117.116 -3.20798 3.20798 1.13 0.000664811 0.000609582 0.0636836 0.0585602 40 3949 32 6.99608e+06 323745 706193. 2443.58 4.28 0.258428 0.228818 26914 176310 -1 3358 20 2340 2770 299322 59742 0 0 299322 59742 2770 2590 0 0 9436 8195 0 0 17166 11560 0 0 2770 2650 0 0 133420 17176 0 0 133760 17571 0 0 2770 0 0 430 484 478 5078 0 0 4.35525 4.35525 -156.643 -4.35525 0 0 926341. 3205.33 0.37 0.11 0.18 -1 -1 0.37 0.0365475 0.0328659 132 110 0 0 122 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 8.40 vpr 63.26 MiB 0.03 7076 -1 -1 1 0.04 -1 -1 30388 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64776 32 32 432 346 1 281 84 17 17 289 -1 unnamed_device 25.0 MiB 1.22 1498 63.3 MiB 0.13 0.00 3.10545 -118.488 -3.10545 3.10545 1.08 0.00065914 0.000606786 0.0521626 0.0479269 48 3796 23 6.99608e+06 294314 865456. 2994.66 3.43 0.229926 0.20442 28354 207349 -1 3149 21 2640 3731 332003 68465 0 0 332003 68465 3731 3116 0 0 12545 11292 0 0 22308 15121 0 0 3731 3497 0 0 146412 17235 0 0 143276 18204 0 0 3731 0 0 1091 1013 1264 9383 0 0 4.00542 4.00542 -150.049 -4.00542 0 0 1.05005e+06 3633.38 0.43 0.13 0.21 -1 -1 0.43 0.0365797 0.0329001 126 86 32 32 94 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 7.10 vpr 62.54 MiB 0.02 6672 -1 -1 1 0.03 -1 -1 30648 -1 -1 14 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64044 32 32 312 255 1 191 78 17 17 289 -1 unnamed_device 23.9 MiB 0.51 779 62.5 MiB 0.08 0.00 2.59005 -96.7251 -2.59005 2.59005 1.05 0.000519439 0.000469062 0.0342491 0.0314984 44 2385 46 6.99608e+06 206020 787024. 2723.27 3.05 0.19154 0.170299 27778 195446 -1 1847 20 1519 2042 162335 35541 0 0 162335 35541 2042 1737 0 0 6366 5693 0 0 11904 7401 0 0 2042 1783 0 0 70370 9382 0 0 69611 9545 0 0 2042 0 0 523 461 660 5090 0 0 3.00152 3.00152 -116.898 -3.00152 0 0 997811. 3452.63 0.41 0.07 0.18 -1 -1 0.41 0.0243254 0.0216233 80 20 63 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 8.52 vpr 63.08 MiB 0.02 6948 -1 -1 1 0.04 -1 -1 30376 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64592 32 32 370 314 1 244 80 17 17 289 -1 unnamed_device 24.5 MiB 1.09 1028 63.1 MiB 0.11 0.00 3.05483 -110.957 -3.05483 3.05483 1.05 0.000560228 0.000514617 0.0427281 0.0393188 46 3280 32 6.99608e+06 235451 828058. 2865.25 3.77 0.220329 0.196503 28066 200906 -1 2338 28 2388 2849 414269 125263 0 0 414269 125263 2849 2749 0 0 8893 7962 0 0 17679 10528 0 0 2849 2798 0 0 194645 51159 0 0 187354 50067 0 0 2849 0 0 461 442 558 5232 0 0 4.15586 4.15586 -151.196 -4.15586 0 0 1.01997e+06 3529.29 0.42 0.16 0.18 -1 -1 0.42 0.040113 0.0356991 108 91 0 0 94 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 30.36 vpr 63.14 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 30792 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64660 32 32 469 351 1 285 84 17 17 289 -1 unnamed_device 24.8 MiB 1.01 1266 63.1 MiB 0.14 0.00 3.74629 -132.006 -3.74629 3.74629 1.06 0.000683447 0.000626841 0.0586469 0.0538999 50 4580 42 6.99608e+06 294314 902133. 3121.57 25.51 0.470038 0.416782 28642 213929 -1 3127 21 2714 3655 341757 71257 0 0 341757 71257 3655 3117 0 0 11178 9810 0 0 20054 12634 0 0 3655 3209 0 0 141889 22186 0 0 161326 20301 0 0 3655 0 0 941 1006 933 8513 0 0 5.25716 5.25716 -190.417 -5.25716 0 0 1.08113e+06 3740.92 0.45 0.15 0.22 -1 -1 0.45 0.0485911 0.0441809 126 53 96 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 8.59 vpr 63.30 MiB 0.02 6888 -1 -1 1 0.03 -1 -1 30340 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64820 32 32 368 284 1 217 80 17 17 289 -1 unnamed_device 24.4 MiB 0.78 1085 63.3 MiB 0.11 0.00 2.95409 -117.598 -2.95409 2.95409 1.07 0.00058712 0.000540793 0.0429483 0.0395586 38 3096 45 6.99608e+06 235451 678818. 2348.85 4.17 0.217141 0.192303 26626 170182 -1 2509 23 1906 2454 205228 41993 0 0 205228 41993 2454 2158 0 0 7584 6815 0 0 11968 8208 0 0 2454 2175 0 0 90550 11172 0 0 90218 11465 0 0 2454 0 0 548 586 658 5514 0 0 3.48286 3.48286 -144.552 -3.48286 0 0 902133. 3121.57 0.40 0.10 0.17 -1 -1 0.40 0.0357942 0.0318958 93 31 92 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 7.18 vpr 62.67 MiB 0.02 6868 -1 -1 1 0.03 -1 -1 30284 -1 -1 24 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64172 30 32 296 244 1 177 86 17 17 289 -1 unnamed_device 24.2 MiB 0.84 680 62.7 MiB 0.10 0.00 3.12594 -100.045 -3.12594 3.12594 1.04 0.000507782 0.000467838 0.0372265 0.0342755 48 2007 30 6.99608e+06 353176 865456. 2994.66 2.74 0.17559 0.155654 28354 207349 -1 1646 23 1614 2330 201982 44737 0 0 201982 44737 2330 1854 0 0 7776 6751 0 0 14906 9623 0 0 2330 1983 0 0 79768 13132 0 0 94872 11394 0 0 2330 0 0 716 997 787 7394 0 0 3.45281 3.45281 -127.177 -3.45281 0 0 1.05005e+06 3633.38 0.44 0.08 0.18 -1 -1 0.44 0.027708 0.0245708 80 29 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 10.52 vpr 63.79 MiB 0.03 7308 -1 -1 1 0.04 -1 -1 30892 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65320 32 32 531 413 1 346 88 17 17 289 -1 unnamed_device 25.6 MiB 1.06 1577 63.8 MiB 0.16 0.00 4.47287 -159.339 -4.47287 4.47287 1.03 0.000791656 0.000726716 0.0688485 0.0631939 46 4872 28 6.99608e+06 353176 828058. 2865.25 5.66 0.341467 0.304898 28066 200906 -1 3398 25 3580 4519 404564 80588 0 0 404564 80588 4519 4004 0 0 13647 12206 0 0 23178 14955 0 0 4519 4087 0 0 186563 21862 0 0 172138 23474 0 0 4519 0 0 939 955 1103 9520 0 0 5.73009 5.73009 -206.988 -5.73009 0 0 1.01997e+06 3529.29 0.42 0.16 0.21 -1 -1 0.42 0.0507723 0.0455111 159 109 32 32 128 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 8.15 vpr 63.11 MiB 0.02 6892 -1 -1 1 0.03 -1 -1 30616 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64620 32 32 376 288 1 217 80 17 17 289 -1 unnamed_device 24.3 MiB 0.95 866 63.1 MiB 0.13 0.00 3.52464 -126.298 -3.52464 3.52464 0.99 0.000575572 0.000526945 0.0534477 0.0491615 40 2836 27 6.99608e+06 235451 706193. 2443.58 3.76 0.214272 0.189526 26914 176310 -1 2253 21 2250 2935 242729 55034 0 0 242729 55034 2935 2643 0 0 9426 8301 0 0 17327 11153 0 0 2935 2739 0 0 100774 15656 0 0 109332 14542 0 0 2935 0 0 685 866 772 7120 0 0 4.6719 4.6719 -170.909 -4.6719 0 0 926341. 3205.33 0.37 0.10 0.18 -1 -1 0.37 0.0325421 0.0293027 92 31 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 6.71 vpr 62.52 MiB 0.02 6588 -1 -1 1 0.03 -1 -1 30220 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64016 32 32 283 225 1 158 88 17 17 289 -1 unnamed_device 23.9 MiB 0.32 655 62.5 MiB 0.09 0.00 2.48655 -92.9547 -2.48655 2.48655 1.07 0.000481762 0.000442037 0.0336736 0.030995 48 1799 40 6.99608e+06 353176 865456. 2994.66 2.78 0.164037 0.145392 28354 207349 -1 1598 23 1602 2525 239904 51660 0 0 239904 51660 2525 1935 0 0 8005 7107 0 0 16343 9684 0 0 2525 2100 0 0 109021 14824 0 0 101485 16010 0 0 2525 0 0 923 1213 1220 9167 0 0 3.25792 3.25792 -119.735 -3.25792 0 0 1.05005e+06 3633.38 0.42 0.15 0.20 -1 -1 0.42 0.0306524 0.0273527 70 -1 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 8.16 vpr 63.66 MiB 0.03 7120 -1 -1 1 0.04 -1 -1 30876 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65184 32 32 438 320 1 256 82 17 17 289 -1 unnamed_device 24.8 MiB 0.91 1146 63.7 MiB 0.11 0.00 3.69799 -132.667 -3.69799 3.69799 1.10 0.00068982 0.000636663 0.0469945 0.0434718 50 3644 48 6.99608e+06 264882 902133. 3121.57 3.78 0.230162 0.204557 28642 213929 -1 2743 22 2623 3750 302466 66911 0 0 302466 66911 3750 3071 0 0 11777 10338 0 0 21468 13468 0 0 3750 3260 0 0 127717 18324 0 0 134004 18450 0 0 3750 0 0 1127 1575 1846 13354 0 0 4.89656 4.89656 -178.335 -4.89656 0 0 1.08113e+06 3740.92 0.28 0.07 0.13 -1 -1 0.28 0.0231792 0.0206605 112 26 128 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 5.95 vpr 62.45 MiB 0.02 6704 -1 -1 1 0.03 -1 -1 30324 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63952 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 23.9 MiB 0.31 585 62.5 MiB 0.07 0.00 2.35025 -91.33 -2.35025 2.35025 1.02 0.000426509 0.000388375 0.030248 0.0276836 42 2170 46 6.99608e+06 147157 744469. 2576.02 2.21 0.175317 0.153794 27202 183097 -1 1566 24 1549 2237 188002 42466 0 0 188002 42466 2237 1865 0 0 7346 6653 0 0 13367 8907 0 0 2237 1922 0 0 84716 10457 0 0 78099 12662 0 0 2237 0 0 688 539 678 5900 0 0 3.24837 3.24837 -119.685 -3.24837 0 0 949917. 3286.91 0.40 0.10 0.16 -1 -1 0.40 0.0333273 0.0298752 62 -1 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 7.13 vpr 62.62 MiB 0.02 6860 -1 -1 1 0.04 -1 -1 30100 -1 -1 15 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64120 30 32 296 244 1 179 77 17 17 289 -1 unnamed_device 24.2 MiB 0.93 651 62.6 MiB 0.09 0.00 2.68144 -93.3928 -2.68144 2.68144 1.00 0.000499274 0.000459905 0.0367564 0.0338867 52 2225 30 6.99608e+06 220735 926341. 3205.33 2.91 0.176971 0.157507 29218 227130 -1 1627 20 1476 1918 138910 32923 0 0 138910 32923 1918 1611 0 0 6009 5272 0 0 10558 7014 0 0 1918 1695 0 0 54661 9239 0 0 63846 8092 0 0 1918 0 0 442 561 505 4760 0 0 3.33551 3.33551 -123.318 -3.33551 0 0 1.14541e+06 3963.36 0.47 0.07 0.21 -1 -1 0.47 0.025015 0.022383 74 29 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 9.51 vpr 63.29 MiB 0.03 7028 -1 -1 1 0.04 -1 -1 30264 -1 -1 20 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64812 29 32 393 319 1 245 81 17 17 289 -1 unnamed_device 24.6 MiB 1.95 1114 63.3 MiB 0.14 0.00 3.08733 -101.959 -3.08733 3.08733 0.97 0.000575109 0.000513634 0.0573088 0.0526005 44 3379 44 6.99608e+06 294314 787024. 2723.27 3.96 0.281474 0.25272 27778 195446 -1 2360 23 2180 2833 234308 49622 0 0 234308 49622 2833 2474 0 0 8842 7745 0 0 15066 10324 0 0 2833 2517 0 0 100534 14251 0 0 104200 12311 0 0 2833 0 0 653 675 524 6091 0 0 3.773 3.773 -132.177 -3.773 0 0 997811. 3452.63 0.42 0.10 0.20 -1 -1 0.42 0.0362505 0.032507 113 81 29 29 85 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 7.27 vpr 63.30 MiB 0.03 7040 -1 -1 1 0.03 -1 -1 30628 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64824 32 32 407 319 1 249 82 17 17 289 -1 unnamed_device 24.6 MiB 1.17 1185 63.3 MiB 0.10 0.00 3.54484 -133.077 -3.54484 3.54484 0.89 0.000617179 0.000566705 0.0384038 0.0353846 42 3507 39 6.99608e+06 264882 744469. 2576.02 2.77 0.230068 0.205082 27202 183097 -1 2854 23 2731 3617 361849 72114 0 0 361849 72114 3617 3236 0 0 11877 10650 0 0 21210 14236 0 0 3617 3305 0 0 162840 19979 0 0 158688 20708 0 0 3617 0 0 886 884 967 8170 0 0 4.68105 4.68105 -176.644 -4.68105 0 0 949917. 3286.91 0.39 0.14 0.18 -1 -1 0.39 0.0382382 0.0343086 109 53 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 8.03 vpr 63.33 MiB 0.02 7048 -1 -1 1 0.04 -1 -1 30772 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64852 32 32 407 319 1 249 82 17 17 289 -1 unnamed_device 24.6 MiB 1.23 1114 63.3 MiB 0.08 0.00 3.53384 -127.384 -3.53384 3.53384 0.98 0.000352408 0.000325061 0.0326807 0.0302236 44 3296 28 6.99608e+06 264882 787024. 2723.27 3.15 0.205469 0.18303 27778 195446 -1 2434 23 2507 3423 273143 56314 0 0 273143 56314 3423 2945 0 0 10493 9486 0 0 18683 11991 0 0 3423 3090 0 0 126863 13267 0 0 110258 15535 0 0 3423 0 0 916 841 847 8331 0 0 4.65611 4.65611 -166.237 -4.65611 0 0 997811. 3452.63 0.42 0.12 0.21 -1 -1 0.42 0.0400951 0.0361147 110 55 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 6.89 vpr 63.09 MiB 0.02 6704 -1 -1 1 0.04 -1 -1 30440 -1 -1 15 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64608 32 32 345 287 1 212 79 17 17 289 -1 unnamed_device 24.2 MiB 0.75 872 63.1 MiB 0.09 0.00 2.70344 -102.131 -2.70344 2.70344 1.03 0.000475481 0.000432926 0.0361399 0.0331104 40 2642 36 6.99608e+06 220735 706193. 2443.58 2.85 0.189034 0.167361 26914 176310 -1 2026 24 1979 2252 246669 53552 0 0 246669 53552 2252 2144 0 0 7368 6424 0 0 14225 8744 0 0 2252 2168 0 0 113084 16888 0 0 107488 17184 0 0 2252 0 0 273 288 274 3725 0 0 3.37122 3.37122 -131.232 -3.37122 0 0 926341. 3205.33 0.28 0.11 0.18 -1 -1 0.28 0.0340525 0.0305706 92 55 32 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 11.56 vpr 63.09 MiB 0.02 7028 -1 -1 1 0.03 -1 -1 30392 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64600 31 32 353 302 1 230 80 17 17 289 -1 unnamed_device 24.5 MiB 2.60 1062 63.1 MiB 0.10 0.00 2.64844 -100.934 -2.64844 2.64844 1.06 0.000527874 0.000484284 0.0404186 0.0372113 38 3270 42 6.99608e+06 250167 678818. 2348.85 5.35 0.23069 0.206326 26626 170182 -1 2543 23 2069 2556 245463 49687 0 0 245463 49687 2556 2308 0 0 8071 7211 0 0 12942 8879 0 0 2556 2499 0 0 108222 14743 0 0 111116 14047 0 0 2556 0 0 487 600 425 5042 0 0 3.29722 3.29722 -131.05 -3.29722 0 0 902133. 3121.57 0.35 0.10 0.17 -1 -1 0.35 0.0307268 0.0273318 102 82 0 0 89 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 8.32 vpr 63.28 MiB 0.03 6900 -1 -1 1 0.03 -1 -1 30432 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64796 30 32 374 297 1 226 81 17 17 289 -1 unnamed_device 24.4 MiB 1.45 934 63.3 MiB 0.09 0.00 2.77704 -93.9132 -2.77704 2.77704 1.07 0.000567993 0.000522514 0.0372469 0.0342638 48 2779 27 6.99608e+06 279598 865456. 2994.66 3.21 0.223503 0.199666 28354 207349 -1 2156 22 2092 2924 255377 56498 0 0 255377 56498 2924 2423 0 0 9875 8610 0 0 18260 12058 0 0 2924 2554 0 0 108544 15328 0 0 112850 15525 0 0 2924 0 0 832 1516 1511 10131 0 0 3.28127 3.28127 -119.272 -3.28127 0 0 1.05005e+06 3633.38 0.43 0.10 0.19 -1 -1 0.43 0.0348481 0.0311669 101 52 60 30 57 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 7.16 vpr 62.99 MiB 0.02 6944 -1 -1 1 0.03 -1 -1 30384 -1 -1 18 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64500 28 32 332 260 1 197 78 17 17 289 -1 unnamed_device 24.3 MiB 0.66 828 63.0 MiB 0.07 0.00 3.17575 -100.321 -3.17575 3.17575 1.07 0.000534035 0.00048983 0.0266978 0.0246466 40 2678 46 6.99608e+06 264882 706193. 2443.58 2.99 0.181534 0.160316 26914 176310 -1 2118 23 2105 3091 276201 59835 0 0 276201 59835 3091 2472 0 0 10421 9130 0 0 18822 12260 0 0 3091 2679 0 0 121856 16413 0 0 118920 16881 0 0 3091 0 0 986 1519 1495 10789 0 0 4.23372 4.23372 -138.243 -4.23372 0 0 926341. 3205.33 0.37 0.10 0.18 -1 -1 0.37 0.0298443 0.0265576 87 20 84 28 28 28 -fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 10.65 vpr 62.94 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 30136 -1 -1 15 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64452 30 32 325 273 1 204 77 17 17 289 -1 unnamed_device 24.2 MiB 2.07 863 62.9 MiB 0.09 0.00 3.64224 -118.696 -3.64224 3.64224 1.03 0.000466935 0.000426046 0.0367424 0.0336658 46 2736 38 6.99608e+06 220735 828058. 2865.25 5.17 0.204047 0.181938 28066 200906 -1 1995 22 1649 2201 218590 48736 0 0 218590 48736 2201 2023 0 0 7046 6309 0 0 11840 8093 0 0 2201 2101 0 0 101699 14381 0 0 93603 15829 0 0 2201 0 0 552 537 468 4920 0 0 4.05365 4.05365 -145.333 -4.05365 0 0 1.01997e+06 3529.29 0.38 0.06 0.21 -1 -1 0.38 0.0166927 0.0147936 88 58 30 30 60 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 9.92 vpr 63.08 MiB 0.02 6896 -1 -1 1 0.04 -1 -1 30424 -1 -1 15 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64592 32 32 361 308 1 241 79 17 17 289 -1 unnamed_device 24.2 MiB 3.53 1136 63.1 MiB 0.11 0.00 3.21599 -114.074 -3.21599 3.21599 0.99 0.000510254 0.000467452 0.0450189 0.0412319 44 3014 37 6.99608e+06 220735 787024. 2723.27 2.87 0.205435 0.182799 27778 195446 -1 2393 21 1887 2291 218660 43843 0 0 218660 43843 2291 1997 0 0 7594 6776 0 0 12823 8884 0 0 2291 2156 0 0 104016 10961 0 0 89645 13069 0 0 2291 0 0 404 420 264 4187 0 0 3.38386 3.38386 -135.464 -3.38386 0 0 997811. 3452.63 0.43 0.09 0.20 -1 -1 0.43 0.0314881 0.0282657 104 88 0 0 91 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 8.04 vpr 62.73 MiB 0.02 6780 -1 -1 1 0.03 -1 -1 30140 -1 -1 25 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64232 31 32 335 251 1 188 88 17 17 289 -1 unnamed_device 24.3 MiB 0.17 859 62.7 MiB 0.11 0.00 3.13845 -110.277 -3.13845 3.13845 1.11 0.0005711 0.00052525 0.0468865 0.0431211 48 2612 40 6.99608e+06 367892 865456. 2994.66 4.07 0.210037 0.185726 28354 207349 -1 2030 20 1843 2846 249511 58555 0 0 249511 58555 2846 2312 0 0 8967 7844 0 0 17144 10593 0 0 2846 2484 0 0 109198 17035 0 0 108510 18287 0 0 2846 0 0 1003 930 1053 8628 0 0 3.69952 3.69952 -140.361 -3.69952 0 0 1.05005e+06 3633.38 0.46 0.10 0.18 -1 -1 0.46 0.0297646 0.0267806 86 -1 124 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 8.30 vpr 63.29 MiB 0.05 6980 -1 -1 1 0.04 -1 -1 30568 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64812 32 32 407 319 1 249 81 17 17 289 -1 unnamed_device 24.6 MiB 0.87 1269 63.3 MiB 0.13 0.00 3.55094 -133.482 -3.55094 3.55094 0.97 0.000602252 0.0005422 0.0514088 0.0470692 46 3486 31 6.99608e+06 250167 828058. 2865.25 3.79 0.198158 0.175581 28066 200906 -1 2888 24 2241 2801 241435 46867 0 0 241435 46867 2801 2601 0 0 8497 7499 0 0 15041 9436 0 0 2801 2670 0 0 108111 12018 0 0 104184 12643 0 0 2801 0 0 560 529 588 5616 0 0 4.3223 4.3223 -162.9 -4.3223 0 0 1.01997e+06 3529.29 0.44 0.10 0.18 -1 -1 0.44 0.0362648 0.0321411 110 57 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 10.37 vpr 63.43 MiB 0.03 6996 -1 -1 1 0.03 -1 -1 30432 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64948 32 32 407 319 1 248 82 17 17 289 -1 unnamed_device 24.7 MiB 0.76 1082 63.4 MiB 0.13 0.00 4.23178 -141.686 -4.23178 4.23178 0.82 0.000614332 0.000563957 0.0507562 0.0466814 48 3640 29 6.99608e+06 264882 865456. 2994.66 6.41 0.236624 0.211701 28354 207349 -1 2767 25 2704 3702 441308 92428 0 0 441308 92428 3702 3323 0 0 12055 10762 0 0 23606 14755 0 0 3702 3424 0 0 196740 30169 0 0 201503 29995 0 0 3702 0 0 998 1231 1196 9567 0 0 5.24569 5.24569 -184.149 -5.24569 0 0 1.05005e+06 3633.38 0.41 0.10 0.20 -1 -1 0.41 0.0240799 0.0214575 108 62 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 8.82 vpr 63.31 MiB 0.02 6972 -1 -1 1 0.03 -1 -1 30392 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64832 32 32 399 315 1 250 82 17 17 289 -1 unnamed_device 24.6 MiB 0.79 1204 63.3 MiB 0.13 0.00 3.36648 -124.354 -3.36648 3.36648 1.03 0.000585923 0.000539231 0.0521313 0.0477332 44 4104 42 6.99608e+06 264882 787024. 2723.27 4.27 0.259625 0.231448 27778 195446 -1 2864 21 2255 3216 339420 91432 0 0 339420 91432 3216 2647 0 0 10246 9194 0 0 18254 12232 0 0 3216 2737 0 0 151029 33068 0 0 153459 31554 0 0 3216 0 0 961 1133 941 8737 0 0 4.23195 4.23195 -159.919 -4.23195 0 0 997811. 3452.63 0.43 0.14 0.20 -1 -1 0.43 0.0366405 0.03293 107 62 60 30 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 17.60 vpr 62.55 MiB 0.02 6736 -1 -1 1 0.03 -1 -1 30480 -1 -1 13 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64048 30 32 296 244 1 179 75 17 17 289 -1 unnamed_device 24.1 MiB 0.92 663 62.5 MiB 0.09 0.00 2.92109 -101.256 -2.92109 2.92109 0.81 0.000465457 0.00042746 0.0394493 0.0362489 40 2546 27 6.99608e+06 191304 706193. 2443.58 13.58 0.272241 0.238655 26914 176310 -1 2008 19 1502 2064 192292 43079 0 0 192292 43079 2064 1859 0 0 6853 6076 0 0 12516 8151 0 0 2064 1896 0 0 81578 13093 0 0 87217 12004 0 0 2064 0 0 562 574 491 4825 0 0 3.81776 3.81776 -137.646 -3.81776 0 0 926341. 3205.33 0.37 0.08 0.18 -1 -1 0.37 0.024923 0.0223981 76 29 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 20.52 vpr 63.41 MiB 0.02 7016 -1 -1 1 0.04 -1 -1 30352 -1 -1 18 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64936 30 32 383 303 1 237 80 17 17 289 -1 unnamed_device 24.7 MiB 2.79 929 63.4 MiB 0.13 0.00 3.81003 -124.877 -3.81003 3.81003 0.91 0.000603265 0.000553919 0.0545054 0.0501361 40 3143 28 6.99608e+06 264882 706193. 2443.58 14.19 0.349303 0.30773 26914 176310 -1 2663 24 2711 3787 363669 78974 0 0 363669 78974 3787 3317 0 0 12212 10811 0 0 22749 14309 0 0 3787 3362 0 0 156006 25109 0 0 165128 22066 0 0 3787 0 0 1076 1289 1077 9471 0 0 5.13754 5.13754 -174.607 -5.13754 0 0 926341. 3205.33 0.42 0.13 0.21 -1 -1 0.42 0.0355728 0.0317601 105 58 60 30 60 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 7.85 vpr 63.33 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 30796 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64848 32 32 469 381 1 309 86 17 17 289 -1 unnamed_device 24.9 MiB 0.89 1345 63.3 MiB 0.13 0.00 3.42564 -127.072 -3.42564 3.42564 1.05 0.000675411 0.000619762 0.0580221 0.05339 44 4108 37 6.99608e+06 323745 787024. 2723.27 3.29 0.233463 0.207669 27778 195446 -1 2947 27 2790 2836 314372 78142 0 0 314372 78142 2836 2798 0 0 8742 7815 0 0 15936 10249 0 0 2836 2825 0 0 142460 28304 0 0 141562 26151 0 0 2836 0 0 46 46 32 3052 0 0 4.51355 4.51355 -166.78 -4.51355 0 0 997811. 3452.63 0.44 0.18 0.20 -1 -1 0.44 0.0594781 0.0533584 139 106 0 0 128 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 10.13 vpr 63.16 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 30336 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64676 31 32 425 341 1 275 85 17 17 289 -1 unnamed_device 24.7 MiB 1.85 1230 63.2 MiB 0.12 0.00 3.52904 -124.805 -3.52904 3.52904 0.91 0.000674397 0.000615306 0.0491709 0.0449956 38 3458 41 6.99608e+06 323745 678818. 2348.85 4.76 0.217645 0.193136 26626 170182 -1 2603 21 2384 2843 215639 46284 0 0 215639 46284 2843 2542 0 0 8792 7760 0 0 14290 9625 0 0 2843 2615 0 0 94505 12107 0 0 92366 11635 0 0 2843 0 0 459 563 446 5699 0 0 4.64511 4.64511 -162.859 -4.64511 0 0 902133. 3121.57 0.38 0.10 0.17 -1 -1 0.38 0.0362971 0.0326637 125 79 31 31 93 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 10.39 vpr 63.38 MiB 0.03 7132 -1 -1 1 0.03 -1 -1 30608 -1 -1 22 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64900 30 32 404 328 1 249 84 17 17 289 -1 unnamed_device 24.7 MiB 3.41 1063 63.4 MiB 0.13 0.00 3.51688 -108.447 -3.51688 3.51688 1.12 0.000609134 0.000560306 0.0544132 0.0500954 44 3498 33 6.99608e+06 323745 787024. 2723.27 3.20 0.22728 0.201608 27778 195446 -1 2508 19 2179 3152 263382 55808 0 0 263382 55808 3152 2611 0 0 9805 8563 0 0 16672 11405 0 0 3152 2773 0 0 109925 16594 0 0 120676 13862 0 0 3152 0 0 973 1203 1083 9169 0 0 4.0439 4.0439 -144.09 -4.0439 0 0 997811. 3452.63 0.39 0.10 0.17 -1 -1 0.39 0.0324518 0.0291434 114 83 26 26 90 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 10.59 vpr 63.14 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 30452 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64660 32 32 407 319 1 252 82 17 17 289 -1 unnamed_device 24.6 MiB 1.12 1112 63.1 MiB 0.14 0.00 3.54484 -127.964 -3.54484 3.54484 0.77 0.000623205 0.000572522 0.0555361 0.0510272 40 3844 46 6.99608e+06 264882 706193. 2443.58 6.08 0.295388 0.264331 26914 176310 -1 3054 26 3163 4328 587888 132148 0 0 587888 132148 4328 3905 0 0 13510 12007 0 0 26808 16166 0 0 4328 4043 0 0 275554 47779 0 0 263360 48248 0 0 4328 0 0 1165 1438 1355 10642 0 0 5.15411 5.15411 -186.783 -5.15411 0 0 926341. 3205.33 0.37 0.19 0.16 -1 -1 0.37 0.0380524 0.0337367 110 58 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 10.12 vpr 63.30 MiB 0.03 7176 -1 -1 1 0.04 -1 -1 30468 -1 -1 20 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64816 29 32 387 316 1 240 81 17 17 289 -1 unnamed_device 24.6 MiB 2.26 1035 63.3 MiB 0.10 0.00 2.90529 -95.1703 -2.90529 2.90529 1.08 0.000556952 0.000509207 0.0418684 0.0386104 46 2832 34 6.99608e+06 294314 828058. 2865.25 4.15 0.214968 0.190527 28066 200906 -1 2205 21 1954 2567 184874 41690 0 0 184874 41690 2567 2247 0 0 8248 7352 0 0 12841 9106 0 0 2567 2343 0 0 77815 10764 0 0 80836 9878 0 0 2567 0 0 613 527 480 5607 0 0 3.42781 3.42781 -121.29 -3.42781 0 0 1.01997e+06 3529.29 0.42 0.09 0.20 -1 -1 0.42 0.0299953 0.0267818 112 81 26 26 85 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 7.46 vpr 62.41 MiB 0.02 6584 -1 -1 1 0.03 -1 -1 30300 -1 -1 10 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63904 32 32 283 225 1 155 74 17 17 289 -1 unnamed_device 23.9 MiB 0.88 616 62.4 MiB 0.09 0.00 2.36125 -91.4141 -2.36125 2.36125 1.06 0.00049723 0.00045636 0.0335365 0.0307632 44 2211 40 6.99608e+06 147157 787024. 2723.27 3.05 0.173581 0.153461 27778 195446 -1 1625 23 1545 2397 181933 42322 0 0 181933 42322 2397 2080 0 0 7328 6479 0 0 13223 8394 0 0 2397 2112 0 0 83393 9994 0 0 73195 13263 0 0 2397 0 0 852 938 899 6996 0 0 2.93832 2.93832 -121.565 -2.93832 0 0 997811. 3452.63 0.41 0.08 0.18 -1 -1 0.41 0.0263941 0.0234158 62 -1 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 10.67 vpr 63.44 MiB 0.02 7052 -1 -1 1 0.04 -1 -1 30480 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64960 32 32 407 319 1 251 82 17 17 289 -1 unnamed_device 24.8 MiB 0.83 1043 63.4 MiB 0.14 0.00 4.01233 -140.857 -4.01233 4.01233 1.07 0.000649664 0.000596864 0.0568197 0.0523142 46 3854 37 6.99608e+06 264882 828058. 2865.25 6.11 0.221212 0.197298 28066 200906 -1 2610 20 2367 3166 301352 64666 0 0 301352 64666 3166 2870 0 0 9768 8657 0 0 16682 10916 0 0 3166 2978 0 0 128281 20239 0 0 140289 19006 0 0 3166 0 0 799 1039 923 7969 0 0 5.13934 5.13934 -187.109 -5.13934 0 0 1.01997e+06 3529.29 0.41 0.13 0.19 -1 -1 0.41 0.0412809 0.0374901 110 62 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 8.46 vpr 63.18 MiB 0.03 7084 -1 -1 1 0.03 -1 -1 30456 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64696 32 32 407 319 1 255 81 17 17 289 -1 unnamed_device 24.6 MiB 1.02 1186 63.2 MiB 0.13 0.00 3.88697 -135.819 -3.88697 3.88697 1.08 0.000616003 0.000564513 0.0573327 0.0526628 50 3097 32 6.99608e+06 250167 902133. 3121.57 3.58 0.24499 0.218651 28642 213929 -1 2727 20 2626 3640 388450 80621 0 0 388450 80621 3640 3198 0 0 11702 10477 0 0 20911 13486 0 0 3640 3319 0 0 177543 23969 0 0 171014 26172 0 0 3640 0 0 1014 1070 1122 8900 0 0 5.12834 5.12834 -184.621 -5.12834 0 0 1.08113e+06 3740.92 0.44 0.13 0.19 -1 -1 0.44 0.0332186 0.0297087 111 62 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 11.69 vpr 62.66 MiB 0.02 6820 -1 -1 1 0.03 -1 -1 30424 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64168 32 32 315 267 1 202 77 17 17 289 -1 unnamed_device 24.2 MiB 2.42 862 62.7 MiB 0.10 0.00 2.55629 -91.6388 -2.55629 2.55629 1.05 0.000503841 0.000461341 0.0418833 0.0385035 38 2882 44 6.99608e+06 191304 678818. 2348.85 5.77 0.209431 0.186644 26626 170182 -1 1925 21 1525 1782 155706 34645 0 0 155706 34645 1782 1621 0 0 5810 5065 0 0 9470 6560 0 0 1782 1693 0 0 69289 9764 0 0 67573 9942 0 0 1782 0 0 257 255 207 3119 0 0 3.22946 3.22946 -119.127 -3.22946 0 0 902133. 3121.57 0.37 0.08 0.15 -1 -1 0.37 0.0291756 0.026167 85 47 32 32 54 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 7.12 vpr 62.30 MiB 0.02 6768 -1 -1 1 0.03 -1 -1 30300 -1 -1 11 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63796 31 32 275 220 1 154 74 17 17 289 -1 unnamed_device 23.8 MiB 0.26 830 62.3 MiB 0.08 0.00 2.6052 -100.797 -2.6052 2.6052 1.10 0.000485295 0.00044898 0.0322342 0.0297332 36 2270 33 6.99608e+06 161872 648988. 2245.63 3.34 0.155532 0.137731 26050 158493 -1 1993 20 1450 2155 198385 39291 0 0 198385 39291 2155 1795 0 0 6632 5776 0 0 11772 7581 0 0 2155 1851 0 0 91958 10358 0 0 83713 11930 0 0 2155 0 0 705 636 800 6024 0 0 3.30622 3.30622 -130.975 -3.30622 0 0 828058. 2865.25 0.33 0.08 0.16 -1 -1 0.33 0.0246619 0.022068 63 -1 93 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 8.69 vpr 62.88 MiB 0.04 6896 -1 -1 1 0.04 -1 -1 30260 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64392 32 32 381 303 1 235 81 17 17 289 -1 unnamed_device 24.1 MiB 1.03 900 62.9 MiB 0.12 0.00 3.28468 -109.777 -3.28468 3.28468 1.04 0.000506284 0.000462335 0.0518041 0.047435 46 2993 29 6.99608e+06 250167 828058. 2865.25 3.95 0.222319 0.197395 28066 200906 -1 1941 21 1791 2121 164311 36504 0 0 164311 36504 2121 1907 0 0 6628 5883 0 0 10915 7429 0 0 2121 1930 0 0 77863 8291 0 0 64663 11064 0 0 2121 0 0 330 273 236 3642 0 0 4.12215 4.12215 -137.693 -4.12215 0 0 1.01997e+06 3529.29 0.42 0.08 0.21 -1 -1 0.42 0.0331724 0.0296628 102 56 60 32 58 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 11.23 vpr 63.17 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 30372 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64688 32 32 406 330 1 255 83 17 17 289 -1 unnamed_device 24.5 MiB 1.72 1176 63.2 MiB 0.13 0.00 3.50704 -122.982 -3.50704 3.50704 1.08 0.000633559 0.000583254 0.0519941 0.0479244 38 3468 29 6.99608e+06 279598 678818. 2348.85 5.80 0.241815 0.215881 26626 170182 -1 2539 20 2034 2363 190335 40259 0 0 190335 40259 2363 2116 0 0 7505 6401 0 0 11321 8103 0 0 2363 2146 0 0 86342 10474 0 0 80441 11019 0 0 2363 0 0 329 150 274 4058 0 0 4.50581 4.50581 -155.841 -4.50581 0 0 902133. 3121.57 0.36 0.09 0.17 -1 -1 0.36 0.0313916 0.0274961 115 81 28 28 88 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 8.94 vpr 63.29 MiB 0.02 7056 -1 -1 1 0.04 -1 -1 30608 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64812 32 32 399 285 1 218 91 17 17 289 -1 unnamed_device 24.6 MiB 0.43 1217 63.3 MiB 0.11 0.00 3.52884 -132.013 -3.52884 3.52884 1.10 0.000640411 0.000587598 0.0411034 0.0378122 40 3126 30 6.99608e+06 397324 706193. 2443.58 5.04 0.223547 0.19817 26914 176310 -1 2786 22 2434 3851 351477 68946 0 0 351477 68946 3851 3055 0 0 11468 10100 0 0 22394 13215 0 0 3851 3218 0 0 156143 19773 0 0 153770 19585 0 0 3851 0 0 1417 1478 1688 12392 0 0 4.6841 4.6841 -176.729 -4.6841 0 0 926341. 3205.33 0.39 0.13 0.19 -1 -1 0.39 0.0394812 0.0356362 100 -1 156 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 9.08 vpr 63.18 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 30476 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64696 30 32 371 295 1 227 81 17 17 289 -1 unnamed_device 24.2 MiB 1.05 948 63.2 MiB 0.13 0.00 2.98539 -97.6966 -2.98539 2.98539 1.07 0.000573421 0.000526662 0.0530379 0.048859 40 3005 45 6.99608e+06 279598 706193. 2443.58 4.47 0.23677 0.210707 26914 176310 -1 2491 22 2069 2869 309033 65356 0 0 309033 65356 2869 2496 0 0 9721 8435 0 0 17163 11508 0 0 2869 2594 0 0 143722 19328 0 0 132689 20995 0 0 2869 0 0 800 1017 1100 8014 0 0 3.64746 3.64746 -135.235 -3.64746 0 0 926341. 3205.33 0.36 0.11 0.16 -1 -1 0.36 0.0289277 0.0256457 101 47 60 30 56 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 7.34 vpr 62.45 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 30652 -1 -1 16 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63952 27 32 269 226 1 152 75 17 17 289 -1 unnamed_device 23.8 MiB 1.57 534 62.5 MiB 0.08 0.00 3.14605 -88.3558 -3.14605 3.14605 1.04 0.000399741 0.000364766 0.0345935 0.0317164 40 1723 26 6.99608e+06 235451 706193. 2443.58 2.33 0.155251 0.136801 26914 176310 -1 1432 22 1258 1761 157859 37909 0 0 157859 37909 1761 1496 0 0 6043 5264 0 0 10785 7508 0 0 1761 1559 0 0 68795 10507 0 0 68714 11575 0 0 1761 0 0 503 586 402 4454 0 0 3.50116 3.50116 -118.251 -3.50116 0 0 926341. 3205.33 0.38 0.08 0.16 -1 -1 0.38 0.031906 0.0275952 67 26 54 27 27 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 8.43 vpr 63.36 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 30648 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64880 32 32 493 378 1 313 85 17 17 289 -1 unnamed_device 24.9 MiB 0.96 1569 63.4 MiB 0.16 0.00 3.70124 -132.966 -3.70124 3.70124 1.06 0.000651458 0.000596568 0.0673483 0.061947 50 4336 31 6.99608e+06 309029 902133. 3121.57 3.63 0.307236 0.275578 28642 213929 -1 3607 26 3167 4350 502848 124439 0 0 502848 124439 4350 3946 0 0 13419 11865 0 0 24435 15473 0 0 4350 4143 0 0 235616 43272 0 0 220678 45740 0 0 4350 0 0 1183 1521 1227 10765 0 0 4.73421 4.73421 -166.017 -4.73421 0 0 1.08113e+06 3740.92 0.44 0.20 0.20 -1 -1 0.44 0.0519008 0.0463621 141 85 62 31 95 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 10.83 vpr 63.26 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 30524 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64776 31 32 455 371 1 302 85 17 17 289 -1 unnamed_device 24.9 MiB 2.95 1359 63.3 MiB 0.09 0.00 4.12662 -140.084 -4.12662 4.12662 1.02 0.000549665 0.000500786 0.0353058 0.0323339 40 3643 29 6.99608e+06 323745 706193. 2443.58 4.27 0.247323 0.220388 26914 176310 -1 3187 28 3365 3818 590535 196801 0 0 590535 196801 3818 3664 0 0 12323 10782 0 0 25458 15076 0 0 3818 3707 0 0 276548 83536 0 0 268570 80036 0 0 3818 0 0 453 490 494 6183 0 0 4.64705 4.64705 -173.44 -4.64705 0 0 926341. 3205.33 0.37 0.22 0.18 -1 -1 0.37 0.045663 0.040578 138 105 0 0 124 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 21.54 vpr 63.01 MiB 0.04 6888 -1 -1 1 0.04 -1 -1 30360 -1 -1 15 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64520 32 32 355 304 1 233 79 17 17 289 -1 unnamed_device 24.2 MiB 3.43 992 63.0 MiB 0.10 0.00 2.99983 -108.221 -2.99983 2.99983 1.01 0.000543324 0.000488237 0.0404171 0.0370263 40 2910 32 6.99608e+06 220735 706193. 2443.58 14.90 0.350664 0.307776 26914 176310 -1 2446 24 2046 2432 287888 62155 0 0 287888 62155 2432 2264 0 0 8396 7215 0 0 15260 10206 0 0 2432 2332 0 0 131297 20270 0 0 128071 19868 0 0 2432 0 0 386 383 447 4498 0 0 3.8776 3.8776 -140.366 -3.8776 0 0 926341. 3205.33 0.38 0.12 0.18 -1 -1 0.38 0.036378 0.0325667 102 86 0 0 89 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 18.45 vpr 63.09 MiB 0.02 6924 -1 -1 1 0.04 -1 -1 30328 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64608 32 32 364 282 1 217 80 17 17 289 -1 unnamed_device 24.3 MiB 0.94 933 63.1 MiB 0.07 0.00 3.12745 -112.519 -3.12745 3.12745 0.95 0.000347184 0.000320217 0.0293191 0.027101 46 3049 46 6.99608e+06 235451 828058. 2865.25 14.24 0.343757 0.303143 28066 200906 -1 2216 23 1940 2579 228452 51262 0 0 228452 51262 2579 2267 0 0 7921 7082 0 0 14903 9050 0 0 2579 2320 0 0 105290 14646 0 0 95180 15897 0 0 2579 0 0 639 521 531 5682 0 0 4.77592 4.77592 -152.768 -4.77592 0 0 1.01997e+06 3529.29 0.42 0.10 0.20 -1 -1 0.42 0.0345193 0.0309099 92 31 90 30 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 8.04 vpr 63.50 MiB 0.03 7164 -1 -1 1 0.04 -1 -1 30740 -1 -1 20 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65028 31 32 443 336 1 261 83 17 17 289 -1 unnamed_device 24.7 MiB 1.89 1122 63.5 MiB 0.12 0.00 3.54405 -115.603 -3.54405 3.54405 0.99 0.000529333 0.000484995 0.0502504 0.0462047 48 2987 41 6.99608e+06 294314 865456. 2994.66 2.57 0.290829 0.259306 28354 207349 -1 2440 21 2359 3182 247100 55535 0 0 247100 55535 3182 2573 0 0 10760 9467 0 0 19332 13157 0 0 3182 2741 0 0 104004 13695 0 0 106640 13902 0 0 3182 0 0 823 788 1137 8590 0 0 4.05412 4.05412 -152.486 -4.05412 0 0 1.05005e+06 3633.38 0.40 0.10 0.18 -1 -1 0.40 0.0377148 0.0336775 117 50 87 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 10.48 vpr 63.13 MiB 0.03 7024 -1 -1 1 0.04 -1 -1 30532 -1 -1 20 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64644 30 32 373 297 1 228 82 17 17 289 -1 unnamed_device 24.3 MiB 1.38 1155 63.1 MiB 0.11 0.00 2.91629 -97.4384 -2.91629 2.91629 0.95 0.000571962 0.000524237 0.0434648 0.0399608 40 3389 28 6.99608e+06 294314 706193. 2443.58 5.69 0.212924 0.18915 26914 176310 -1 2643 21 1994 2822 247962 56740 0 0 247962 56740 2822 2482 0 0 9517 8363 0 0 16403 11272 0 0 2822 2631 0 0 111455 16468 0 0 104943 15524 0 0 2822 0 0 828 740 957 7307 0 0 4.14966 4.14966 -144.197 -4.14966 0 0 926341. 3205.33 0.37 0.10 0.18 -1 -1 0.37 0.0319517 0.0287207 101 50 58 30 58 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 8.38 vpr 63.26 MiB 0.03 7032 -1 -1 1 0.04 -1 -1 30548 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64776 32 32 407 319 1 252 81 17 17 289 -1 unnamed_device 24.6 MiB 0.56 1054 63.3 MiB 0.11 0.00 3.42564 -122.142 -3.42564 3.42564 1.09 0.00053494 0.000492724 0.0426353 0.0392916 46 3732 31 6.99608e+06 250167 828058. 2865.25 4.37 0.214233 0.190175 28066 200906 -1 2717 22 2397 2963 268951 57961 0 0 268951 57961 2963 2788 0 0 9066 8002 0 0 15298 9915 0 0 2963 2847 0 0 114385 17751 0 0 124276 16658 0 0 2963 0 0 566 612 575 5920 0 0 4.43961 4.43961 -161.41 -4.43961 0 0 1.01997e+06 3529.29 0.30 0.07 0.20 -1 -1 0.30 0.0215513 0.0192788 107 61 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 7.42 vpr 63.30 MiB 0.03 6964 -1 -1 1 0.04 -1 -1 30404 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64820 32 32 405 318 1 253 82 17 17 289 -1 unnamed_device 24.7 MiB 0.82 1165 63.3 MiB 0.08 0.00 2.98529 -112.735 -2.98529 2.98529 1.08 0.000632 0.000581497 0.0335078 0.0309499 40 3488 27 6.99608e+06 264882 706193. 2443.58 2.90 0.197835 0.17533 26914 176310 -1 2985 29 2620 3371 468061 162339 0 0 468061 162339 3371 2979 0 0 10968 9677 0 0 21100 13422 0 0 3371 3073 0 0 213177 63733 0 0 216074 69455 0 0 3371 0 0 751 948 1046 7988 0 0 3.95836 3.95836 -153.025 -3.95836 0 0 926341. 3205.33 0.38 0.19 0.18 -1 -1 0.38 0.0468306 0.0418375 108 61 63 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 13.86 vpr 62.41 MiB 0.02 6680 -1 -1 1 0.03 -1 -1 30388 -1 -1 14 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63904 29 32 287 238 1 172 75 17 17 289 -1 unnamed_device 23.8 MiB 1.38 638 62.4 MiB 0.07 0.00 2.67044 -90.526 -2.67044 2.67044 1.09 0.000496822 0.000457251 0.0294732 0.0271838 38 2021 41 6.99608e+06 206020 678818. 2348.85 8.96 0.310875 0.274405 26626 170182 -1 1532 22 1682 2176 167508 37539 0 0 167508 37539 2176 1904 0 0 6504 5761 0 0 10448 7030 0 0 2176 1919 0 0 77407 9608 0 0 68797 11317 0 0 2176 0 0 494 461 606 4725 0 0 3.47441 3.47441 -119.187 -3.47441 0 0 902133. 3121.57 0.37 0.08 0.17 -1 -1 0.37 0.0267243 0.0238348 73 28 58 29 29 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 10.27 vpr 62.93 MiB 0.02 6892 -1 -1 1 0.03 -1 -1 30048 -1 -1 14 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64440 32 32 334 290 1 207 78 17 17 289 -1 unnamed_device 24.4 MiB 3.28 797 62.9 MiB 0.11 0.00 2.99983 -99.3764 -2.99983 2.99983 1.09 0.000529071 0.000484799 0.0461948 0.0424749 48 2329 23 6.99608e+06 206020 865456. 2994.66 3.30 0.195151 0.173846 28354 207349 -1 1894 35 2176 2601 314069 95185 0 0 314069 95185 2601 2378 0 0 8522 7565 0 0 17377 11296 0 0 2601 2405 0 0 144940 35673 0 0 138028 35868 0 0 2601 0 0 425 406 379 4661 0 0 3.75891 3.75891 -133.052 -3.75891 0 0 1.05005e+06 3633.38 0.43 0.14 0.18 -1 -1 0.43 0.0437393 0.0388121 91 79 0 0 82 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 6.35 vpr 63.12 MiB 0.03 6956 -1 -1 1 0.04 -1 -1 30480 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64636 31 32 365 281 1 217 80 17 17 289 -1 unnamed_device 24.3 MiB 0.53 1137 63.1 MiB 0.11 0.00 3.16964 -118.943 -3.16964 3.16964 0.89 0.000604994 0.000556934 0.0452709 0.0417563 40 2765 34 6.99608e+06 250167 706193. 2443.58 2.79 0.243998 0.218991 26914 176310 -1 2548 23 2277 2966 298043 58959 0 0 298043 58959 2966 2580 0 0 9452 8287 0 0 18416 11156 0 0 2966 2675 0 0 137294 16441 0 0 126949 17820 0 0 2966 0 0 689 643 676 6808 0 0 4.16842 4.16842 -159.83 -4.16842 0 0 926341. 3205.33 0.38 0.11 0.18 -1 -1 0.38 0.0342219 0.0307473 92 29 93 31 31 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 11.19 vpr 62.45 MiB 0.02 6956 -1 -1 1 0.03 -1 -1 30388 -1 -1 16 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63948 29 32 297 254 1 191 77 17 17 289 -1 unnamed_device 24.0 MiB 2.02 845 62.4 MiB 0.10 0.00 2.67859 -89.3377 -2.67859 2.67859 1.01 0.000472293 0.00043451 0.0387804 0.0356618 36 2786 47 6.99608e+06 235451 648988. 2245.63 5.77 0.186811 0.164352 26050 158493 -1 2101 22 1573 1800 181791 36764 0 0 181791 36764 1800 1741 0 0 5785 5034 0 0 9649 6531 0 0 1800 1752 0 0 80738 11384 0 0 82019 10322 0 0 1800 0 0 227 221 212 2980 0 0 3.20322 3.20322 -113.15 -3.20322 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0250532 0.02216 81 48 29 29 52 26 -fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 8.22 vpr 62.57 MiB 0.02 6800 -1 -1 1 0.04 -1 -1 30288 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64068 32 32 314 256 1 188 77 17 17 289 -1 unnamed_device 23.9 MiB 0.74 974 62.6 MiB 0.06 0.00 2.94309 -115.02 -2.94309 2.94309 0.92 0.000347386 0.000322536 0.0242891 0.022466 38 2637 39 6.99608e+06 191304 678818. 2348.85 4.17 0.164986 0.145705 26626 170182 -1 2151 23 1910 2424 223753 44129 0 0 223753 44129 2424 2133 0 0 7292 6444 0 0 12586 7889 0 0 2424 2192 0 0 101928 12491 0 0 97099 12980 0 0 2424 0 0 514 504 526 5050 0 0 3.46386 3.46386 -141.946 -3.46386 0 0 902133. 3121.57 0.37 0.09 0.16 -1 -1 0.37 0.0277269 0.0247436 79 31 64 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 8.93 vpr 63.22 MiB 0.03 7024 -1 -1 1 0.04 -1 -1 30344 -1 -1 19 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64740 31 32 387 307 1 239 82 17 17 289 -1 unnamed_device 24.7 MiB 1.39 992 63.2 MiB 0.12 0.00 3.32078 -116.501 -3.32078 3.32078 1.08 0.00100323 0.000917457 0.0499665 0.0458728 46 2845 27 6.99608e+06 279598 828058. 2865.25 3.86 0.205125 0.181302 28066 200906 -1 2199 19 2082 2803 215368 47573 0 0 215368 47573 2803 2362 0 0 9006 8023 0 0 14580 10111 0 0 2803 2445 0 0 90293 12769 0 0 95883 11863 0 0 2803 0 0 721 793 683 6748 0 0 4.06095 4.06095 -148.573 -4.06095 0 0 1.01997e+06 3529.29 0.44 0.09 0.20 -1 -1 0.44 0.0302838 0.0271758 105 60 58 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 9.98 vpr 62.66 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 30460 -1 -1 13 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64160 31 32 308 262 1 192 76 17 17 289 -1 unnamed_device 23.9 MiB 2.77 716 62.7 MiB 0.09 0.00 2.73604 -87.9997 -2.73604 2.73604 1.06 0.000497988 0.000456058 0.0398334 0.0366 46 2525 33 6.99608e+06 191304 828058. 2865.25 3.69 0.184095 0.162769 28066 200906 -1 1601 23 1425 1776 132559 33050 0 0 132559 33050 1776 1547 0 0 5717 5121 0 0 9806 6570 0 0 1776 1554 0 0 53485 9420 0 0 59999 8838 0 0 1776 0 0 351 407 233 3493 0 0 3.66791 3.66791 -118.36 -3.66791 0 0 1.01997e+06 3529.29 0.26 0.04 0.15 -1 -1 0.26 0.016088 0.0142447 81 49 31 31 53 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 9.05 vpr 63.13 MiB 0.03 7008 -1 -1 1 0.04 -1 -1 30464 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64648 32 32 383 307 1 232 82 17 17 289 -1 unnamed_device 24.7 MiB 2.05 1008 63.1 MiB 0.14 0.00 2.90049 -103.693 -2.90049 2.90049 1.04 0.000751404 0.000699587 0.0559253 0.0515803 42 3626 38 6.99608e+06 264882 744469. 2576.02 3.29 0.23601 0.210095 27202 183097 -1 2380 23 1770 2328 212391 44942 0 0 212391 44942 2328 1956 0 0 7698 6707 0 0 13288 8991 0 0 2328 2027 0 0 93639 12893 0 0 93110 12368 0 0 2328 0 0 558 647 615 6264 0 0 3.40881 3.40881 -132.328 -3.40881 0 0 949917. 3286.91 0.39 0.10 0.19 -1 -1 0.39 0.0337128 0.0299905 103 56 52 26 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 8.64 vpr 63.08 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 30332 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64596 31 32 422 339 1 272 85 17 17 289 -1 unnamed_device 24.6 MiB 1.04 1260 63.1 MiB 0.13 0.00 3.87927 -135.482 -3.87927 3.87927 0.84 0.000626446 0.000574288 0.0518415 0.0475211 40 3526 47 6.99608e+06 323745 706193. 2443.58 4.00 0.230934 0.204969 26914 176310 -1 3199 32 3497 4891 781177 248876 0 0 781177 248876 4891 4303 0 0 16105 14399 0 0 37027 21323 0 0 4891 4446 0 0 359390 105272 0 0 358873 99133 0 0 4891 0 0 1394 1848 1844 13447 0 0 4.64639 4.64639 -174.358 -4.64639 0 0 926341. 3205.33 0.37 0.29 0.16 -1 -1 0.37 0.0582765 0.0522468 123 88 31 31 92 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 8.81 vpr 62.95 MiB 0.02 6840 -1 -1 1 0.03 -1 -1 30340 -1 -1 15 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64464 32 32 333 279 1 208 79 17 17 289 -1 unnamed_device 24.2 MiB 2.88 1202 63.0 MiB 0.08 0.00 2.96354 -111.7 -2.96354 2.96354 1.04 0.000554511 0.000509084 0.0301422 0.0276773 42 3042 19 6.99608e+06 220735 744469. 2576.02 2.73 0.171133 0.151656 27202 183097 -1 2444 19 1619 2291 218844 43333 0 0 218844 43333 2291 1892 0 0 7411 6555 0 0 13538 8903 0 0 2291 2029 0 0 99004 11155 0 0 94309 12799 0 0 2291 0 0 672 696 699 5826 0 0 3.26051 3.26051 -130.82 -3.26051 0 0 949917. 3286.91 0.39 0.08 0.19 -1 -1 0.39 0.0249485 0.0220931 88 54 32 32 60 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 7.21 vpr 63.05 MiB 0.02 6808 -1 -1 1 0.04 -1 -1 30112 -1 -1 14 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64564 32 32 339 283 1 212 78 17 17 289 -1 unnamed_device 24.3 MiB 0.86 782 63.1 MiB 0.10 0.00 2.68144 -96.9417 -2.68144 2.68144 1.16 0.000554411 0.000508456 0.0384092 0.0353423 50 2137 27 6.99608e+06 206020 902133. 3121.57 2.56 0.180852 0.160722 28642 213929 -1 1543 26 1843 2277 167933 38372 0 0 167933 38372 2277 2156 0 0 7272 6528 0 0 14028 8710 0 0 2277 2172 0 0 69097 9519 0 0 72982 9287 0 0 2277 0 0 434 471 302 4352 0 0 3.13597 3.13597 -117.957 -3.13597 0 0 1.08113e+06 3740.92 0.43 0.08 0.22 -1 -1 0.43 0.0294937 0.0259196 91 60 32 32 62 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 7.35 vpr 63.14 MiB 0.03 6844 -1 -1 1 0.04 -1 -1 30704 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64652 32 32 407 319 1 252 82 17 17 289 -1 unnamed_device 24.5 MiB 1.10 882 63.1 MiB 0.06 0.00 3.18865 -115.614 -3.18865 3.18865 1.02 0.000378826 0.000350039 0.0223942 0.0207015 46 2898 33 6.99608e+06 264882 828058. 2865.25 2.99 0.17854 0.155843 28066 200906 -1 2191 24 2323 2853 235469 53815 0 0 235469 53815 2853 2465 0 0 8848 8049 0 0 15580 9936 0 0 2853 2514 0 0 102357 15414 0 0 102978 15437 0 0 2853 0 0 530 489 499 5481 0 0 4.50162 4.50162 -159.771 -4.50162 0 0 1.01997e+06 3529.29 0.27 0.06 0.12 -1 -1 0.27 0.0213796 0.0190395 110 49 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 9.65 vpr 63.27 MiB 0.03 7056 -1 -1 1 0.04 -1 -1 30544 -1 -1 21 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64784 29 32 367 293 1 222 82 17 17 289 -1 unnamed_device 24.4 MiB 1.92 997 63.3 MiB 0.11 0.00 2.77374 -97.0688 -2.77374 2.77374 1.05 0.000574326 0.000528196 0.0442214 0.0406732 38 2917 33 6.99608e+06 309029 678818. 2348.85 4.23 0.196426 0.175062 26626 170182 -1 2373 21 1910 2595 207333 43632 0 0 207333 43632 2595 2104 0 0 8269 7326 0 0 13163 9181 0 0 2595 2206 0 0 91387 11663 0 0 89324 11152 0 0 2595 0 0 685 644 1014 7726 0 0 3.38381 3.38381 -125.82 -3.38381 0 0 902133. 3121.57 0.36 0.09 0.17 -1 -1 0.36 0.0294202 0.0261559 101 54 56 29 58 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 8.35 vpr 63.31 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 30656 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64832 32 32 469 381 1 309 86 17 17 289 -1 unnamed_device 25.0 MiB 0.95 1271 63.3 MiB 0.14 0.00 3.68467 -132.727 -3.68467 3.68467 1.06 0.000714982 0.000658443 0.0615 0.0566195 46 3766 29 6.99608e+06 323745 828058. 2865.25 3.60 0.257121 0.228361 28066 200906 -1 3015 22 2983 3491 302698 61658 0 0 302698 61658 3491 3225 0 0 10803 9817 0 0 18229 12023 0 0 3491 3241 0 0 131018 17414 0 0 135666 15938 0 0 3491 0 0 508 533 392 5940 0 0 4.83994 4.83994 -175.628 -4.83994 0 0 1.01997e+06 3529.29 0.41 0.13 0.21 -1 -1 0.41 0.0432192 0.0387156 140 117 0 0 128 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 7.16 vpr 62.26 MiB 0.02 6752 -1 -1 1 0.03 -1 -1 30272 -1 -1 11 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63752 31 32 259 212 1 143 74 17 17 289 -1 unnamed_device 23.8 MiB 1.21 558 62.3 MiB 0.08 0.00 2.29975 -77.3164 -2.29975 2.29975 1.06 0.000474779 0.0004386 0.0336061 0.0310495 46 1699 23 6.99608e+06 161872 828058. 2865.25 2.40 0.140901 0.124669 28066 200906 -1 1349 18 1073 1608 113142 28557 0 0 113142 28557 1608 1256 0 0 5244 4692 0 0 8704 5999 0 0 1608 1392 0 0 47168 7297 0 0 48810 7921 0 0 1608 0 0 535 564 235 4116 0 0 3.12987 3.12987 -109.221 -3.12987 0 0 1.01997e+06 3529.29 0.41 0.06 0.18 -1 -1 0.41 0.021982 0.0196381 57 -1 85 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 9.35 vpr 63.45 MiB 0.03 7120 -1 -1 1 0.04 -1 -1 30380 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64968 32 32 418 338 1 263 83 17 17 289 -1 unnamed_device 24.9 MiB 3.27 1237 63.4 MiB 0.07 0.00 3.96833 -134.688 -3.96833 3.96833 0.98 0.000373572 0.000345105 0.0300429 0.0277776 44 3542 32 6.99608e+06 279598 787024. 2723.27 2.51 0.185617 0.165088 27778 195446 -1 2814 22 2563 3272 308411 62912 0 0 308411 62912 3272 2913 0 0 10563 9351 0 0 17849 12174 0 0 3272 2989 0 0 142506 16580 0 0 130949 18905 0 0 3272 0 0 709 738 771 7184 0 0 4.85404 4.85404 -172.622 -4.85404 0 0 997811. 3452.63 0.41 0.12 0.18 -1 -1 0.41 0.0376222 0.0338282 118 89 28 28 92 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 7.81 vpr 63.25 MiB 0.04 6948 -1 -1 1 0.03 -1 -1 30164 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64764 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 24.7 MiB 1.03 1323 63.2 MiB 0.07 0.00 3.76377 -142.716 -3.76377 3.76377 0.98 0.000345302 0.000317231 0.0271112 0.0249819 48 3285 44 6.99608e+06 235451 865456. 2994.66 3.50 0.232527 0.207519 28354 207349 -1 2798 24 2705 3424 426237 91703 0 0 426237 91703 3424 3067 0 0 11405 10228 0 0 22262 14017 0 0 3424 3139 0 0 188582 31303 0 0 197140 29949 0 0 3424 0 0 719 809 682 7184 0 0 4.66844 4.66844 -174.903 -4.66844 0 0 1.05005e+06 3633.38 0.27 0.09 0.19 -1 -1 0.27 0.0201738 0.0179193 110 93 0 0 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 7.24 vpr 63.27 MiB 0.02 6988 -1 -1 1 0.04 -1 -1 30472 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64792 32 32 401 316 1 247 83 17 17 289 -1 unnamed_device 24.6 MiB 0.93 1233 63.3 MiB 0.07 0.00 2.94304 -113.73 -2.94304 2.94304 1.06 0.000638785 0.000590014 0.0235737 0.0217985 40 2988 22 6.99608e+06 279598 706193. 2443.58 2.69 0.157589 0.139688 26914 176310 -1 2838 23 2173 2785 310037 69465 0 0 310037 69465 2785 2410 0 0 8936 7852 0 0 16963 10662 0 0 2785 2535 0 0 140087 22690 0 0 138481 23316 0 0 2785 0 0 612 848 1001 7586 0 0 3.71441 3.71441 -145.303 -3.71441 0 0 926341. 3205.33 0.39 0.13 0.18 -1 -1 0.39 0.0375521 0.0337278 106 59 61 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 7.63 vpr 63.48 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 30844 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65008 32 32 500 382 1 312 86 17 17 289 -1 unnamed_device 25.3 MiB 0.61 1499 63.5 MiB 0.16 0.00 4.13248 -150.491 -4.13248 4.13248 0.91 0.000736234 0.000677214 0.0674868 0.062019 40 4082 49 6.99608e+06 323745 706193. 2443.58 3.74 0.32802 0.292395 26914 176310 -1 3501 29 3672 4287 549453 146812 0 0 549453 146812 4287 4003 0 0 13806 12262 0 0 28797 17244 0 0 4287 4075 0 0 255216 56233 0 0 243060 52995 0 0 4287 0 0 615 594 781 7665 0 0 5.52235 5.52235 -200.198 -5.52235 0 0 926341. 3205.33 0.29 0.18 0.18 -1 -1 0.29 0.046241 0.0411952 140 81 64 32 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 8.19 vpr 62.16 MiB 0.02 6736 -1 -1 1 0.03 -1 -1 30148 -1 -1 13 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63656 30 32 246 229 1 154 75 17 17 289 -1 unnamed_device 23.8 MiB 2.76 555 62.2 MiB 0.06 0.00 2.25155 -75.007 -2.25155 2.25155 1.02 0.000391795 0.000356313 0.0251674 0.0231303 42 2017 27 6.99608e+06 191304 744469. 2576.02 2.03 0.131201 0.115109 27202 183097 -1 1247 18 811 831 78284 19302 0 0 78284 19302 831 815 0 0 3032 2584 0 0 4774 3573 0 0 831 828 0 0 33188 5781 0 0 35628 5721 0 0 831 0 0 20 23 24 938 0 0 2.38442 2.38442 -89.1546 -2.38442 0 0 949917. 3286.91 0.37 0.04 0.16 -1 -1 0.37 0.0174481 0.0155555 65 51 0 0 53 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 9.44 vpr 62.81 MiB 0.02 6840 -1 -1 1 0.05 -1 -1 30440 -1 -1 14 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64316 30 32 296 244 1 167 76 17 17 289 -1 unnamed_device 24.1 MiB 3.52 873 62.8 MiB 0.07 0.00 2.78909 -100.953 -2.78909 2.78909 0.98 0.00044164 0.000404346 0.0270032 0.0248174 34 2333 32 6.99608e+06 206020 618332. 2139.56 2.75 0.1728 0.152216 25762 151098 -1 1984 21 1551 2241 246444 57420 0 0 246444 57420 2241 1948 0 0 7619 6640 0 0 13660 9197 0 0 2241 1972 0 0 113696 18669 0 0 106987 18994 0 0 2241 0 0 690 761 834 6328 0 0 3.64841 3.64841 -139.865 -3.64841 0 0 787024. 2723.27 0.27 0.06 0.15 -1 -1 0.27 0.0166131 0.0147886 72 29 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 9.02 vpr 62.57 MiB 0.02 6752 -1 -1 1 0.04 -1 -1 30064 -1 -1 12 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64076 32 32 314 256 1 192 76 17 17 289 -1 unnamed_device 23.9 MiB 0.23 819 62.6 MiB 0.08 0.00 2.73464 -106.1 -2.73464 2.73464 0.99 0.000516002 0.000474026 0.0300351 0.0277376 40 3313 47 6.99608e+06 176588 706193. 2443.58 5.22 0.173338 0.152897 26914 176310 -1 2595 27 2290 3644 522252 121444 0 0 522252 121444 3644 3197 0 0 11315 10117 0 0 23790 13768 0 0 3644 3266 0 0 246559 44612 0 0 233300 46484 0 0 3644 0 0 1354 1573 1555 11448 0 0 3.96931 3.96931 -153.36 -3.96931 0 0 926341. 3205.33 0.37 0.18 0.18 -1 -1 0.37 0.0373726 0.0335187 80 31 64 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 10.53 vpr 62.32 MiB 0.02 6820 -1 -1 1 0.03 -1 -1 30464 -1 -1 18 25 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63816 25 32 251 214 1 151 75 17 17 289 -1 unnamed_device 23.8 MiB 0.85 557 62.3 MiB 0.07 0.00 2.79475 -75.6465 -2.79475 2.79475 1.02 0.000362922 0.000333202 0.0289297 0.0265712 36 1816 32 6.99608e+06 264882 648988. 2245.63 6.51 0.259143 0.229188 26050 158493 -1 1356 18 984 1260 93886 22078 0 0 93886 22078 1260 1131 0 0 4254 3620 0 0 6808 4937 0 0 1260 1160 0 0 40334 5459 0 0 39970 5771 0 0 1260 0 0 276 339 320 3021 0 0 3.19817 3.19817 -100.637 -3.19817 0 0 828058. 2865.25 0.22 0.03 0.10 -1 -1 0.22 0.0126662 0.0113096 68 19 50 25 25 25 -fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 9.33 vpr 63.28 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 30476 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64800 32 32 432 346 1 281 84 17 17 289 -1 unnamed_device 24.7 MiB 1.12 1474 63.3 MiB 0.14 0.00 3.11645 -119.592 -3.11645 3.11645 0.85 0.000653996 0.000599349 0.0582829 0.0535138 40 3864 30 6.99608e+06 294314 706193. 2443.58 4.67 0.231257 0.205988 26914 176310 -1 3410 23 3167 4504 436156 85261 0 0 436156 85261 4504 3770 0 0 14711 13179 0 0 26548 17336 0 0 4504 4001 0 0 192761 24257 0 0 193128 22718 0 0 4504 0 0 1337 1502 1543 11642 0 0 4.26372 4.26372 -162.705 -4.26372 0 0 926341. 3205.33 0.37 0.16 0.16 -1 -1 0.37 0.0456938 0.0409966 125 84 32 32 94 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 8.95 vpr 63.43 MiB 0.03 7208 -1 -1 1 0.04 -1 -1 30316 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64952 31 32 421 339 1 270 85 17 17 289 -1 unnamed_device 24.7 MiB 1.03 1175 63.4 MiB 0.10 0.00 3.44908 -119.437 -3.44908 3.44908 1.06 0.000635164 0.000583326 0.0405795 0.0372025 40 3819 30 6.99608e+06 323745 706193. 2443.58 4.28 0.218403 0.193198 26914 176310 -1 3040 27 3273 4327 477141 104919 0 0 477141 104919 4327 3922 0 0 14174 12560 0 0 27710 17156 0 0 4327 4011 0 0 214302 33735 0 0 212301 33535 0 0 4327 0 0 1054 1150 1249 10326 0 0 4.45405 4.45405 -163.58 -4.45405 0 0 926341. 3205.33 0.36 0.16 0.16 -1 -1 0.36 0.0386809 0.0342636 121 88 29 29 93 31 -fixed_k6_frac_N8_22nm.xml mult_001.v common 9.46 vpr 62.49 MiB 0.02 6636 -1 -1 14 0.34 -1 -1 32760 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63992 32 32 280 312 1 207 83 17 17 289 -1 unnamed_device 23.9 MiB 2.30 1171 62.5 MiB 0.12 0.00 7.1786 -141.837 -7.1786 7.1786 1.07 0.000730652 0.000669939 0.0545249 0.0498794 42 3418 46 6.79088e+06 255968 744469. 2576.02 3.16 0.341578 0.305504 26542 182613 -1 2651 26 1727 4962 437940 152335 0 0 437940 152335 4962 2437 0 0 15925 13812 0 0 29767 19146 0 0 4962 2964 0 0 193870 59105 0 0 188454 54871 0 0 4962 0 0 3235 5349 5249 34381 0 0 7.5937 7.5937 -161.726 -7.5937 0 0 949917. 3286.91 0.39 0.19 0.19 -1 -1 0.39 0.0533721 0.0482066 134 185 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_002.v common 10.38 vpr 62.54 MiB 0.02 6752 -1 -1 14 0.34 -1 -1 32688 -1 -1 20 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64036 30 32 277 309 1 214 82 17 17 289 -1 unnamed_device 23.9 MiB 2.16 1287 62.5 MiB 0.10 0.00 6.84273 -137.13 -6.84273 6.84273 1.10 0.000751003 0.00069102 0.0437212 0.040097 38 3230 25 6.79088e+06 269440 678818. 2348.85 4.32 0.24124 0.215328 25966 169698 -1 2676 16 1302 3432 188177 42078 0 0 188177 42078 3432 1847 0 0 10740 9181 0 0 16940 11713 0 0 3432 2133 0 0 75485 8874 0 0 78148 8330 0 0 3432 0 0 2130 3505 3340 23951 0 0 7.34393 7.34393 -155.666 -7.34393 0 0 902133. 3121.57 0.35 0.09 0.15 -1 -1 0.35 0.0360112 0.032738 132 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_003.v common 10.11 vpr 62.38 MiB 0.03 6680 -1 -1 11 0.26 -1 -1 32664 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63880 32 32 274 306 1 200 84 17 17 289 -1 unnamed_device 23.8 MiB 2.27 1057 62.4 MiB 0.12 0.00 5.91503 -114.436 -5.91503 5.91503 1.05 0.000714793 0.000652312 0.0529592 0.0483935 40 3038 26 6.79088e+06 269440 706193. 2443.58 4.02 0.255698 0.226869 26254 175826 -1 2740 18 1380 4218 304764 67428 0 0 304764 67428 4218 2443 0 0 13964 12073 0 0 24914 16688 0 0 4218 2857 0 0 123046 17257 0 0 134404 16110 0 0 4218 0 0 2838 6588 5964 38246 0 0 6.00113 6.00113 -133.495 -6.00113 0 0 926341. 3205.33 0.38 0.11 0.18 -1 -1 0.38 0.0351539 0.0316577 138 179 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_004.v common 10.38 vpr 62.61 MiB 0.05 6656 -1 -1 12 0.44 -1 -1 32676 -1 -1 22 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64116 29 32 269 301 1 191 83 17 17 289 -1 unnamed_device 24.0 MiB 1.90 1073 62.6 MiB 0.10 0.00 6.07188 -117.783 -6.07188 6.07188 1.09 0.000771905 0.000708862 0.0452128 0.0417281 36 3372 40 6.79088e+06 296384 648988. 2245.63 4.56 0.283194 0.253221 25390 158009 -1 2744 22 1605 4970 298641 66324 0 0 298641 66324 4970 2633 0 0 15461 13354 0 0 27157 18116 0 0 4970 3135 0 0 120442 15274 0 0 125641 13812 0 0 4970 0 0 3365 5589 5871 37583 0 0 6.44778 6.44778 -138.001 -6.44778 0 0 828058. 2865.25 0.34 0.12 0.14 -1 -1 0.34 0.0432946 0.0389186 136 180 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_005.v common 10.35 vpr 62.90 MiB 0.02 6600 -1 -1 13 0.38 -1 -1 33036 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64412 32 32 317 349 1 251 88 17 17 289 -1 unnamed_device 24.1 MiB 2.64 1433 62.9 MiB 0.12 0.00 6.54861 -138.074 -6.54861 6.54861 1.05 0.000821902 0.000751702 0.0558437 0.0511883 38 3828 34 6.79088e+06 323328 678818. 2348.85 3.82 0.331104 0.297291 25966 169698 -1 3128 20 1618 4406 228409 51839 0 0 228409 51839 4406 2388 0 0 13573 11585 0 0 21684 14702 0 0 4406 2766 0 0 91493 10290 0 0 92847 10108 0 0 4406 0 0 2788 3788 4098 29208 0 0 7.00707 7.00707 -165.003 -7.00707 0 0 902133. 3121.57 0.35 0.12 0.17 -1 -1 0.35 0.0498956 0.0452255 160 222 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_006.v common 11.01 vpr 62.77 MiB 0.03 6776 -1 -1 12 0.37 -1 -1 32800 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64272 32 32 299 331 1 221 88 17 17 289 -1 unnamed_device 24.0 MiB 2.77 1311 62.8 MiB 0.04 0.00 6.25532 -135.367 -6.25532 6.25532 0.99 0.00049382 0.000456755 0.0163651 0.0150901 38 3589 28 6.79088e+06 323328 678818. 2348.85 4.60 0.217467 0.192402 25966 169698 -1 2988 15 1432 4208 225287 50900 0 0 225287 50900 4208 2001 0 0 13062 11198 0 0 19900 14059 0 0 4208 2441 0 0 91212 10987 0 0 92697 10214 0 0 4208 0 0 2776 5239 4293 32930 0 0 6.70957 6.70957 -158.507 -6.70957 0 0 902133. 3121.57 0.36 0.10 0.14 -1 -1 0.36 0.0397319 0.036373 150 204 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_007.v common 9.01 vpr 61.84 MiB 0.02 6540 -1 -1 12 0.20 -1 -1 32184 -1 -1 20 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63320 27 32 210 242 1 166 79 17 17 289 -1 unnamed_device 23.2 MiB 1.92 972 61.8 MiB 0.04 0.00 5.95433 -114.661 -5.95433 5.95433 0.78 0.000394902 0.000355354 0.0158608 0.0146855 36 2789 22 6.79088e+06 269440 648988. 2245.63 3.72 0.157466 0.140008 25390 158009 -1 2285 16 1152 2960 184433 40978 0 0 184433 40978 2960 1830 0 0 9581 8240 0 0 15806 10987 0 0 2960 2027 0 0 75369 9227 0 0 77757 8667 0 0 2960 0 0 1808 2219 2397 16622 0 0 6.20493 6.20493 -129.928 -6.20493 0 0 828058. 2865.25 0.34 0.08 0.16 -1 -1 0.34 0.0284176 0.0259251 101 125 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_008.v common 10.67 vpr 62.71 MiB 0.04 6556 -1 -1 11 0.23 -1 -1 32872 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64216 31 32 264 296 1 191 81 17 17 289 -1 unnamed_device 23.9 MiB 1.51 1181 62.7 MiB 0.10 0.00 5.36687 -116.355 -5.36687 5.36687 1.00 0.000579185 0.000527641 0.0450794 0.0411585 38 3159 28 6.79088e+06 242496 678818. 2348.85 5.43 0.252627 0.225868 25966 169698 -1 2549 17 1209 3525 194725 42569 0 0 194725 42569 3525 1711 0 0 10741 9238 0 0 17366 11628 0 0 3525 1943 0 0 80272 9026 0 0 79296 9023 0 0 3525 0 0 2316 4465 3959 30035 0 0 5.49217 5.49217 -130.711 -5.49217 0 0 902133. 3121.57 0.36 0.09 0.17 -1 -1 0.36 0.0360631 0.0328323 118 171 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_009.v common 9.91 vpr 62.07 MiB 0.05 6596 -1 -1 12 0.22 -1 -1 32420 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63564 31 32 234 266 1 191 81 17 17 289 -1 unnamed_device 23.7 MiB 2.86 1126 62.1 MiB 0.11 0.00 5.49223 -117.258 -5.49223 5.49223 1.18 0.00063304 0.000581711 0.0504929 0.0464443 36 2886 32 6.79088e+06 242496 648988. 2245.63 3.31 0.227185 0.203372 25390 158009 -1 2396 16 1059 2387 151912 34245 0 0 151912 34245 2387 1468 0 0 7979 6738 0 0 13008 9564 0 0 2387 1717 0 0 62743 7574 0 0 63408 7184 0 0 2387 0 0 1328 1813 1540 12438 0 0 5.86813 5.86813 -132.661 -5.86813 0 0 828058. 2865.25 0.34 0.07 0.16 -1 -1 0.34 0.0296072 0.0269688 111 141 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_010.v common 8.21 vpr 62.17 MiB 0.04 6452 -1 -1 13 0.30 -1 -1 32864 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63660 32 32 253 285 1 183 80 17 17 289 -1 unnamed_device 23.7 MiB 1.89 1052 62.2 MiB 0.04 0.00 5.99697 -135.029 -5.99697 5.99697 0.70 0.000389196 0.00035539 0.0144284 0.0133411 38 2858 18 6.79088e+06 215552 678818. 2348.85 3.03 0.193848 0.172122 25966 169698 -1 2446 18 1100 2732 159018 36032 0 0 159018 36032 2732 1603 0 0 8684 7444 0 0 13349 9405 0 0 2732 1792 0 0 64632 8095 0 0 66889 7693 0 0 2732 0 0 1632 2305 2288 16355 0 0 6.49817 6.49817 -162.09 -6.49817 0 0 902133. 3121.57 0.36 0.08 0.17 -1 -1 0.36 0.0348513 0.0315771 107 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_011.v common 7.65 vpr 61.99 MiB 0.02 6584 -1 -1 12 0.22 -1 -1 32744 -1 -1 16 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63480 30 32 217 249 1 159 78 17 17 289 -1 unnamed_device 23.4 MiB 1.80 828 62.0 MiB 0.03 0.00 5.99697 -122.038 -5.99697 5.99697 1.00 0.000346337 0.000316545 0.013042 0.0120623 40 2071 32 6.79088e+06 215552 706193. 2443.58 2.22 0.184371 0.164202 26254 175826 -1 1944 15 890 2314 140204 33105 0 0 140204 33105 2314 1353 0 0 7727 6554 0 0 13100 8968 0 0 2314 1559 0 0 53455 7778 0 0 61294 6893 0 0 2314 0 0 1424 1855 1821 14048 0 0 6.37287 6.37287 -138.327 -6.37287 0 0 926341. 3205.33 0.38 0.07 0.18 -1 -1 0.38 0.0260737 0.0237583 93 126 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_012.v common 9.82 vpr 62.11 MiB 0.02 6576 -1 -1 12 0.18 -1 -1 32756 -1 -1 14 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63604 32 32 227 259 1 170 78 17 17 289 -1 unnamed_device 23.4 MiB 2.42 1053 62.1 MiB 0.06 0.00 5.6029 -133.233 -5.6029 5.6029 1.00 0.000563958 0.000512143 0.0255528 0.0233103 38 2797 47 6.79088e+06 188608 678818. 2348.85 3.82 0.221995 0.197351 25966 169698 -1 2295 16 934 2423 134898 29815 0 0 134898 29815 2423 1363 0 0 7392 6304 0 0 11393 7858 0 0 2423 1510 0 0 55070 6566 0 0 56197 6214 0 0 2423 0 0 1489 2131 1834 14323 0 0 5.8535 5.8535 -151.334 -5.8535 0 0 902133. 3121.57 0.37 0.07 0.15 -1 -1 0.37 0.028388 0.0258141 94 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_013.v common 9.14 vpr 62.86 MiB 0.02 6644 -1 -1 13 0.34 -1 -1 32884 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64364 32 32 306 338 1 226 85 17 17 289 -1 unnamed_device 24.2 MiB 1.77 1240 62.9 MiB 0.15 0.00 6.71306 -141.158 -6.71306 6.71306 0.85 0.000812074 0.000742607 0.0707929 0.0649631 38 3448 23 6.79088e+06 282912 678818. 2348.85 3.63 0.285529 0.255213 25966 169698 -1 2683 16 1276 3666 185830 42891 0 0 185830 42891 3666 1784 0 0 11518 9872 0 0 17355 12386 0 0 3666 2113 0 0 73329 8654 0 0 76296 8082 0 0 3666 0 0 2390 4079 4030 30363 0 0 6.83836 6.83836 -155.176 -6.83836 0 0 902133. 3121.57 0.35 0.09 0.17 -1 -1 0.35 0.0359193 0.0324788 148 211 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_014.v common 16.63 vpr 62.85 MiB 0.03 6816 -1 -1 14 0.42 -1 -1 33124 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64360 32 32 302 334 1 227 85 17 17 289 -1 unnamed_device 24.2 MiB 2.30 1378 62.9 MiB 0.15 0.00 7.55456 -155.259 -7.55456 7.55456 1.04 0.000797429 0.0007263 0.0664577 0.0607031 36 4081 43 6.79088e+06 282912 648988. 2245.63 10.52 0.322261 0.286454 25390 158009 -1 3188 16 1510 4038 255438 56684 0 0 255438 56684 4038 2220 0 0 13032 11160 0 0 21697 15109 0 0 4038 2571 0 0 107375 12871 0 0 105258 12753 0 0 4038 0 0 2528 3784 4215 27487 0 0 7.67985 7.67985 -171.347 -7.67985 0 0 828058. 2865.25 0.33 0.11 0.16 -1 -1 0.33 0.0380473 0.0345317 149 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_015.v common 10.74 vpr 62.14 MiB 0.02 6488 -1 -1 11 0.21 -1 -1 32516 -1 -1 20 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63628 29 32 238 270 1 181 81 17 17 289 -1 unnamed_device 23.8 MiB 1.73 994 62.1 MiB 0.09 0.00 5.70368 -111.95 -5.70368 5.70368 1.03 0.00107626 0.00102911 0.0416778 0.0378912 36 3090 48 6.79088e+06 269440 648988. 2245.63 5.45 0.246459 0.218325 25390 158009 -1 2411 17 1110 2712 167525 37802 0 0 167525 37802 2712 1731 0 0 8746 7496 0 0 14618 10200 0 0 2712 1942 0 0 68031 8431 0 0 70706 8002 0 0 2712 0 0 1602 2219 2473 15678 0 0 6.07958 6.07958 -129.543 -6.07958 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0342821 0.0312412 111 149 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_016.v common 9.55 vpr 62.83 MiB 0.02 6792 -1 -1 12 0.36 -1 -1 32940 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64340 32 32 306 338 1 232 84 17 17 289 -1 unnamed_device 24.1 MiB 2.63 1315 62.8 MiB 0.16 0.00 6.17261 -133.634 -6.17261 6.17261 1.03 0.000780132 0.000712544 0.0736893 0.0673615 48 3753 28 6.79088e+06 269440 865456. 2994.66 3.00 0.260194 0.23075 27694 206865 -1 3358 21 1858 6026 379888 81819 0 0 379888 81819 6026 2957 0 0 18825 16507 0 0 35234 22211 0 0 6026 3681 0 0 154408 18592 0 0 159369 17871 0 0 6026 0 0 4168 7368 7438 50182 0 0 6.46241 6.46241 -151.239 -6.46241 0 0 1.05005e+06 3633.38 0.45 0.14 0.21 -1 -1 0.45 0.0464381 0.0419603 146 211 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_017.v common 12.91 vpr 63.09 MiB 0.03 6656 -1 -1 13 0.34 -1 -1 32728 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64608 32 32 311 343 1 226 85 17 17 289 -1 unnamed_device 24.3 MiB 1.83 1342 63.1 MiB 0.14 0.00 6.92025 -144.778 -6.92025 6.92025 1.02 0.000812123 0.000745547 0.0627764 0.0574602 36 3964 31 6.79088e+06 282912 648988. 2245.63 7.70 0.260835 0.232506 25390 158009 -1 3049 24 1468 4261 374852 131429 0 0 374852 131429 4261 2215 0 0 13919 11884 0 0 23632 16250 0 0 4261 2580 0 0 164980 49779 0 0 163799 48721 0 0 4261 0 0 2793 5256 5650 35744 0 0 7.58246 7.58246 -167.329 -7.58246 0 0 828058. 2865.25 0.22 0.14 0.15 -1 -1 0.22 0.0417249 0.0373745 144 216 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_018.v common 8.60 vpr 62.07 MiB 0.02 6560 -1 -1 12 0.17 -1 -1 32432 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63564 32 32 230 262 1 172 80 17 17 289 -1 unnamed_device 23.5 MiB 2.55 897 62.1 MiB 0.07 0.00 5.57833 -123.346 -5.57833 5.57833 1.06 0.000617974 0.000565332 0.0321084 0.0294939 30 3061 47 6.79088e+06 215552 556674. 1926.21 2.51 0.159178 0.141099 24526 138013 -1 2041 22 989 2682 127878 31645 0 0 127878 31645 2682 1370 0 0 8303 7013 0 0 12515 8934 0 0 2682 1557 0 0 50656 6448 0 0 51040 6323 0 0 2682 0 0 1693 3072 2761 19778 0 0 5.95417 5.95417 -141.765 -5.95417 0 0 706193. 2443.58 0.30 0.08 0.12 -1 -1 0.30 0.0352292 0.0317382 104 135 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_019.v common 9.51 vpr 61.75 MiB 0.02 6324 -1 -1 10 0.09 -1 -1 31992 -1 -1 12 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63232 30 32 176 208 1 138 74 17 17 289 -1 unnamed_device 23.1 MiB 3.08 726 61.8 MiB 0.08 0.00 4.41351 -104.197 -4.41351 4.41351 1.09 0.000453686 0.000413367 0.0328362 0.0301026 36 2142 17 6.79088e+06 161664 648988. 2245.63 2.99 0.166802 0.148638 25390 158009 -1 1869 16 825 1842 135874 30524 0 0 135874 30524 1842 1243 0 0 5943 5108 0 0 10357 6934 0 0 1842 1366 0 0 57981 7823 0 0 57909 8050 0 0 1842 0 0 1017 1424 1473 9712 0 0 4.53881 4.53881 -120.528 -4.53881 0 0 828058. 2865.25 0.35 0.06 0.14 -1 -1 0.35 0.0201852 0.0181189 67 85 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_020.v common 8.86 vpr 62.06 MiB 0.02 6520 -1 -1 13 0.20 -1 -1 32700 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63548 31 32 226 258 1 173 79 17 17 289 -1 unnamed_device 23.7 MiB 2.49 981 62.1 MiB 0.04 0.00 6.53742 -143.365 -6.53742 6.53742 1.00 0.000333077 0.000305842 0.0174426 0.0161227 34 2809 35 6.79088e+06 215552 618332. 2139.56 3.10 0.20246 0.179965 25102 150614 -1 2263 16 963 2289 139398 31588 0 0 139398 31588 2289 1318 0 0 7622 6385 0 0 12529 8788 0 0 2289 1498 0 0 57611 6769 0 0 57058 6830 0 0 2289 0 0 1326 1838 1921 13396 0 0 6.53742 6.53742 -157.982 -6.53742 0 0 787024. 2723.27 0.36 0.09 0.15 -1 -1 0.36 0.0358491 0.0329981 99 133 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_021.v common 12.14 vpr 62.54 MiB 0.02 6664 -1 -1 13 0.38 -1 -1 32716 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64044 32 32 302 334 1 222 86 17 17 289 -1 unnamed_device 24.1 MiB 1.58 1291 62.5 MiB 0.09 0.00 6.19723 -135.08 -6.19723 6.19723 1.01 0.000785134 0.000718151 0.0376589 0.0345098 36 3625 43 6.79088e+06 296384 648988. 2245.63 6.70 0.265207 0.233151 25390 158009 -1 3067 22 1825 5192 330142 72983 0 0 330142 72983 5192 2782 0 0 16652 14510 0 0 28580 19181 0 0 5192 3203 0 0 136870 16697 0 0 137656 16610 0 0 5192 0 0 3367 6320 5921 38892 0 0 6.78453 6.78453 -158.441 -6.78453 0 0 828058. 2865.25 0.35 0.14 0.15 -1 -1 0.35 0.0524714 0.0474447 143 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_022.v common 10.76 vpr 62.59 MiB 0.02 6788 -1 -1 13 0.34 -1 -1 33220 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64092 32 32 299 331 1 221 83 17 17 289 -1 unnamed_device 24.2 MiB 2.43 1427 62.6 MiB 0.07 0.00 6.55321 -141.386 -6.55321 6.55321 0.95 0.000459288 0.0004186 0.0319613 0.0293547 38 3508 23 6.79088e+06 255968 678818. 2348.85 4.59 0.273853 0.245701 25966 169698 -1 2995 17 1400 3756 229053 49710 0 0 229053 49710 3756 2090 0 0 11826 10140 0 0 19127 13101 0 0 3756 2386 0 0 93745 11267 0 0 96843 10726 0 0 3756 0 0 2356 4386 4161 28467 0 0 7.18741 7.18741 -162.623 -7.18741 0 0 902133. 3121.57 0.36 0.10 0.17 -1 -1 0.36 0.0383168 0.0348827 141 204 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_023.v common 6.39 vpr 61.55 MiB 0.02 6340 -1 -1 9 0.10 -1 -1 32180 -1 -1 16 26 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63024 26 32 149 181 1 119 74 17 17 289 -1 unnamed_device 23.0 MiB 2.06 609 61.5 MiB 0.07 0.00 3.9703 -76.7287 -3.9703 3.9703 1.03 0.000380486 0.000349597 0.0282938 0.0260021 30 1692 24 6.79088e+06 215552 556674. 1926.21 1.00 0.0842098 0.0741692 24526 138013 -1 1424 15 626 1326 75293 18504 0 0 75293 18504 1326 906 0 0 4305 3643 0 0 6235 4629 0 0 1326 1024 0 0 30980 4349 0 0 31121 3953 0 0 1326 0 0 700 988 899 6691 0 0 4.7221 4.7221 -97.9041 -4.7221 0 0 706193. 2443.58 0.30 0.04 0.14 -1 -1 0.30 0.0172672 0.0155947 64 66 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_024.v common 9.75 vpr 62.77 MiB 0.02 6576 -1 -1 13 0.40 -1 -1 32716 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64276 32 32 304 336 1 222 86 17 17 289 -1 unnamed_device 24.1 MiB 2.90 1392 62.8 MiB 0.09 0.00 7.1002 -146.855 -7.1002 7.1002 1.05 0.000784244 0.000719001 0.0413222 0.037921 40 3280 27 6.79088e+06 296384 706193. 2443.58 2.87 0.237897 0.210119 26254 175826 -1 3136 20 1641 4624 322840 78325 0 0 322840 78325 4624 2533 0 0 14892 12872 0 0 26638 17662 0 0 4624 3008 0 0 133012 21702 0 0 139050 20548 0 0 4624 0 0 2983 5436 4836 32677 0 0 7.4761 7.4761 -165.753 -7.4761 0 0 926341. 3205.33 0.39 0.16 0.16 -1 -1 0.39 0.0556859 0.050448 137 209 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_025.v common 9.44 vpr 61.63 MiB 0.02 6416 -1 -1 8 0.11 -1 -1 31164 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63108 32 32 155 187 1 128 81 17 17 289 -1 unnamed_device 23.1 MiB 3.20 577 61.6 MiB 0.07 0.00 3.9703 -81.1298 -3.9703 3.9703 1.06 0.000401605 0.000367677 0.0281345 0.0258285 36 1781 27 6.79088e+06 229024 648988. 2245.63 2.82 0.140249 0.123785 25390 158009 -1 1281 16 679 1464 81516 20804 0 0 81516 20804 1464 960 0 0 4826 3970 0 0 7705 5498 0 0 1464 1089 0 0 31033 4849 0 0 35024 4438 0 0 1464 0 0 785 940 1090 7407 0 0 4.2209 4.2209 -95.1326 -4.2209 0 0 828058. 2865.25 0.34 0.04 0.15 -1 -1 0.34 0.0168709 0.0150734 64 60 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_026.v common 10.96 vpr 62.46 MiB 0.02 6640 -1 -1 15 0.30 -1 -1 33068 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63960 32 32 253 285 1 192 81 17 17 289 -1 unnamed_device 23.7 MiB 2.48 1179 62.5 MiB 0.11 0.00 7.29713 -149.008 -7.29713 7.29713 1.07 0.000679366 0.000623155 0.0500105 0.0460258 38 3192 42 6.79088e+06 229024 678818. 2348.85 4.55 0.255292 0.227816 25966 169698 -1 2762 19 1322 3568 233049 49876 0 0 233049 49876 3568 1985 0 0 11135 9588 0 0 18340 12399 0 0 3568 2266 0 0 98484 12053 0 0 97954 11585 0 0 3568 0 0 2246 3611 3455 25142 0 0 7.76257 7.76257 -168.883 -7.76257 0 0 902133. 3121.57 0.37 0.10 0.17 -1 -1 0.37 0.0390519 0.0354474 118 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_027.v common 9.39 vpr 62.58 MiB 0.02 6616 -1 -1 12 0.32 -1 -1 32856 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64080 32 32 309 341 1 218 86 17 17 289 -1 unnamed_device 23.9 MiB 2.06 1276 62.6 MiB 0.12 0.00 6.08302 -130.979 -6.08302 6.08302 1.00 0.000834784 0.000757701 0.0542319 0.0495749 38 3178 28 6.79088e+06 296384 678818. 2348.85 3.58 0.358805 0.321767 25966 169698 -1 2685 14 1271 3884 194929 44477 0 0 194929 44477 3884 1695 0 0 12005 10224 0 0 18717 13060 0 0 3884 2074 0 0 80619 8424 0 0 75820 9000 0 0 3884 0 0 2613 4444 4806 33459 0 0 6.08302 6.08302 -142.632 -6.08302 0 0 902133. 3121.57 0.36 0.08 0.16 -1 -1 0.36 0.0336704 0.0306824 145 214 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_028.v common 8.67 vpr 62.59 MiB 0.02 6664 -1 -1 13 0.37 -1 -1 32808 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64092 32 32 289 321 1 212 84 17 17 289 -1 unnamed_device 24.2 MiB 1.78 1201 62.6 MiB 0.06 0.00 6.84955 -138.036 -6.84955 6.84955 0.90 0.000722349 0.000660665 0.0243413 0.0224164 36 3535 50 6.79088e+06 269440 648988. 2245.63 3.48 0.26602 0.234935 25390 158009 -1 2729 17 1291 3611 212502 48917 0 0 212502 48917 3611 1938 0 0 12105 10248 0 0 20142 14151 0 0 3611 2242 0 0 86454 10205 0 0 86579 10133 0 0 3611 0 0 2320 4102 4307 28156 0 0 7.22545 7.22545 -160.553 -7.22545 0 0 828058. 2865.25 0.34 0.10 0.16 -1 -1 0.34 0.0371276 0.0336803 136 194 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_029.v common 9.62 vpr 62.17 MiB 0.02 6548 -1 -1 12 0.20 -1 -1 32260 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63664 32 32 239 271 1 179 83 17 17 289 -1 unnamed_device 23.7 MiB 2.65 1099 62.2 MiB 0.07 0.00 5.40269 -124.362 -5.40269 5.40269 0.99 0.000595662 0.00054476 0.0312119 0.0286176 36 2693 21 6.79088e+06 255968 648988. 2245.63 3.50 0.174334 0.155 25390 158009 -1 2436 18 1039 2667 164408 36249 0 0 164408 36249 2667 1520 0 0 8589 7145 0 0 14253 9948 0 0 2667 1764 0 0 65982 8477 0 0 70250 7395 0 0 2667 0 0 1628 2432 2000 16069 0 0 5.40269 5.40269 -137.729 -5.40269 0 0 828058. 2865.25 0.34 0.08 0.15 -1 -1 0.34 0.0296081 0.0267364 106 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_030.v common 9.66 vpr 62.06 MiB 0.02 6496 -1 -1 11 0.20 -1 -1 32620 -1 -1 20 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63552 30 32 213 245 1 166 82 17 17 289 -1 unnamed_device 23.4 MiB 2.65 976 62.1 MiB 0.08 0.00 5.19894 -112.905 -5.19894 5.19894 0.95 0.000536204 0.000490078 0.0323902 0.0296774 36 2617 28 6.79088e+06 269440 648988. 2245.63 3.49 0.178895 0.157994 25390 158009 -1 2121 15 1050 2666 145217 33961 0 0 145217 33961 2666 1535 0 0 8597 7340 0 0 14250 9869 0 0 2666 1731 0 0 58277 6596 0 0 58761 6890 0 0 2666 0 0 1616 1864 2538 16440 0 0 5.52439 5.52439 -130.115 -5.52439 0 0 828058. 2865.25 0.35 0.07 0.16 -1 -1 0.35 0.0247393 0.0224245 97 122 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_031.v common 9.41 vpr 62.21 MiB 0.02 6480 -1 -1 11 0.21 -1 -1 32524 -1 -1 19 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63700 28 32 221 253 1 179 79 17 17 289 -1 unnamed_device 23.8 MiB 1.47 998 62.2 MiB 0.07 0.00 5.52794 -112.188 -5.52794 5.52794 0.96 0.000520735 0.000479147 0.0306341 0.0280025 36 2740 27 6.79088e+06 255968 648988. 2245.63 4.50 0.219325 0.18893 25390 158009 -1 2256 16 1061 2654 157775 35928 0 0 157775 35928 2654 1511 0 0 8664 7371 0 0 14417 10139 0 0 2654 1732 0 0 64973 7603 0 0 64413 7572 0 0 2654 0 0 1593 2184 2264 16291 0 0 5.74283 5.74283 -126.71 -5.74283 0 0 828058. 2865.25 0.35 0.07 0.16 -1 -1 0.35 0.0286437 0.0260398 107 134 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_032.v common 9.93 vpr 62.59 MiB 0.02 6432 -1 -1 12 0.23 -1 -1 32460 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64096 32 32 273 305 1 207 83 17 17 289 -1 unnamed_device 23.8 MiB 2.72 1284 62.6 MiB 0.09 0.00 5.78978 -138.424 -5.78978 5.78978 1.15 0.000672847 0.000615863 0.0380465 0.0350017 38 3539 28 6.79088e+06 255968 678818. 2348.85 3.32 0.224282 0.198064 25966 169698 -1 2612 18 1348 3276 171686 39219 0 0 171686 39219 3276 1871 0 0 10263 8854 0 0 15312 10945 0 0 3276 2153 0 0 68404 7968 0 0 71155 7428 0 0 3276 0 0 1928 2417 2318 17919 0 0 6.29098 6.29098 -160 -6.29098 0 0 902133. 3121.57 0.37 0.09 0.17 -1 -1 0.37 0.037016 0.0335851 119 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_033.v common 7.66 vpr 62.16 MiB 0.02 6548 -1 -1 11 0.21 -1 -1 32528 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63652 31 32 238 270 1 181 80 17 17 289 -1 unnamed_device 23.7 MiB 2.04 933 62.2 MiB 0.09 0.00 5.15968 -117.446 -5.15968 5.15968 0.98 0.000626229 0.000574876 0.0398539 0.0366551 38 2716 21 6.79088e+06 229024 678818. 2348.85 2.05 0.173172 0.154631 25966 169698 -1 2109 15 1040 2793 139330 33198 0 0 139330 33198 2793 1514 0 0 8767 7484 0 0 13239 9400 0 0 2793 1730 0 0 54878 6794 0 0 56860 6276 0 0 2793 0 0 1753 2429 2411 18322 0 0 5.52794 5.52794 -136.947 -5.52794 0 0 902133. 3121.57 0.37 0.07 0.17 -1 -1 0.37 0.0307677 0.0281532 107 145 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_034.v common 6.67 vpr 62.14 MiB 0.02 6652 -1 -1 10 0.19 -1 -1 32584 -1 -1 18 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63632 29 32 221 253 1 160 79 17 17 289 -1 unnamed_device 23.5 MiB 1.81 957 62.1 MiB 0.06 0.00 4.95172 -107.836 -4.95172 4.95172 0.98 0.000358903 0.00033152 0.0241612 0.022237 30 2391 23 6.79088e+06 242496 556674. 1926.21 1.48 0.107378 0.095736 24526 138013 -1 1935 16 801 2128 105007 25101 0 0 105007 25101 2128 1143 0 0 6822 5688 0 0 9980 7299 0 0 2128 1254 0 0 42206 4853 0 0 41743 4864 0 0 2128 0 0 1327 1852 1948 14701 0 0 5.20232 5.20232 -122.337 -5.20232 0 0 706193. 2443.58 0.31 0.06 0.14 -1 -1 0.31 0.0267973 0.0242748 103 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_035.v common 9.12 vpr 63.06 MiB 0.02 6960 -1 -1 13 0.43 -1 -1 33136 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64572 32 32 333 365 1 236 86 17 17 289 -1 unnamed_device 24.2 MiB 1.88 1470 63.1 MiB 0.08 0.00 6.50941 -142.111 -6.50941 6.50941 1.06 0.000865815 0.000792259 0.0344238 0.0315277 40 3572 21 6.79088e+06 296384 706193. 2443.58 3.19 0.263292 0.234248 26254 175826 -1 3411 17 1555 4907 328274 71291 0 0 328274 71291 4907 2448 0 0 16222 13860 0 0 28340 19106 0 0 4907 2884 0 0 134783 16912 0 0 139115 16081 0 0 4907 0 0 3352 7198 6995 47732 0 0 6.67391 6.67391 -160.22 -6.67391 0 0 926341. 3205.33 0.38 0.15 0.18 -1 -1 0.38 0.0567893 0.0517919 162 238 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_036.v common 13.00 vpr 62.81 MiB 0.03 6632 -1 -1 13 0.41 -1 -1 32928 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64316 32 32 297 329 1 231 85 17 17 289 -1 unnamed_device 24.0 MiB 2.40 1307 62.8 MiB 0.15 0.00 6.38406 -137.253 -6.38406 6.38406 1.04 0.000791159 0.00072474 0.0671903 0.0611898 38 3978 26 6.79088e+06 282912 678818. 2348.85 6.57 0.274978 0.243018 25966 169698 -1 2898 24 1654 4876 264575 60787 0 0 264575 60787 4876 2281 0 0 14851 12893 0 0 23668 16126 0 0 4876 2712 0 0 106852 13592 0 0 109452 13183 0 0 4876 0 0 3222 6001 5748 41175 0 0 6.78797 6.78797 -159.557 -6.78797 0 0 902133. 3121.57 0.35 0.12 0.17 -1 -1 0.35 0.0474982 0.0427027 152 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_037.v common 9.64 vpr 62.04 MiB 0.02 6488 -1 -1 12 0.19 -1 -1 32632 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63524 31 32 234 266 1 169 81 17 17 289 -1 unnamed_device 23.4 MiB 1.53 946 62.0 MiB 0.08 0.00 5.78978 -125.721 -5.78978 5.78978 1.07 0.000607126 0.000555083 0.0354442 0.0324423 36 3013 28 6.79088e+06 242496 648988. 2245.63 4.36 0.180515 0.160367 25390 158009 -1 2411 21 1222 3351 237525 51658 0 0 237525 51658 3351 2027 0 0 10647 9177 0 0 18129 12287 0 0 3351 2296 0 0 101213 13148 0 0 100834 12723 0 0 3351 0 0 2129 3841 3913 24892 0 0 6.41628 6.41628 -150.265 -6.41628 0 0 828058. 2865.25 0.32 0.10 0.14 -1 -1 0.32 0.0354672 0.0318722 102 141 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_038.v common 9.90 vpr 62.91 MiB 0.03 6740 -1 -1 12 0.34 -1 -1 33124 -1 -1 23 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64424 31 32 310 342 1 217 86 17 17 289 -1 unnamed_device 24.1 MiB 1.62 1373 62.9 MiB 0.13 0.00 6.29452 -134.455 -6.29452 6.29452 1.07 0.000811278 0.00074542 0.0615326 0.056336 38 3915 24 6.79088e+06 309856 678818. 2348.85 4.30 0.299432 0.268233 25966 169698 -1 3149 18 1493 4619 246026 55904 0 0 246026 55904 4619 2439 0 0 14239 12239 0 0 21963 15239 0 0 4619 2826 0 0 98488 12076 0 0 102098 11085 0 0 4619 0 0 3126 5678 4959 36232 0 0 6.71732 6.71732 -152.702 -6.71732 0 0 902133. 3121.57 0.36 0.11 0.17 -1 -1 0.36 0.0433359 0.0394707 148 217 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_039.v common 12.86 vpr 62.39 MiB 0.02 6792 -1 -1 14 0.46 -1 -1 32956 -1 -1 21 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63892 31 32 284 316 1 219 84 17 17 289 -1 unnamed_device 24.0 MiB 1.46 1374 62.4 MiB 0.08 0.00 6.92457 -144.114 -6.92457 6.92457 1.08 0.00075861 0.000692666 0.0343669 0.0314979 36 4083 44 6.79088e+06 282912 648988. 2245.63 7.33 0.275823 0.244546 25390 158009 -1 3223 23 1586 4542 392806 123620 0 0 392806 123620 4542 2598 0 0 14501 12483 0 0 26022 17721 0 0 4542 2973 0 0 167184 44401 0 0 176015 43444 0 0 4542 0 0 2956 4863 4974 31979 0 0 7.47267 7.47267 -168.241 -7.47267 0 0 828058. 2865.25 0.33 0.17 0.15 -1 -1 0.33 0.0502143 0.0454222 146 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_040.v common 9.68 vpr 62.42 MiB 0.03 6624 -1 -1 13 0.34 -1 -1 32796 -1 -1 21 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63916 31 32 271 303 1 211 84 17 17 289 -1 unnamed_device 23.8 MiB 2.97 1281 62.4 MiB 0.11 0.00 6.45902 -136.076 -6.45902 6.45902 0.93 0.000686996 0.000628859 0.0505196 0.0462799 40 3252 30 6.79088e+06 282912 706193. 2443.58 3.17 0.269117 0.240753 26254 175826 -1 3037 21 1578 4182 285911 61732 0 0 285911 61732 4182 2400 0 0 13676 11973 0 0 24656 16305 0 0 4182 2809 0 0 118122 14570 0 0 121093 13675 0 0 4182 0 0 2604 3660 4069 27065 0 0 7.12467 7.12467 -161.015 -7.12467 0 0 926341. 3205.33 0.33 0.07 0.18 -1 -1 0.33 0.0251684 0.0226828 126 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_041.v common 7.07 vpr 62.56 MiB 0.03 6708 -1 -1 12 0.32 -1 -1 32896 -1 -1 23 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64060 31 32 280 312 1 206 86 17 17 289 -1 unnamed_device 24.0 MiB 1.28 1334 62.6 MiB 0.06 0.00 6.29447 -134.048 -6.29447 6.29447 0.71 0.000437114 0.000401536 0.0271799 0.0250482 40 3190 19 6.79088e+06 309856 706193. 2443.58 2.30 0.183744 0.16352 26254 175826 -1 2917 17 1215 3724 238034 51525 0 0 238034 51525 3724 1879 0 0 12185 10459 0 0 21707 14427 0 0 3724 2220 0 0 97973 11313 0 0 98721 11227 0 0 3724 0 0 2509 4803 4953 31841 0 0 6.75647 6.75647 -150.5 -6.75647 0 0 926341. 3205.33 0.38 0.10 0.18 -1 -1 0.38 0.0395147 0.0359626 135 187 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_042.v common 9.58 vpr 62.10 MiB 0.03 6628 -1 -1 12 0.25 -1 -1 32788 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63588 32 32 264 296 1 188 81 17 17 289 -1 unnamed_device 23.6 MiB 1.40 1085 62.1 MiB 0.10 0.00 6.03612 -122.551 -6.03612 6.03612 1.07 0.000677193 0.000619444 0.0469756 0.0431442 36 2901 24 6.79088e+06 229024 648988. 2245.63 4.66 0.225871 0.200831 25390 158009 -1 2449 21 1163 3097 194169 43497 0 0 194169 43497 3097 1650 0 0 10127 8683 0 0 17301 12087 0 0 3097 1922 0 0 80570 9604 0 0 79977 9551 0 0 3097 0 0 1934 3129 3325 22367 0 0 6.44003 6.44003 -140.314 -6.44003 0 0 828058. 2865.25 0.29 0.06 0.14 -1 -1 0.29 0.0237146 0.0213543 113 169 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_043.v common 14.07 vpr 63.09 MiB 0.02 6764 -1 -1 14 0.59 -1 -1 32444 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64604 32 32 339 371 1 247 89 17 17 289 -1 unnamed_device 24.2 MiB 1.75 1630 63.1 MiB 0.08 0.00 6.99643 -149.016 -6.99643 6.99643 0.68 0.000865381 0.000789821 0.0335278 0.0308272 38 4592 46 6.79088e+06 336800 678818. 2348.85 8.53 0.311079 0.27697 25966 169698 -1 3688 20 1821 5461 309757 66656 0 0 309757 66656 5461 2805 0 0 16732 14451 0 0 26096 18054 0 0 5461 3237 0 0 126749 14322 0 0 129258 13787 0 0 5461 0 0 3640 7832 8308 52273 0 0 7.32188 7.32188 -169.801 -7.32188 0 0 902133. 3121.57 0.37 0.14 0.17 -1 -1 0.37 0.0535339 0.0485738 169 244 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_044.v common 10.77 vpr 62.34 MiB 0.02 6556 -1 -1 11 0.22 -1 -1 32488 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63832 31 32 246 278 1 185 81 17 17 289 -1 unnamed_device 23.7 MiB 2.24 1108 62.3 MiB 0.09 0.00 5.57057 -117.295 -5.57057 5.57057 1.08 0.000669708 0.000614888 0.0401489 0.0369587 34 3474 42 6.79088e+06 242496 618332. 2139.56 4.84 0.259422 0.230952 25102 150614 -1 2628 20 1289 3289 226177 52286 0 0 226177 52286 3289 2015 0 0 10843 9331 0 0 18700 12885 0 0 3289 2262 0 0 95284 12859 0 0 94772 12934 0 0 3289 0 0 2000 2766 3168 20251 0 0 5.69587 5.69587 -139.212 -5.69587 0 0 787024. 2723.27 0.32 0.10 0.15 -1 -1 0.32 0.0370281 0.0334765 113 153 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_045.v common 8.30 vpr 62.64 MiB 0.02 6844 -1 -1 13 0.28 -1 -1 32688 -1 -1 19 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64144 31 32 268 300 1 191 82 17 17 289 -1 unnamed_device 24.1 MiB 2.12 1202 62.6 MiB 0.06 0.00 6.34486 -127.069 -6.34486 6.34486 1.08 0.000722934 0.000662534 0.0269552 0.0248028 38 2988 19 6.79088e+06 255968 678818. 2348.85 2.39 0.156749 0.138978 25966 169698 -1 2469 18 1198 3713 197778 43633 0 0 197778 43633 3713 1691 0 0 11461 9899 0 0 18152 12403 0 0 3713 2014 0 0 79461 8976 0 0 81278 8650 0 0 3713 0 0 2515 4417 4716 32565 0 0 6.54507 6.54507 -141.56 -6.54507 0 0 902133. 3121.57 0.36 0.09 0.17 -1 -1 0.36 0.0372704 0.0337415 132 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_046.v common 12.63 vpr 62.84 MiB 0.02 6716 -1 -1 12 0.25 -1 -1 32940 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64352 32 32 318 350 1 227 85 17 17 289 -1 unnamed_device 24.1 MiB 1.68 1296 62.8 MiB 0.15 0.00 6.04038 -128.677 -6.04038 6.04038 1.01 0.000686843 0.000624715 0.0689011 0.0628149 38 3835 35 6.79088e+06 282912 678818. 2348.85 7.11 0.33573 0.297484 25966 169698 -1 2918 19 1488 4553 240637 55397 0 0 240637 55397 4553 2190 0 0 13982 12098 0 0 22133 15070 0 0 4553 2554 0 0 100016 11677 0 0 95400 11808 0 0 4553 0 0 3065 5861 5280 38555 0 0 6.29098 6.29098 -145.958 -6.29098 0 0 902133. 3121.57 0.37 0.13 0.18 -1 -1 0.37 0.057664 0.0531609 153 223 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_047.v common 8.80 vpr 62.44 MiB 0.02 6604 -1 -1 13 0.32 -1 -1 32716 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63936 32 32 273 305 1 198 83 17 17 289 -1 unnamed_device 23.8 MiB 1.43 1205 62.4 MiB 0.13 0.00 5.99697 -128.982 -5.99697 5.99697 1.03 0.00072624 0.000664514 0.0585929 0.0535929 38 3337 23 6.79088e+06 255968 678818. 2348.85 3.96 0.233551 0.206315 25966 169698 -1 2679 20 1369 3844 212853 48146 0 0 212853 48146 3844 1970 0 0 12090 10319 0 0 18303 12922 0 0 3844 2340 0 0 84501 10877 0 0 90271 9718 0 0 3844 0 0 2475 3702 3886 27227 0 0 6.45897 6.45897 -148.322 -6.45897 0 0 902133. 3121.57 0.30 0.10 0.17 -1 -1 0.30 0.0416981 0.0377103 131 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_048.v common 11.88 vpr 62.20 MiB 0.02 6636 -1 -1 13 0.26 -1 -1 32680 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63692 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 23.6 MiB 2.34 1142 62.2 MiB 0.09 0.00 6.79572 -137.321 -6.79572 6.79572 1.02 0.000679374 0.000620363 0.0420464 0.0383252 36 3307 32 6.79088e+06 229024 648988. 2245.63 6.04 0.314541 0.281193 25390 158009 -1 2664 22 1196 3344 298495 96129 0 0 298495 96129 3344 1835 0 0 10666 9157 0 0 19059 12725 0 0 3344 2051 0 0 130778 35408 0 0 131304 34953 0 0 3344 0 0 2148 4446 4294 28466 0 0 7.16043 7.16043 -158.899 -7.16043 0 0 828058. 2865.25 0.22 0.10 0.11 -1 -1 0.22 0.0304376 0.027448 118 174 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_049.v common 16.82 vpr 62.55 MiB 0.02 6676 -1 -1 12 0.28 -1 -1 32940 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64052 32 32 298 330 1 217 87 17 17 289 -1 unnamed_device 24.1 MiB 2.45 1451 62.6 MiB 0.07 0.00 6.49047 -143.269 -6.49047 6.49047 0.95 0.000756559 0.000689922 0.0282044 0.0258546 36 4196 40 6.79088e+06 309856 648988. 2245.63 10.88 0.265636 0.234999 25390 158009 -1 3237 19 1542 4788 316497 66550 0 0 316497 66550 4788 2720 0 0 15167 13093 0 0 26278 17622 0 0 4788 3176 0 0 129302 15426 0 0 136174 14513 0 0 4788 0 0 3246 7372 7702 47122 0 0 6.74877 6.74877 -162.228 -6.74877 0 0 828058. 2865.25 0.33 0.12 0.14 -1 -1 0.33 0.0431321 0.0390312 150 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_050.v common 10.08 vpr 62.68 MiB 0.02 6728 -1 -1 13 0.34 -1 -1 32856 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64188 32 32 299 331 1 229 84 17 17 289 -1 unnamed_device 24.3 MiB 2.69 1376 62.7 MiB 0.11 0.00 6.71306 -143.577 -6.71306 6.71306 1.05 0.000798725 0.000731665 0.0545465 0.0500019 38 3401 31 6.79088e+06 269440 678818. 2348.85 3.88 0.29748 0.266877 25966 169698 -1 2888 18 1424 3958 202874 45851 0 0 202874 45851 3958 1975 0 0 12199 10385 0 0 19207 13309 0 0 3958 2333 0 0 81287 9034 0 0 82265 8815 0 0 3958 0 0 2534 3957 3865 28958 0 0 6.96366 6.96366 -160.872 -6.96366 0 0 902133. 3121.57 0.31 0.07 0.16 -1 -1 0.31 0.0264937 0.0241192 143 204 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_051.v common 10.99 vpr 62.47 MiB 0.02 6644 -1 -1 14 0.33 -1 -1 32884 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63968 32 32 259 291 1 195 82 17 17 289 -1 unnamed_device 23.9 MiB 2.56 1167 62.5 MiB 0.08 0.00 6.96377 -144.578 -6.96377 6.96377 0.99 0.000632911 0.000575779 0.0332547 0.030286 38 3375 25 6.79088e+06 242496 678818. 2348.85 4.75 0.235757 0.209651 25966 169698 -1 2761 16 1240 3525 195843 44033 0 0 195843 44033 3525 1816 0 0 10990 9492 0 0 17025 11921 0 0 3525 2140 0 0 78248 9747 0 0 82530 8917 0 0 3525 0 0 2285 3700 3310 25110 0 0 7.16819 7.16819 -163.883 -7.16819 0 0 902133. 3121.57 0.37 0.09 0.16 -1 -1 0.37 0.0351769 0.0321755 123 164 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_052.v common 8.80 vpr 62.50 MiB 0.02 6708 -1 -1 13 0.32 -1 -1 32728 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64000 32 32 293 325 1 216 84 17 17 289 -1 unnamed_device 23.9 MiB 3.29 1273 62.5 MiB 0.09 0.00 6.91681 -139.809 -6.91681 6.91681 1.06 0.000769766 0.00070482 0.0409314 0.0373883 30 3569 31 6.79088e+06 269440 556674. 1926.21 1.76 0.185687 0.166415 24526 138013 -1 2859 18 1393 3842 189390 44703 0 0 189390 44703 3842 2073 0 0 11992 10184 0 0 17829 12779 0 0 3842 2392 0 0 75760 8653 0 0 76125 8622 0 0 3842 0 0 2449 3407 4016 26406 0 0 7.04976 7.04976 -160.005 -7.04976 0 0 706193. 2443.58 0.30 0.10 0.14 -1 -1 0.30 0.0403034 0.0365777 134 198 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_053.v common 11.85 vpr 62.89 MiB 0.02 6716 -1 -1 13 0.36 -1 -1 32956 -1 -1 23 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64396 31 32 311 343 1 236 86 17 17 289 -1 unnamed_device 24.2 MiB 1.53 1315 62.9 MiB 0.11 0.00 6.76001 -146.752 -6.76001 6.76001 1.03 0.00078438 0.000718289 0.0448466 0.0409166 38 3967 26 6.79088e+06 309856 678818. 2348.85 6.44 0.324821 0.291529 25966 169698 -1 3021 17 1697 4984 259458 58959 0 0 259458 58959 4984 2442 0 0 15308 13198 0 0 24342 16588 0 0 4984 2906 0 0 102040 12250 0 0 107800 11575 0 0 4984 0 0 3287 5800 5654 40193 0 0 7.08209 7.08209 -164.607 -7.08209 0 0 902133. 3121.57 0.36 0.11 0.17 -1 -1 0.36 0.0431012 0.0392007 154 218 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_054.v common 9.83 vpr 62.76 MiB 0.02 6652 -1 -1 12 0.32 -1 -1 32644 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64264 32 32 324 356 1 230 88 17 17 289 -1 unnamed_device 24.2 MiB 1.71 1348 62.8 MiB 0.12 0.00 6.37282 -138.756 -6.37282 6.37282 1.05 0.000813796 0.000743511 0.0537493 0.0490399 38 4069 24 6.79088e+06 323328 678818. 2348.85 4.22 0.281899 0.252128 25966 169698 -1 3035 20 1718 4824 237870 56660 0 0 237870 56660 4824 2433 0 0 15009 12875 0 0 22662 16097 0 0 4824 2881 0 0 94099 11220 0 0 96452 11154 0 0 4824 0 0 3106 4923 4585 32910 0 0 6.87407 6.87407 -168.993 -6.87407 0 0 902133. 3121.57 0.36 0.08 0.17 -1 -1 0.36 0.0313597 0.0285624 157 229 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_055.v common 7.39 vpr 61.89 MiB 0.02 6628 -1 -1 11 0.16 -1 -1 32296 -1 -1 13 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63372 32 32 216 248 1 162 77 17 17 289 -1 unnamed_device 23.3 MiB 1.64 905 61.9 MiB 0.08 0.00 5.1486 -113.282 -5.1486 5.1486 1.02 0.00053687 0.000494947 0.0374663 0.0343622 40 2223 17 6.79088e+06 175136 706193. 2443.58 2.20 0.182811 0.162695 26254 175826 -1 1963 15 978 2397 147688 35536 0 0 147688 35536 2397 1461 0 0 8068 6926 0 0 13845 9509 0 0 2397 1670 0 0 60955 8226 0 0 60026 7744 0 0 2397 0 0 1419 2193 1998 14317 0 0 5.47411 5.47411 -136.358 -5.47411 0 0 926341. 3205.33 0.37 0.07 0.18 -1 -1 0.37 0.0286128 0.0261837 90 121 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_056.v common 10.00 vpr 62.18 MiB 0.02 6576 -1 -1 13 0.17 -1 -1 32700 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63672 32 32 245 277 1 192 81 17 17 289 -1 unnamed_device 23.7 MiB 2.74 1100 62.2 MiB 0.08 0.00 6.38411 -139.812 -6.38411 6.38411 0.96 0.000556583 0.000507492 0.0376155 0.0343855 38 2718 21 6.79088e+06 229024 678818. 2348.85 3.88 0.245008 0.219721 25966 169698 -1 2201 16 1038 2646 138008 31876 0 0 138008 31876 2646 1403 0 0 8319 7009 0 0 12792 9001 0 0 2646 1619 0 0 54312 6846 0 0 57293 5998 0 0 2646 0 0 1608 2260 2286 16444 0 0 7.13591 7.13591 -158.425 -7.13591 0 0 902133. 3121.57 0.34 0.07 0.15 -1 -1 0.34 0.0281863 0.0254221 113 150 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_057.v common 17.11 vpr 63.20 MiB 0.03 6976 -1 -1 14 0.57 -1 -1 32844 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64712 32 32 361 393 1 262 88 17 17 289 -1 unnamed_device 24.6 MiB 1.43 1451 63.2 MiB 0.17 0.00 7.1786 -148.537 -7.1786 7.1786 1.05 0.000880602 0.000803329 0.0750312 0.0685501 40 4964 47 6.79088e+06 323328 706193. 2443.58 11.19 0.416905 0.370997 26254 175826 -1 4100 32 3277 10217 991377 258059 0 0 991377 258059 10217 5834 0 0 31484 27668 0 0 61407 37048 0 0 10217 6748 0 0 442757 89450 0 0 435295 91311 0 0 10217 0 0 6940 15646 15986 89395 0 0 8.25242 8.25242 -195.077 -8.25242 0 0 926341. 3205.33 0.38 0.37 0.18 -1 -1 0.38 0.0881845 0.0796081 180 266 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_058.v common 13.09 vpr 63.03 MiB 0.02 6684 -1 -1 13 0.41 -1 -1 32780 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64544 32 32 318 350 1 242 85 17 17 289 -1 unnamed_device 24.2 MiB 3.07 1494 63.0 MiB 0.11 0.00 6.72087 -147.435 -6.72087 6.72087 1.00 0.00082276 0.000751496 0.0501154 0.0456242 34 4162 48 6.79088e+06 282912 618332. 2139.56 6.24 0.351902 0.315411 25102 150614 -1 3482 22 1639 4709 503125 149940 0 0 503125 149940 4709 2723 0 0 15410 13131 0 0 29024 18398 0 0 4709 3105 0 0 226818 58050 0 0 222455 54533 0 0 4709 0 0 3070 6350 7214 40981 0 0 7.3116 7.3116 -172.31 -7.3116 0 0 787024. 2723.27 0.32 0.20 0.14 -1 -1 0.32 0.0567672 0.0514843 154 223 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_059.v common 6.46 vpr 61.94 MiB 0.02 6636 -1 -1 11 0.20 -1 -1 32744 -1 -1 17 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63428 30 32 223 255 1 164 79 17 17 289 -1 unnamed_device 23.3 MiB 0.83 862 61.9 MiB 0.11 0.00 5.53143 -117.9 -5.53143 5.53143 1.07 0.000568463 0.000520544 0.0500743 0.0458588 30 2926 42 6.79088e+06 229024 556674. 1926.21 2.29 0.165644 0.148041 24526 138013 -1 2093 15 1026 2787 151219 35157 0 0 151219 35157 2787 1512 0 0 8522 7215 0 0 12892 9030 0 0 2787 1662 0 0 61166 8052 0 0 63065 7686 0 0 2787 0 0 1761 2514 2974 19774 0 0 5.65673 5.65673 -133.183 -5.65673 0 0 706193. 2443.58 0.22 0.07 0.08 -1 -1 0.22 0.0249957 0.0225547 99 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_060.v common 8.87 vpr 63.07 MiB 0.04 6980 -1 -1 15 0.56 -1 -1 32836 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64580 32 32 335 367 1 254 88 17 17 289 -1 unnamed_device 24.5 MiB 1.51 1646 63.1 MiB 0.09 0.00 7.5189 -157.368 -7.5189 7.5189 1.01 0.000853958 0.000779052 0.0392331 0.035835 40 3955 21 6.79088e+06 323328 706193. 2443.58 3.31 0.29283 0.261367 26254 175826 -1 3808 20 2044 6291 426153 89793 0 0 426153 89793 6291 3410 0 0 20420 17450 0 0 37375 24203 0 0 6291 4092 0 0 177618 20285 0 0 178158 20353 0 0 6291 0 0 4247 8826 9383 57841 0 0 8.2707 8.2707 -182.859 -8.2707 0 0 926341. 3205.33 0.38 0.17 0.17 -1 -1 0.38 0.0564954 0.051578 172 240 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_061.v common 8.40 vpr 62.81 MiB 0.02 6716 -1 -1 13 0.39 -1 -1 33208 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64320 32 32 301 333 1 229 86 17 17 289 -1 unnamed_device 24.4 MiB 1.50 1396 62.8 MiB 0.11 0.00 6.61551 -143.991 -6.61551 6.61551 1.08 0.000818284 0.000750233 0.0495041 0.0454875 38 3660 28 6.79088e+06 296384 678818. 2348.85 2.93 0.278622 0.248841 25966 169698 -1 2995 17 1443 3988 211008 47235 0 0 211008 47235 3988 2043 0 0 12333 10483 0 0 18988 13300 0 0 3988 2456 0 0 86680 9446 0 0 85031 9507 0 0 3988 0 0 2545 4268 4376 29905 0 0 6.89412 6.89412 -162.598 -6.89412 0 0 902133. 3121.57 0.34 0.10 0.14 -1 -1 0.34 0.0381266 0.0344489 149 206 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_062.v common 7.96 vpr 62.13 MiB 0.01 6452 -1 -1 11 0.13 -1 -1 32544 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63620 32 32 238 270 1 173 80 17 17 289 -1 unnamed_device 23.5 MiB 1.81 1004 62.1 MiB 0.10 0.00 5.82549 -130.589 -5.82549 5.82549 1.08 0.000578783 0.000528813 0.0429587 0.0392912 30 2876 26 6.79088e+06 215552 556674. 1926.21 2.63 0.147283 0.131607 24526 138013 -1 2210 17 1020 2574 141537 32196 0 0 141537 32196 2574 1402 0 0 8078 6841 0 0 12270 8668 0 0 2574 1550 0 0 58721 6858 0 0 57320 6877 0 0 2574 0 0 1554 2406 2246 17082 0 0 5.95079 5.95079 -145.499 -5.95079 0 0 706193. 2443.58 0.26 0.07 0.14 -1 -1 0.26 0.0288365 0.0259905 97 143 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_063.v common 10.39 vpr 62.80 MiB 0.03 6888 -1 -1 12 0.38 -1 -1 32700 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64308 32 32 308 340 1 226 85 17 17 289 -1 unnamed_device 24.3 MiB 2.04 1356 62.8 MiB 0.14 0.00 6.32253 -138.894 -6.32253 6.32253 1.05 0.000772215 0.00069287 0.0632353 0.057626 38 3703 42 6.79088e+06 282912 678818. 2348.85 4.54 0.294254 0.260404 25966 169698 -1 2958 18 1444 4349 237992 52905 0 0 237992 52905 4349 1945 0 0 13667 11807 0 0 21311 14813 0 0 4349 2266 0 0 97877 10915 0 0 96439 11159 0 0 4349 0 0 2905 5887 6192 40945 0 0 6.67037 6.67037 -161.028 -6.67037 0 0 902133. 3121.57 0.25 0.07 0.17 -1 -1 0.25 0.0262116 0.0238532 152 213 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_064.v common 12.43 vpr 62.15 MiB 0.02 6572 -1 -1 12 0.23 -1 -1 32424 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63640 32 32 253 285 1 190 80 17 17 289 -1 unnamed_device 23.7 MiB 2.04 1037 62.1 MiB 0.08 0.00 6.04387 -130.269 -6.04387 6.04387 0.98 0.000655855 0.000595465 0.0338633 0.0309796 38 3550 48 6.79088e+06 215552 678818. 2348.85 6.85 0.32561 0.291112 25966 169698 -1 2466 18 1211 3220 206295 46828 0 0 206295 46828 3220 1730 0 0 9876 8497 0 0 15956 10671 0 0 3220 2055 0 0 85136 12176 0 0 88887 11699 0 0 3220 0 0 2009 3108 2776 20321 0 0 6.29447 6.29447 -149.237 -6.29447 0 0 902133. 3121.57 0.34 0.09 0.16 -1 -1 0.34 0.0322244 0.0290119 115 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_065.v common 7.07 vpr 61.97 MiB 0.02 6584 -1 -1 12 0.23 -1 -1 32624 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63456 30 32 227 259 1 163 81 17 17 289 -1 unnamed_device 23.3 MiB 1.71 881 62.0 MiB 0.10 0.00 6.34486 -126.195 -6.34486 6.34486 1.08 0.000591015 0.000541315 0.0461121 0.0423515 30 2415 48 6.79088e+06 255968 556674. 1926.21 1.80 0.173873 0.155095 24526 138013 -1 1813 16 867 2397 118651 29592 0 0 118651 29592 2397 1289 0 0 7660 6375 0 0 11341 8195 0 0 2397 1479 0 0 48179 6254 0 0 46677 6000 0 0 2397 0 0 1530 2348 2424 17453 0 0 6.59546 6.59546 -140.695 -6.59546 0 0 706193. 2443.58 0.28 0.06 0.13 -1 -1 0.28 0.0255658 0.0230586 105 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_066.v common 9.57 vpr 62.79 MiB 0.02 6804 -1 -1 12 0.37 -1 -1 32744 -1 -1 24 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64300 29 32 292 324 1 202 85 17 17 289 -1 unnamed_device 23.9 MiB 1.66 1153 62.8 MiB 0.09 0.00 6.55742 -124.037 -6.55742 6.55742 1.08 0.000767817 0.000704245 0.0418839 0.038543 36 3225 29 6.79088e+06 323328 648988. 2245.63 3.98 0.242634 0.215198 25390 158009 -1 2665 17 1228 3849 217733 49499 0 0 217733 49499 3849 1998 0 0 12569 10651 0 0 21258 14857 0 0 3849 2299 0 0 87308 10007 0 0 88900 9687 0 0 3849 0 0 2621 5204 5005 35775 0 0 6.80802 6.80802 -140.04 -6.80802 0 0 828058. 2865.25 0.34 0.11 0.16 -1 -1 0.34 0.0470814 0.0431885 144 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_067.v common 11.05 vpr 62.78 MiB 0.03 6624 -1 -1 14 0.43 -1 -1 32860 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64288 32 32 316 348 1 248 86 17 17 289 -1 unnamed_device 24.2 MiB 3.05 1427 62.8 MiB 0.10 0.00 6.92451 -144.913 -6.92451 6.92451 1.02 0.000839941 0.00077207 0.0447308 0.0410031 40 3723 38 6.79088e+06 296384 706193. 2443.58 3.90 0.283353 0.250824 26254 175826 -1 3497 19 2216 5553 368293 79967 0 0 368293 79967 5553 3350 0 0 18189 15718 0 0 32478 21344 0 0 5553 3870 0 0 151412 18277 0 0 155108 17408 0 0 5553 0 0 3337 5856 5261 36651 0 0 7.42571 7.42571 -171.378 -7.42571 0 0 926341. 3205.33 0.38 0.15 0.18 -1 -1 0.38 0.0499931 0.0451366 155 221 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_068.v common 9.79 vpr 62.49 MiB 0.02 6596 -1 -1 12 0.30 -1 -1 32704 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63992 32 32 286 318 1 212 83 17 17 289 -1 unnamed_device 23.9 MiB 1.72 1248 62.5 MiB 0.12 0.00 6.20837 -138.563 -6.20837 6.20837 1.07 0.000788601 0.000724577 0.0528967 0.0486014 38 3519 22 6.79088e+06 255968 678818. 2348.85 4.23 0.254641 0.22736 25966 169698 -1 2879 19 1429 4199 229563 50614 0 0 229563 50614 4199 2111 0 0 12872 11089 0 0 20322 13963 0 0 4199 2544 0 0 93128 10753 0 0 94843 10154 0 0 4199 0 0 2770 4571 4766 32416 0 0 6.65558 6.65558 -155.489 -6.65558 0 0 902133. 3121.57 0.34 0.10 0.17 -1 -1 0.34 0.0371391 0.0333709 137 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_069.v common 6.47 vpr 62.06 MiB 0.02 6644 -1 -1 12 0.19 -1 -1 32620 -1 -1 15 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63552 32 32 221 253 1 164 79 17 17 289 -1 unnamed_device 23.5 MiB 1.51 985 62.1 MiB 0.07 0.00 5.91857 -125.482 -5.91857 5.91857 0.95 0.000477591 0.000434556 0.0288348 0.0262912 34 2617 19 6.79088e+06 202080 618332. 2139.56 1.73 0.169709 0.150234 25102 150614 -1 2219 13 865 2282 137254 30522 0 0 137254 30522 2282 1348 0 0 7441 6241 0 0 12527 8456 0 0 2282 1495 0 0 56394 6515 0 0 56328 6467 0 0 2282 0 0 1417 2387 2660 17092 0 0 6.04387 6.04387 -137.893 -6.04387 0 0 787024. 2723.27 0.26 0.06 0.10 -1 -1 0.26 0.0237755 0.0216776 95 126 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_070.v common 8.76 vpr 62.32 MiB 0.02 6580 -1 -1 12 0.24 -1 -1 32476 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63812 31 32 261 293 1 187 81 17 17 289 -1 unnamed_device 23.8 MiB 2.53 1080 62.3 MiB 0.07 0.00 6.07958 -129.05 -6.07958 6.07958 1.06 0.000691201 0.000628294 0.0310139 0.0285666 38 2803 24 6.79088e+06 242496 678818. 2348.85 2.58 0.193928 0.172888 25966 169698 -1 2301 18 1080 3043 164290 37448 0 0 164290 37448 3043 1585 0 0 9583 8279 0 0 15310 10539 0 0 3043 1826 0 0 65014 7920 0 0 68297 7299 0 0 3043 0 0 1963 3309 3005 22486 0 0 6.36938 6.36938 -146.765 -6.36938 0 0 902133. 3121.57 0.36 0.08 0.17 -1 -1 0.36 0.0345286 0.0312931 114 168 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_071.v common 10.23 vpr 62.27 MiB 0.03 6780 -1 -1 11 0.25 -1 -1 32876 -1 -1 22 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63764 30 32 277 309 1 200 84 17 17 289 -1 unnamed_device 23.7 MiB 2.95 1171 62.3 MiB 0.07 0.00 5.61753 -118.579 -5.61753 5.61753 0.69 0.000470696 0.000435545 0.0292123 0.0268502 38 3423 49 6.79088e+06 296384 678818. 2348.85 4.33 0.241339 0.213611 25966 169698 -1 2723 16 1269 3963 218010 47875 0 0 218010 47875 3963 1949 0 0 12091 10465 0 0 19026 13049 0 0 3963 2292 0 0 87246 10461 0 0 91721 9659 0 0 3963 0 0 2694 4809 4864 36046 0 0 5.99337 5.99337 -136.605 -5.99337 0 0 902133. 3121.57 0.34 0.09 0.17 -1 -1 0.34 0.0351607 0.0319442 129 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_072.v common 9.31 vpr 62.17 MiB 0.02 6688 -1 -1 11 0.27 -1 -1 32616 -1 -1 21 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63664 28 32 251 283 1 191 81 17 17 289 -1 unnamed_device 23.7 MiB 1.47 968 62.2 MiB 0.11 0.00 5.70363 -105.841 -5.70363 5.70363 1.08 0.000662449 0.000608532 0.0515928 0.0473855 44 2963 50 6.79088e+06 282912 787024. 2723.27 4.28 0.292422 0.260373 27118 194962 -1 2233 18 1174 3273 218820 58320 0 0 218820 58320 3273 1570 0 0 10360 8829 0 0 17486 11980 0 0 3273 1911 0 0 91760 17203 0 0 92668 16827 0 0 3273 0 0 2099 3161 4096 25113 0 0 5.82893 5.82893 -120.942 -5.82893 0 0 997811. 3452.63 0.41 0.10 0.18 -1 -1 0.41 0.0380455 0.0345753 125 164 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_073.v common 10.60 vpr 62.00 MiB 0.02 6588 -1 -1 13 0.24 -1 -1 32600 -1 -1 16 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63492 30 32 223 255 1 173 78 17 17 289 -1 unnamed_device 23.6 MiB 3.82 1091 62.0 MiB 0.10 0.00 6.25532 -124.609 -6.25532 6.25532 1.07 0.000568089 0.000519638 0.043696 0.0400639 36 2773 26 6.79088e+06 215552 648988. 2245.63 3.09 0.1741 0.154498 25390 158009 -1 2420 17 1062 2700 155754 35754 0 0 155754 35754 2700 1568 0 0 8775 7523 0 0 14430 10191 0 0 2700 1765 0 0 64286 7165 0 0 62863 7542 0 0 2700 0 0 1638 2010 2311 16145 0 0 6.50592 6.50592 -141.53 -6.50592 0 0 828058. 2865.25 0.32 0.07 0.15 -1 -1 0.32 0.0258167 0.0232214 104 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_074.v common 10.76 vpr 62.73 MiB 0.02 6560 -1 -1 12 0.25 -1 -1 32428 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64236 32 32 269 301 1 197 84 17 17 289 -1 unnamed_device 24.0 MiB 2.55 1227 62.7 MiB 0.05 0.00 6.07958 -132.59 -6.07958 6.07958 1.06 0.000698601 0.000639649 0.022227 0.0204864 36 3034 43 6.79088e+06 269440 648988. 2245.63 4.52 0.265816 0.238711 25390 158009 -1 2557 17 1142 2933 180470 40172 0 0 180470 40172 2933 1550 0 0 9686 8160 0 0 16108 11357 0 0 2933 1796 0 0 73607 8859 0 0 75203 8450 0 0 2933 0 0 1791 2989 2845 19566 0 0 6.33018 6.33018 -151.345 -6.33018 0 0 828058. 2865.25 0.32 0.08 0.16 -1 -1 0.32 0.032136 0.028919 125 174 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_075.v common 11.18 vpr 62.62 MiB 0.03 6612 -1 -1 13 0.34 -1 -1 32792 -1 -1 20 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64120 31 32 283 315 1 193 83 17 17 289 -1 unnamed_device 24.0 MiB 2.53 1211 62.6 MiB 0.10 0.00 6.54518 -134.181 -6.54518 6.54518 1.07 0.000719217 0.000659717 0.0476226 0.0437261 36 3312 22 6.79088e+06 269440 648988. 2245.63 4.85 0.258628 0.230801 25390 158009 -1 2715 21 1287 3879 219124 49495 0 0 219124 49495 3879 1810 0 0 12616 10644 0 0 20887 14652 0 0 3879 2180 0 0 87981 10393 0 0 89882 9816 0 0 3879 0 0 2592 4776 4538 31697 0 0 7.17162 7.17162 -158.949 -7.17162 0 0 828058. 2865.25 0.30 0.10 0.13 -1 -1 0.30 0.0406837 0.0366374 137 190 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_076.v common 9.46 vpr 62.77 MiB 0.02 6724 -1 -1 14 0.31 -1 -1 32752 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64272 32 32 308 340 1 224 85 17 17 289 -1 unnamed_device 24.1 MiB 1.91 1335 62.8 MiB 0.13 0.00 7.22905 -148.195 -7.22905 7.22905 1.10 0.000812275 0.000741144 0.0640002 0.0585757 36 3614 19 6.79088e+06 282912 648988. 2245.63 3.67 0.256408 0.22899 25390 158009 -1 2954 17 1415 3898 225808 50308 0 0 225808 50308 3898 2172 0 0 12599 10467 0 0 20576 14451 0 0 3898 2480 0 0 90976 10601 0 0 93861 10137 0 0 3898 0 0 2483 4471 4692 30918 0 0 7.69105 7.69105 -172.722 -7.69105 0 0 828058. 2865.25 0.34 0.10 0.16 -1 -1 0.34 0.0424321 0.0385154 149 213 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_077.v common 10.81 vpr 62.36 MiB 0.02 6748 -1 -1 14 0.33 -1 -1 32696 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63860 32 32 277 309 1 209 84 17 17 289 -1 unnamed_device 24.0 MiB 2.87 1267 62.4 MiB 0.13 0.00 6.79583 -138.47 -6.79583 6.79583 1.05 0.000734079 0.00067167 0.0579983 0.0531801 38 3467 21 6.79088e+06 269440 678818. 2348.85 4.14 0.286865 0.259147 25966 169698 -1 2853 16 1267 3706 217325 48074 0 0 217325 48074 3706 1854 0 0 11677 9997 0 0 18164 12777 0 0 3706 2236 0 0 91286 10406 0 0 88786 10804 0 0 3706 0 0 2439 4717 4879 32293 0 0 7.17173 7.17173 -158.639 -7.17173 0 0 902133. 3121.57 0.33 0.09 0.15 -1 -1 0.33 0.0350862 0.0319574 136 182 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_078.v common 9.19 vpr 62.69 MiB 0.02 6612 -1 -1 13 0.42 -1 -1 33416 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64192 32 32 288 320 1 210 83 17 17 289 -1 unnamed_device 24.1 MiB 2.52 1211 62.7 MiB 0.10 0.00 6.67391 -137.428 -6.67391 6.67391 1.09 0.000778501 0.000714678 0.0477082 0.0437595 40 3147 24 6.79088e+06 255968 706193. 2443.58 2.81 0.210678 0.187647 26254 175826 -1 2893 17 1397 4089 268544 58623 0 0 268544 58623 4089 2174 0 0 13386 11314 0 0 24048 15673 0 0 4089 2537 0 0 110215 13797 0 0 112717 13128 0 0 4089 0 0 2692 4726 4957 34304 0 0 7.17511 7.17511 -156.621 -7.17511 0 0 926341. 3205.33 0.24 0.11 0.15 -1 -1 0.24 0.0384178 0.0347401 139 193 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_079.v common 7.91 vpr 62.21 MiB 0.02 6624 -1 -1 13 0.21 -1 -1 32816 -1 -1 16 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63700 30 32 230 262 1 176 78 17 17 289 -1 unnamed_device 23.6 MiB 1.89 1056 62.2 MiB 0.08 0.00 5.84133 -125.224 -5.84133 5.84133 1.01 0.000523177 0.000475468 0.0376879 0.0344639 38 2562 19 6.79088e+06 215552 678818. 2348.85 2.43 0.202123 0.18007 25966 169698 -1 2156 16 968 2377 131841 29953 0 0 131841 29953 2377 1383 0 0 7427 6294 0 0 11449 8042 0 0 2377 1630 0 0 53543 6508 0 0 54668 6096 0 0 2377 0 0 1409 1723 1816 13507 0 0 6.38943 6.38943 -142.78 -6.38943 0 0 902133. 3121.57 0.36 0.07 0.17 -1 -1 0.36 0.02693 0.0243936 106 139 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_080.v common 12.58 vpr 62.71 MiB 0.02 6640 -1 -1 13 0.56 -1 -1 32812 -1 -1 23 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64220 30 32 294 326 1 222 85 17 17 289 -1 unnamed_device 24.3 MiB 1.88 1353 62.7 MiB 0.12 0.00 6.80265 -142.99 -6.80265 6.80265 1.05 0.000797907 0.000728934 0.0560238 0.0513761 36 3835 32 6.79088e+06 309856 648988. 2245.63 6.55 0.327847 0.294238 25390 158009 -1 3040 20 1535 3924 241600 53452 0 0 241600 53452 3924 2250 0 0 12911 10833 0 0 20926 14935 0 0 3924 2669 0 0 99298 11667 0 0 100617 11098 0 0 3924 0 0 2389 3446 3709 24368 0 0 7.67975 7.67975 -168.524 -7.67975 0 0 828058. 2865.25 0.37 0.12 0.15 -1 -1 0.37 0.0538228 0.0491793 144 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_081.v common 9.47 vpr 62.49 MiB 0.03 6688 -1 -1 14 0.38 -1 -1 31376 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63992 32 32 276 308 1 206 84 17 17 289 -1 unnamed_device 23.9 MiB 1.97 1338 62.5 MiB 0.10 0.00 6.68167 -146.217 -6.68167 6.68167 1.04 0.000612558 0.00056087 0.0458456 0.0417842 38 3202 27 6.79088e+06 269440 678818. 2348.85 3.63 0.245173 0.218338 25966 169698 -1 2793 19 1298 3865 221370 47596 0 0 221370 47596 3865 1945 0 0 11874 10069 0 0 19305 13006 0 0 3865 2247 0 0 89728 10508 0 0 92733 9821 0 0 3865 0 0 2567 5035 4863 34118 0 0 7.18287 7.18287 -170.178 -7.18287 0 0 902133. 3121.57 0.36 0.11 0.15 -1 -1 0.36 0.0441872 0.040457 133 181 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_082.v common 9.56 vpr 62.48 MiB 0.02 6860 -1 -1 12 0.24 -1 -1 32856 -1 -1 21 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63980 31 32 293 325 1 212 84 17 17 289 -1 unnamed_device 24.1 MiB 2.13 1193 62.5 MiB 0.12 0.00 6.54856 -132.625 -6.54856 6.54856 1.05 0.000759147 0.000696144 0.0550723 0.0503308 30 3908 44 6.79088e+06 282912 556674. 1926.21 3.72 0.236398 0.211691 24526 138013 -1 2893 20 1519 4290 253570 56988 0 0 253570 56988 4290 2464 0 0 13549 11586 0 0 20637 14703 0 0 4290 2725 0 0 101330 13589 0 0 109474 11921 0 0 4290 0 0 2771 4907 4792 32842 0 0 6.88526 6.88526 -155.13 -6.88526 0 0 706193. 2443.58 0.30 0.11 0.14 -1 -1 0.30 0.0435469 0.0394859 143 200 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_083.v common 10.96 vpr 62.39 MiB 0.02 6864 -1 -1 13 0.28 -1 -1 32788 -1 -1 21 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63888 30 32 273 305 1 208 83 17 17 289 -1 unnamed_device 23.8 MiB 2.59 1262 62.4 MiB 0.07 0.00 6.93338 -129.368 -6.93338 6.93338 0.98 0.000459262 0.00042603 0.0331087 0.0305298 36 3664 46 6.79088e+06 282912 648988. 2245.63 4.72 0.21029 0.186594 25390 158009 -1 2976 19 1310 3609 229507 49667 0 0 229507 49667 3609 1955 0 0 11598 9972 0 0 19572 13406 0 0 3609 2241 0 0 95664 11241 0 0 95455 10852 0 0 3609 0 0 2299 4051 4116 28297 0 0 7.30041 7.30041 -148.974 -7.30041 0 0 828058. 2865.25 0.34 0.08 0.16 -1 -1 0.34 0.0289768 0.0264354 126 182 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_084.v common 9.03 vpr 62.71 MiB 0.02 6724 -1 -1 14 0.43 -1 -1 32864 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64212 32 32 310 342 1 235 85 17 17 289 -1 unnamed_device 24.2 MiB 1.83 1496 62.7 MiB 0.07 0.00 6.83847 -145.508 -6.83847 6.83847 1.00 0.000692089 0.000630073 0.0303601 0.027727 40 3539 22 6.79088e+06 282912 706193. 2443.58 3.28 0.286297 0.255265 26254 175826 -1 3520 20 1892 5265 364408 79714 0 0 364408 79714 5265 2887 0 0 17218 14809 0 0 31541 20444 0 0 5265 3442 0 0 151506 19251 0 0 153613 18881 0 0 5265 0 0 3373 5510 5454 36796 0 0 7.26127 7.26127 -169.724 -7.26127 0 0 926341. 3205.33 0.37 0.15 0.17 -1 -1 0.37 0.0495471 0.0448852 154 215 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_085.v common 13.48 vpr 62.46 MiB 0.03 6812 -1 -1 11 0.35 -1 -1 32804 -1 -1 22 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63964 29 32 259 291 1 194 83 17 17 289 -1 unnamed_device 23.9 MiB 1.68 1099 62.5 MiB 0.13 0.00 5.74283 -113.79 -5.74283 5.74283 1.06 0.00070096 0.000630161 0.057651 0.0526506 36 3206 24 6.79088e+06 296384 648988. 2245.63 8.02 0.299989 0.2686 25390 158009 -1 2644 27 1253 4042 398145 147243 0 0 398145 147243 4042 2104 0 0 12829 10766 0 0 23447 15502 0 0 4042 2521 0 0 179033 61248 0 0 174752 55102 0 0 4042 0 0 2789 5736 5338 36831 0 0 6.03684 6.03684 -130.453 -6.03684 0 0 828058. 2865.25 0.23 0.11 0.16 -1 -1 0.23 0.0298562 0.0266856 130 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_086.v common 10.44 vpr 62.04 MiB 0.02 6416 -1 -1 13 0.21 -1 -1 32676 -1 -1 14 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63524 32 32 225 257 1 182 78 17 17 289 -1 unnamed_device 23.4 MiB 3.60 956 62.0 MiB 0.05 0.00 5.77864 -135.969 -5.77864 5.77864 1.01 0.000588859 0.000535364 0.0174942 0.0160407 36 3010 40 6.79088e+06 188608 648988. 2245.63 3.28 0.167119 0.147913 25390 158009 -1 2362 18 1268 3052 192657 44603 0 0 192657 44603 3052 1889 0 0 10099 8882 0 0 17252 11977 0 0 3052 2117 0 0 76607 10007 0 0 82595 9731 0 0 3052 0 0 1784 2040 2529 17053 0 0 6.11534 6.11534 -154.462 -6.11534 0 0 828058. 2865.25 0.35 0.09 0.16 -1 -1 0.35 0.0329438 0.029967 99 130 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_087.v common 11.87 vpr 62.40 MiB 0.03 6668 -1 -1 14 0.29 -1 -1 32740 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63900 32 32 273 305 1 212 83 17 17 289 -1 unnamed_device 23.8 MiB 2.13 1305 62.4 MiB 0.06 0.00 7.04217 -146.535 -7.04217 7.04217 0.99 0.000634875 0.000579129 0.0249034 0.0228884 36 3525 22 6.79088e+06 255968 648988. 2245.63 6.18 0.238872 0.213216 25390 158009 -1 2815 16 1265 3244 201691 44467 0 0 201691 44467 3244 1842 0 0 10668 8987 0 0 17443 12379 0 0 3244 2076 0 0 84052 9729 0 0 83040 9454 0 0 3244 0 0 1979 3020 3416 22739 0 0 7.84435 7.84435 -171.483 -7.84435 0 0 828058. 2865.25 0.32 0.09 0.14 -1 -1 0.32 0.0338334 0.0307688 129 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_088.v common 10.16 vpr 62.96 MiB 0.02 6768 -1 -1 15 0.46 -1 -1 33332 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64468 32 32 322 354 1 240 86 17 17 289 -1 unnamed_device 24.3 MiB 2.28 1452 63.0 MiB 0.15 0.00 7.3152 -155.529 -7.3152 7.3152 1.05 0.000842628 0.000764966 0.0719785 0.0658201 38 3747 26 6.79088e+06 296384 678818. 2348.85 3.80 0.309812 0.277185 25966 169698 -1 3298 19 1854 5067 271700 60405 0 0 271700 60405 5067 2680 0 0 15337 13358 0 0 24653 16711 0 0 5067 3200 0 0 109796 12541 0 0 111780 11915 0 0 5067 0 0 3213 4635 4985 33910 0 0 7.84862 7.84862 -178.511 -7.84862 0 0 902133. 3121.57 0.36 0.12 0.17 -1 -1 0.36 0.044255 0.0401348 153 227 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_089.v common 9.64 vpr 62.00 MiB 0.02 6624 -1 -1 11 0.21 -1 -1 32392 -1 -1 14 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63484 32 32 218 250 1 160 78 17 17 289 -1 unnamed_device 23.5 MiB 2.66 1007 62.0 MiB 0.07 0.00 5.37463 -117.408 -5.37463 5.37463 1.07 0.00055229 0.000504962 0.0290942 0.0266745 34 2729 23 6.79088e+06 188608 618332. 2139.56 3.33 0.173858 0.153009 25102 150614 -1 2319 18 953 2503 167147 36716 0 0 167147 36716 2503 1477 0 0 8410 7219 0 0 14137 9677 0 0 2503 1677 0 0 69281 8465 0 0 70313 8201 0 0 2503 0 0 1550 2904 2984 18431 0 0 5.82544 5.82544 -137.685 -5.82544 0 0 787024. 2723.27 0.32 0.08 0.15 -1 -1 0.32 0.0290536 0.0263007 91 123 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_090.v common 8.57 vpr 62.20 MiB 0.02 6480 -1 -1 12 0.24 -1 -1 32460 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63688 31 32 244 276 1 185 79 17 17 289 -1 unnamed_device 23.7 MiB 2.09 1069 62.2 MiB 0.10 0.00 5.82898 -130.331 -5.82898 5.82898 1.02 0.000609767 0.000558583 0.0459171 0.0420957 30 3545 45 6.79088e+06 215552 556674. 1926.21 3.11 0.174606 0.155033 24526 138013 -1 2508 16 1256 3248 164169 39051 0 0 164169 39051 3248 1774 0 0 10230 8791 0 0 15215 10970 0 0 3248 2011 0 0 64430 8129 0 0 67798 7376 0 0 3248 0 0 1992 2668 2351 19041 0 0 6.20488 6.20488 -150.468 -6.20488 0 0 706193. 2443.58 0.30 0.07 0.13 -1 -1 0.30 0.0244952 0.022217 111 151 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_091.v common 7.45 vpr 62.60 MiB 0.02 6736 -1 -1 12 0.38 -1 -1 32972 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64100 32 32 301 333 1 214 84 17 17 289 -1 unnamed_device 24.1 MiB 1.80 1333 62.6 MiB 0.08 0.00 6.42321 -133.875 -6.42321 6.42321 0.98 0.000817069 0.000739572 0.0383317 0.0351424 38 3347 25 6.79088e+06 269440 678818. 2348.85 2.10 0.196398 0.174625 25966 169698 -1 2740 18 1283 3616 191123 43307 0 0 191123 43307 3616 1846 0 0 11325 9610 0 0 17416 12384 0 0 3616 2137 0 0 76511 8925 0 0 78639 8405 0 0 3616 0 0 2333 3981 3652 26326 0 0 6.54851 6.54851 -149.845 -6.54851 0 0 902133. 3121.57 0.35 0.09 0.16 -1 -1 0.35 0.0380326 0.0341942 145 206 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_092.v common 12.27 vpr 62.75 MiB 0.02 6660 -1 -1 12 0.29 -1 -1 32928 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64260 32 32 278 310 1 207 83 17 17 289 -1 unnamed_device 24.0 MiB 2.08 1323 62.8 MiB 0.12 0.00 6.47021 -137.3 -6.47021 6.47021 1.06 0.000728329 0.00066602 0.0580194 0.0532288 36 3932 28 6.79088e+06 255968 648988. 2245.63 6.31 0.259503 0.230326 25390 158009 -1 3167 20 1405 4176 373028 120304 0 0 373028 120304 4176 2244 0 0 13067 11110 0 0 23266 15589 0 0 4176 2606 0 0 163721 44618 0 0 164622 44137 0 0 4176 0 0 2771 4738 4802 32441 0 0 7.05751 7.05751 -163.485 -7.05751 0 0 828058. 2865.25 0.34 0.17 0.14 -1 -1 0.34 0.0495659 0.0452331 133 183 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_093.v common 10.16 vpr 63.23 MiB 0.03 6684 -1 -1 14 0.60 -1 -1 33248 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64752 32 32 333 365 1 242 87 17 17 289 -1 unnamed_device 24.6 MiB 1.68 1389 63.2 MiB 0.07 0.00 7.34316 -151.316 -7.34316 7.34316 1.06 0.000898678 0.000822116 0.0304679 0.0280238 38 3985 23 6.79088e+06 309856 678818. 2348.85 4.30 0.223588 0.198772 25966 169698 -1 3070 17 1517 4642 234459 53928 0 0 234459 53928 4642 2119 0 0 14419 12372 0 0 22683 15606 0 0 4642 2602 0 0 91735 10896 0 0 96338 10333 0 0 4642 0 0 3125 5142 5358 36975 0 0 7.46846 7.46846 -168.256 -7.46846 0 0 902133. 3121.57 0.36 0.11 0.17 -1 -1 0.36 0.0444981 0.040634 170 238 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_094.v common 9.91 vpr 62.40 MiB 0.03 6596 -1 -1 11 0.29 -1 -1 32528 -1 -1 21 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63896 30 32 261 293 1 195 83 17 17 289 -1 unnamed_device 23.9 MiB 2.54 1141 62.4 MiB 0.12 0.00 5.74632 -117.652 -5.74632 5.74632 1.07 0.000690644 0.000631397 0.0565822 0.051766 38 3022 29 6.79088e+06 282912 678818. 2348.85 3.74 0.284832 0.255464 25966 169698 -1 2467 16 1227 3577 187135 42408 0 0 187135 42408 3577 1770 0 0 11163 9507 0 0 17260 12178 0 0 3577 2105 0 0 76882 8287 0 0 74676 8561 0 0 3577 0 0 2350 3542 3922 27111 0 0 5.95772 5.95772 -133.587 -5.95772 0 0 902133. 3121.57 0.34 0.08 0.15 -1 -1 0.34 0.0322006 0.0291323 128 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_095.v common 6.98 vpr 62.12 MiB 0.02 6420 -1 -1 11 0.23 -1 -1 32532 -1 -1 19 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63608 27 32 217 249 1 154 78 17 17 289 -1 unnamed_device 23.5 MiB 1.56 905 62.1 MiB 0.08 0.00 5.56719 -104.624 -5.56719 5.56719 1.00 0.00056043 0.000512538 0.0353536 0.0324084 30 2560 50 6.79088e+06 255968 556674. 1926.21 1.90 0.149185 0.131749 24526 138013 -1 1957 17 870 2339 118931 27961 0 0 118931 27961 2339 1238 0 0 7416 6177 0 0 10746 7888 0 0 2339 1394 0 0 48663 5522 0 0 47428 5742 0 0 2339 0 0 1469 2011 2121 15288 0 0 5.94309 5.94309 -121.672 -5.94309 0 0 706193. 2443.58 0.30 0.06 0.13 -1 -1 0.30 0.0269361 0.0242816 101 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_096.v common 12.04 vpr 63.35 MiB 0.03 6800 -1 -1 13 0.46 -1 -1 32916 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64872 32 32 373 405 1 276 93 17 17 289 -1 unnamed_device 24.7 MiB 2.26 1666 63.4 MiB 0.17 0.00 6.72081 -139.329 -6.72081 6.72081 1.08 0.00101071 0.000907746 0.0800538 0.0727961 40 4558 31 6.79088e+06 390688 706193. 2443.58 5.57 0.349811 0.311531 26254 175826 -1 3943 17 1993 5893 392922 86141 0 0 392922 86141 5893 3231 0 0 19523 16599 0 0 33193 22671 0 0 5893 3868 0 0 162623 20349 0 0 165797 19423 0 0 5893 0 0 3900 7493 7261 48125 0 0 7.24997 7.24997 -162.641 -7.24997 0 0 926341. 3205.33 0.36 0.16 0.16 -1 -1 0.36 0.0552118 0.0503131 191 278 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_097.v common 10.70 vpr 62.50 MiB 0.03 6856 -1 -1 14 0.33 -1 -1 33260 -1 -1 20 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64000 31 32 269 301 1 201 83 17 17 289 -1 unnamed_device 23.8 MiB 1.80 1146 62.5 MiB 0.09 0.00 7.18979 -146.393 -7.18979 7.18979 1.08 0.000711551 0.000651092 0.0399691 0.0367969 34 3473 34 6.79088e+06 269440 618332. 2139.56 5.07 0.238089 0.211723 25102 150614 -1 2930 19 1453 3784 248074 58051 0 0 248074 58051 3784 2132 0 0 12699 10803 0 0 21690 15279 0 0 3784 2434 0 0 102383 13988 0 0 103734 13415 0 0 3784 0 0 2331 3420 3478 24469 0 0 7.51536 7.51536 -168.249 -7.51536 0 0 787024. 2723.27 0.32 0.12 0.14 -1 -1 0.32 0.0434767 0.039227 128 176 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_098.v common 9.74 vpr 62.07 MiB 0.02 6588 -1 -1 12 0.21 -1 -1 32348 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63560 32 32 228 260 1 188 83 17 17 289 -1 unnamed_device 23.6 MiB 3.01 1175 62.1 MiB 0.08 0.00 5.79327 -138.237 -5.79327 5.79327 1.02 0.000602787 0.000549528 0.0306093 0.0280317 46 2769 18 6.79088e+06 255968 828058. 2865.25 3.02 0.193169 0.171394 27406 200422 -1 2402 16 1043 2625 150321 32289 0 0 150321 32289 2625 1412 0 0 8153 6988 0 0 12369 8683 0 0 2625 1627 0 0 61483 7143 0 0 63066 6436 0 0 2625 0 0 1582 2121 2058 15182 0 0 6.38057 6.38057 -158.205 -6.38057 0 0 1.01997e+06 3529.29 0.41 0.09 0.18 -1 -1 0.41 0.0301574 0.0273262 109 133 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_099.v common 16.84 vpr 62.35 MiB 0.02 6772 -1 -1 13 0.35 -1 -1 32840 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63844 32 32 265 297 1 195 82 17 17 289 -1 unnamed_device 23.8 MiB 3.14 1222 62.3 MiB 0.07 0.00 6.79927 -139.987 -6.79927 6.79927 0.98 0.000645601 0.000587057 0.0278617 0.0254473 36 3531 41 6.79088e+06 242496 648988. 2245.63 9.95 0.275398 0.245123 25390 158009 -1 2858 21 1245 3561 296118 87177 0 0 296118 87177 3561 1889 0 0 11671 9925 0 0 19816 13641 0 0 3561 2244 0 0 128860 30563 0 0 128649 28915 0 0 3561 0 0 2316 3939 3897 26493 0 0 7.04987 7.04987 -159.641 -7.04987 0 0 828058. 2865.25 0.34 0.13 0.16 -1 -1 0.34 0.0438057 0.0395417 125 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_100.v common 12.22 vpr 62.88 MiB 0.02 6920 -1 -1 13 0.39 -1 -1 33300 -1 -1 25 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64392 31 32 325 357 1 249 88 17 17 289 -1 unnamed_device 24.3 MiB 2.52 1512 62.9 MiB 0.14 0.00 6.12997 -135.199 -6.12997 6.12997 1.05 0.00087966 0.000791057 0.061823 0.0564608 38 4024 33 6.79088e+06 336800 678818. 2348.85 5.84 0.323344 0.288473 25966 169698 -1 3525 18 1814 5037 289917 62497 0 0 289917 62497 5037 2723 0 0 15193 13105 0 0 23862 16264 0 0 5037 3267 0 0 119894 13593 0 0 120894 13545 0 0 5037 0 0 3223 5463 6045 38898 0 0 6.38057 6.38057 -155.951 -6.38057 0 0 902133. 3121.57 0.27 0.08 0.17 -1 -1 0.27 0.0279388 0.0253312 159 232 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_101.v common 10.24 vpr 62.55 MiB 0.03 6740 -1 -1 11 0.31 -1 -1 32716 -1 -1 23 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64052 30 32 287 319 1 197 85 17 17 289 -1 unnamed_device 23.9 MiB 2.11 1073 62.6 MiB 0.13 0.00 5.83242 -116.072 -5.83242 5.83242 1.07 0.000814347 0.000739344 0.0559859 0.0508945 38 3327 27 6.79088e+06 309856 678818. 2348.85 4.25 0.240423 0.213053 25966 169698 -1 2552 18 1214 3998 203140 47955 0 0 203140 47955 3998 2009 0 0 12553 10861 0 0 19331 13653 0 0 3998 2356 0 0 76876 10162 0 0 86384 8914 0 0 3998 0 0 2784 5060 5156 34668 0 0 6.45886 6.45886 -139.839 -6.45886 0 0 902133. 3121.57 0.35 0.09 0.17 -1 -1 0.35 0.0361342 0.0325325 140 196 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_102.v common 8.35 vpr 62.71 MiB 0.02 6668 -1 -1 15 0.42 -1 -1 32876 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64220 32 32 297 329 1 220 83 17 17 289 -1 unnamed_device 24.3 MiB 2.06 1286 62.7 MiB 0.11 0.00 7.46856 -150.693 -7.46856 7.46856 0.79 0.000762064 0.000694103 0.0533888 0.0488367 40 2831 22 6.79088e+06 255968 706193. 2443.58 2.69 0.254919 0.228131 26254 175826 -1 2843 20 1355 3694 253679 62786 0 0 253679 62786 3694 2074 0 0 12436 10592 0 0 21358 14846 0 0 3694 2419 0 0 106579 16199 0 0 105918 16656 0 0 3694 0 0 2339 3683 4556 28146 0 0 7.71916 7.71916 -167.683 -7.71916 0 0 926341. 3205.33 0.33 0.11 0.18 -1 -1 0.33 0.0431133 0.0389617 142 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_103.v common 10.14 vpr 62.75 MiB 0.03 6568 -1 -1 13 0.42 -1 -1 32996 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64252 32 32 311 343 1 230 87 17 17 289 -1 unnamed_device 24.3 MiB 2.63 1370 62.7 MiB 0.06 0.00 6.80265 -145.399 -6.80265 6.80265 0.75 0.000487238 0.000446888 0.0255469 0.0234798 38 3715 32 6.79088e+06 309856 678818. 2348.85 3.96 0.294301 0.264027 25966 169698 -1 3027 19 1486 4592 244922 54361 0 0 244922 54361 4592 2285 0 0 13982 11964 0 0 22323 15267 0 0 4592 2725 0 0 98809 11411 0 0 100624 10709 0 0 4592 0 0 3106 5249 5202 37875 0 0 7.42915 7.42915 -165.303 -7.42915 0 0 902133. 3121.57 0.35 0.11 0.18 -1 -1 0.35 0.0425281 0.0383192 154 216 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_104.v common 7.31 vpr 62.00 MiB 0.04 6476 -1 -1 12 0.23 -1 -1 32256 -1 -1 18 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63492 29 32 236 268 1 182 79 17 17 289 -1 unnamed_device 23.6 MiB 2.56 964 62.0 MiB 0.10 0.00 6.04736 -125.367 -6.04736 6.04736 0.92 0.000595918 0.000545343 0.0459406 0.0421219 30 2753 22 6.79088e+06 242496 556674. 1926.21 1.25 0.137217 0.122022 24526 138013 -1 2114 17 1084 2518 123174 29822 0 0 123174 29822 2518 1561 0 0 7862 6586 0 0 11618 8388 0 0 2518 1741 0 0 50208 5573 0 0 48450 5973 0 0 2518 0 0 1434 1140 1872 12300 0 0 6.54856 6.54856 -148.698 -6.54856 0 0 706193. 2443.58 0.32 0.07 0.13 -1 -1 0.32 0.0312932 0.0283872 109 147 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_105.v common 9.19 vpr 62.17 MiB 0.02 6652 -1 -1 11 0.19 -1 -1 32292 -1 -1 14 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63664 32 32 231 263 1 184 78 17 17 289 -1 unnamed_device 23.6 MiB 1.75 1145 62.2 MiB 0.08 0.00 5.71482 -126.252 -5.71482 5.71482 1.05 0.000578178 0.000530297 0.0298503 0.0273538 38 3024 20 6.79088e+06 188608 678818. 2348.85 3.81 0.193325 0.171596 25966 169698 -1 2583 18 1159 2961 169409 37556 0 0 169409 37556 2961 1738 0 0 9171 7998 0 0 14508 9887 0 0 2961 1955 0 0 69452 8123 0 0 70356 7855 0 0 2961 0 0 1802 2465 2822 18386 0 0 5.96542 5.96542 -148.416 -5.96542 0 0 902133. 3121.57 0.37 0.08 0.17 -1 -1 0.37 0.0308614 0.0279875 98 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_106.v common 8.18 vpr 62.59 MiB 0.02 6804 -1 -1 13 0.36 -1 -1 32812 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64092 31 32 294 326 1 214 85 17 17 289 -1 unnamed_device 24.2 MiB 1.49 1146 62.6 MiB 0.13 0.00 6.58427 -131.594 -6.58427 6.58427 0.99 0.000774684 0.000707443 0.0598635 0.054835 40 3144 46 6.79088e+06 296384 706193. 2443.58 3.06 0.257129 0.227481 26254 175826 -1 2897 21 1694 4729 309094 69333 0 0 309094 69333 4729 2448 0 0 15748 13231 0 0 27362 18204 0 0 4729 2965 0 0 126614 16501 0 0 129912 15984 0 0 4729 0 0 3035 5841 5258 36667 0 0 7.33607 7.33607 -156.069 -7.33607 0 0 926341. 3205.33 0.34 0.08 0.17 -1 -1 0.34 0.0269667 0.0242647 144 201 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_107.v common 8.20 vpr 61.98 MiB 0.02 6444 -1 -1 10 0.22 -1 -1 32700 -1 -1 17 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63468 29 32 221 253 1 164 78 17 17 289 -1 unnamed_device 23.4 MiB 2.26 866 62.0 MiB 0.07 0.00 4.98748 -104.487 -4.98748 4.98748 1.07 0.000572869 0.000515401 0.0284454 0.026103 30 2808 47 6.79088e+06 229024 556674. 1926.21 2.34 0.124443 0.109865 24526 138013 -1 2118 20 1031 2843 160679 39452 0 0 160679 39452 2843 1603 0 0 8860 7543 0 0 13961 9673 0 0 2843 1844 0 0 65059 9310 0 0 67113 9479 0 0 2843 0 0 1812 2576 2348 19363 0 0 5.61044 5.61044 -132.085 -5.61044 0 0 706193. 2443.58 0.28 0.08 0.13 -1 -1 0.28 0.0310806 0.0278437 98 132 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_108.v common 9.54 vpr 62.18 MiB 0.02 6632 -1 -1 14 0.25 -1 -1 32620 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63672 32 32 240 272 1 188 82 17 17 289 -1 unnamed_device 23.8 MiB 3.62 1120 62.2 MiB 0.06 0.00 6.37298 -133.274 -6.37298 6.37298 1.05 0.000640242 0.000586039 0.0239778 0.0220424 40 2652 19 6.79088e+06 242496 706193. 2443.58 2.29 0.205647 0.183817 26254 175826 -1 2419 18 1103 2898 167543 37878 0 0 167543 37878 2898 1604 0 0 9581 8094 0 0 16473 11096 0 0 2898 1870 0 0 68098 7676 0 0 67595 7538 0 0 2898 0 0 1795 2428 2513 18354 0 0 7.12478 7.12478 -157.019 -7.12478 0 0 926341. 3205.33 0.37 0.08 0.17 -1 -1 0.37 0.030981 0.0278265 110 145 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_109.v common 9.50 vpr 62.59 MiB 0.02 6668 -1 -1 12 0.38 -1 -1 32936 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64096 31 32 292 324 1 210 85 17 17 289 -1 unnamed_device 24.2 MiB 1.26 1228 62.6 MiB 0.12 0.00 6.13341 -132.612 -6.13341 6.13341 1.03 0.000747062 0.000679642 0.0542265 0.049214 36 3651 44 6.79088e+06 296384 648988. 2245.63 4.81 0.315428 0.280592 25390 158009 -1 2807 20 1411 4259 246744 54297 0 0 246744 54297 4259 2155 0 0 13190 11033 0 0 22155 15076 0 0 4259 2523 0 0 101709 11923 0 0 101172 11587 0 0 4259 0 0 2848 5611 5449 36611 0 0 6.58073 6.58073 -154.768 -6.58073 0 0 828058. 2865.25 0.22 0.07 0.10 -1 -1 0.22 0.0268005 0.0240544 143 199 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_110.v common 8.88 vpr 62.11 MiB 0.02 6512 -1 -1 12 0.16 -1 -1 32392 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63596 31 32 229 261 1 179 79 17 17 289 -1 unnamed_device 23.5 MiB 2.47 1035 62.1 MiB 0.08 0.00 5.4976 -121.005 -5.4976 5.4976 0.98 0.000585781 0.00053569 0.0308178 0.0283398 36 2875 36 6.79088e+06 215552 648988. 2245.63 3.15 0.210294 0.186747 25390 158009 -1 2349 20 980 2337 135273 31488 0 0 135273 31488 2337 1467 0 0 7755 6630 0 0 12342 8890 0 0 2337 1711 0 0 55078 6300 0 0 55424 6490 0 0 2337 0 0 1357 1793 1821 13050 0 0 6.24059 6.24059 -142.131 -6.24059 0 0 828058. 2865.25 0.26 0.06 0.10 -1 -1 0.26 0.02246 0.0203679 101 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_111.v common 9.65 vpr 62.46 MiB 0.02 6748 -1 -1 12 0.25 -1 -1 32740 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63964 32 32 282 314 1 202 82 17 17 289 -1 unnamed_device 23.8 MiB 1.68 1236 62.5 MiB 0.09 0.00 6.25876 -129.091 -6.25876 6.25876 1.07 0.000743051 0.000681769 0.0375062 0.0344036 38 3273 21 6.79088e+06 242496 678818. 2348.85 4.31 0.220142 0.195702 25966 169698 -1 2692 18 1241 3624 203869 44439 0 0 203869 44439 3624 1864 0 0 11160 9652 0 0 17345 11877 0 0 3624 2201 0 0 82736 9655 0 0 85380 9190 0 0 3624 0 0 2383 4570 4501 31962 0 0 6.50936 6.50936 -151.28 -6.50936 0 0 902133. 3121.57 0.30 0.09 0.10 -1 -1 0.30 0.0335335 0.0301285 123 187 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_112.v common 10.14 vpr 62.52 MiB 0.03 6816 -1 -1 13 0.36 -1 -1 32916 -1 -1 19 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64020 31 32 269 301 1 204 82 17 17 289 -1 unnamed_device 23.9 MiB 1.76 1279 62.5 MiB 0.09 0.00 6.33367 -133.326 -6.33367 6.33367 1.01 0.000614056 0.000561547 0.0395134 0.0360946 38 3391 34 6.79088e+06 255968 678818. 2348.85 4.59 0.251941 0.224765 25966 169698 -1 2724 17 1228 3624 196725 43861 0 0 196725 43861 3624 1907 0 0 11342 9620 0 0 17694 12510 0 0 3624 2247 0 0 78497 9215 0 0 81944 8362 0 0 3624 0 0 2396 3941 4056 27293 0 0 6.54507 6.54507 -152.104 -6.54507 0 0 902133. 3121.57 0.36 0.09 0.16 -1 -1 0.36 0.0387734 0.0352846 134 176 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_113.v common 7.52 vpr 62.28 MiB 0.03 6456 -1 -1 11 0.19 -1 -1 32244 -1 -1 15 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63772 32 32 237 269 1 188 79 17 17 289 -1 unnamed_device 23.9 MiB 1.47 1170 62.3 MiB 0.06 0.00 5.66792 -123.968 -5.66792 5.66792 1.04 0.000607004 0.000554468 0.0233366 0.0213578 38 3112 27 6.79088e+06 202080 678818. 2348.85 2.60 0.199522 0.17718 25966 169698 -1 2587 18 1147 3049 176546 39040 0 0 176546 39040 3049 1654 0 0 9472 8302 0 0 15265 10408 0 0 3049 1933 0 0 73239 8371 0 0 72472 8372 0 0 3049 0 0 1902 2596 2810 19485 0 0 6.16912 6.16912 -144.797 -6.16912 0 0 902133. 3121.57 0.39 0.10 0.10 -1 -1 0.39 0.0379668 0.0345285 105 142 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_114.v common 11.51 vpr 62.02 MiB 0.02 6508 -1 -1 13 0.18 -1 -1 32488 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63508 32 32 259 291 1 191 81 17 17 289 -1 unnamed_device 23.5 MiB 2.07 1063 62.0 MiB 0.12 0.00 6.03617 -131.152 -6.03617 6.03617 1.01 0.000677491 0.000621135 0.0553746 0.0507247 36 3043 39 6.79088e+06 229024 648988. 2245.63 5.94 0.295056 0.264048 25390 158009 -1 2517 16 1229 3347 190229 43862 0 0 190229 43862 3347 1820 0 0 10787 9350 0 0 17821 12346 0 0 3347 2156 0 0 76708 9365 0 0 78219 8825 0 0 3347 0 0 2118 2936 3134 22735 0 0 6.33367 6.33367 -149.849 -6.33367 0 0 828058. 2865.25 0.33 0.08 0.16 -1 -1 0.33 0.030432 0.0273889 116 164 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_115.v common 9.24 vpr 62.73 MiB 0.03 6748 -1 -1 13 0.30 -1 -1 32868 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64232 32 32 277 309 1 213 82 17 17 289 -1 unnamed_device 24.0 MiB 1.96 1354 62.7 MiB 0.12 0.00 6.11878 -133.886 -6.11878 6.11878 1.01 0.000690713 0.000635078 0.0531846 0.0486083 46 3151 24 6.79088e+06 242496 828058. 2865.25 3.40 0.286558 0.256432 27406 200422 -1 2686 19 1337 3781 207011 45471 0 0 207011 45471 3781 1771 0 0 11709 10201 0 0 19151 12913 0 0 3781 2159 0 0 85063 9147 0 0 83526 9280 0 0 3781 0 0 2444 3982 4016 28435 0 0 6.28328 6.28328 -147.536 -6.28328 0 0 1.01997e+06 3529.29 0.42 0.10 0.18 -1 -1 0.42 0.0439228 0.0399182 130 182 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_116.v common 9.71 vpr 62.24 MiB 0.03 6700 -1 -1 11 0.22 -1 -1 32688 -1 -1 22 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63732 29 32 245 277 1 176 83 17 17 289 -1 unnamed_device 23.7 MiB 1.83 964 62.2 MiB 0.10 0.00 5.53486 -106.345 -5.53486 5.53486 1.02 0.000632925 0.000578534 0.0427278 0.0390635 36 2656 25 6.79088e+06 296384 648988. 2245.63 4.29 0.251824 0.224235 25390 158009 -1 2263 15 945 2739 159570 35837 0 0 159570 35837 2739 1410 0 0 8946 7567 0 0 14581 10402 0 0 2739 1678 0 0 63479 7840 0 0 67086 6940 0 0 2739 0 0 1794 3431 3304 22964 0 0 5.66016 5.66016 -119.129 -5.66016 0 0 828058. 2865.25 0.35 0.08 0.16 -1 -1 0.35 0.0311681 0.0283642 115 156 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_117.v common 8.87 vpr 62.62 MiB 0.02 6876 -1 -1 14 0.39 -1 -1 33328 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64128 32 32 316 348 1 232 86 17 17 289 -1 unnamed_device 24.1 MiB 1.82 1491 62.6 MiB 0.06 0.00 7.40125 -159.232 -7.40125 7.40125 0.84 0.000509424 0.000469771 0.0265269 0.0244155 38 3647 36 6.79088e+06 296384 678818. 2348.85 3.39 0.265787 0.235058 25966 169698 -1 3081 20 1473 4224 216052 48756 0 0 216052 48756 4224 2075 0 0 13106 11044 0 0 19859 13994 0 0 4224 2522 0 0 86637 9657 0 0 88002 9464 0 0 4224 0 0 2751 4246 4119 30752 0 0 8.02774 8.02774 -182.876 -8.02774 0 0 902133. 3121.57 0.36 0.11 0.18 -1 -1 0.36 0.0506413 0.0462165 160 221 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_118.v common 11.50 vpr 62.12 MiB 0.02 6632 -1 -1 12 0.22 -1 -1 32544 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63608 31 32 230 262 1 188 81 17 17 289 -1 unnamed_device 23.7 MiB 3.65 1073 62.1 MiB 0.08 0.00 5.82893 -123.636 -5.82893 5.82893 1.03 0.000374537 0.00033701 0.0341688 0.0312342 36 2915 18 6.79088e+06 242496 648988. 2245.63 4.10 0.17609 0.155989 25390 158009 -1 2450 26 1089 2709 361277 148666 0 0 361277 148666 2709 1644 0 0 8722 7331 0 0 16642 10687 0 0 2709 1885 0 0 160266 63958 0 0 170229 63161 0 0 2709 0 0 1620 2568 2619 17378 0 0 5.82893 5.82893 -136.996 -5.82893 0 0 828058. 2865.25 0.35 0.16 0.16 -1 -1 0.35 0.0456693 0.041522 108 137 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_119.v common 11.27 vpr 62.45 MiB 0.02 6748 -1 -1 13 0.36 -1 -1 32804 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63952 32 32 282 314 1 208 83 17 17 289 -1 unnamed_device 23.9 MiB 2.31 1246 62.5 MiB 0.11 0.00 6.37287 -130.265 -6.37287 6.37287 0.95 0.000628099 0.00057246 0.0510607 0.0463869 38 3809 49 6.79088e+06 255968 678818. 2348.85 5.34 0.293267 0.261868 25966 169698 -1 2805 18 1497 4140 238306 54211 0 0 238306 54211 4140 2107 0 0 12976 11245 0 0 19876 13942 0 0 4140 2475 0 0 99591 12131 0 0 97583 12311 0 0 4140 0 0 2643 4319 4632 30508 0 0 6.70957 6.70957 -152.333 -6.70957 0 0 902133. 3121.57 0.37 0.11 0.12 -1 -1 0.37 0.0408972 0.0372512 132 187 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_120.v common 9.09 vpr 62.14 MiB 0.03 6652 -1 -1 13 0.23 -1 -1 32792 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63628 32 32 235 267 1 182 80 17 17 289 -1 unnamed_device 23.5 MiB 2.80 1106 62.1 MiB 0.10 0.00 6.20842 -140.032 -6.20842 6.20842 0.78 0.000580873 0.000530624 0.0461432 0.0422331 36 3206 36 6.79088e+06 215552 648988. 2245.63 3.28 0.185728 0.164222 25390 158009 -1 2385 17 992 2456 143875 32977 0 0 143875 32977 2456 1385 0 0 8029 6837 0 0 13069 9418 0 0 2456 1625 0 0 58435 6998 0 0 59430 6714 0 0 2456 0 0 1464 1847 1963 13384 0 0 6.45902 6.45902 -160.775 -6.45902 0 0 828058. 2865.25 0.21 0.05 0.09 -1 -1 0.21 0.0181235 0.016402 104 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_121.v common 9.25 vpr 62.38 MiB 0.02 6672 -1 -1 12 0.28 -1 -1 32680 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63876 32 32 265 297 1 189 83 17 17 289 -1 unnamed_device 23.8 MiB 2.41 1222 62.4 MiB 0.10 0.00 5.83242 -130.968 -5.83242 5.83242 1.06 0.000684051 0.00062383 0.0442772 0.0404766 38 2921 21 6.79088e+06 255968 678818. 2348.85 3.05 0.245883 0.219575 25966 169698 -1 2418 14 1098 3462 186879 41384 0 0 186879 41384 3462 1621 0 0 10650 9068 0 0 17210 11685 0 0 3462 1931 0 0 75098 8901 0 0 76997 8178 0 0 3462 0 0 2364 4260 3930 29808 0 0 6.08302 6.08302 -144.897 -6.08302 0 0 902133. 3121.57 0.36 0.08 0.15 -1 -1 0.36 0.0315137 0.0287122 121 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_122.v common 10.89 vpr 63.24 MiB 0.02 7000 -1 -1 15 0.57 -1 -1 32888 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64756 32 32 344 376 1 260 88 17 17 289 -1 unnamed_device 24.7 MiB 2.60 1464 63.2 MiB 0.14 0.00 8.1062 -160.068 -8.1062 8.1062 1.07 0.000968891 0.000899131 0.0624027 0.057055 48 4051 26 6.79088e+06 323328 865456. 2994.66 3.88 0.348453 0.313301 27694 206865 -1 3306 20 1759 5274 303907 68350 0 0 303907 68350 5274 2438 0 0 17203 14788 0 0 29534 20162 0 0 5274 3024 0 0 120566 14263 0 0 126056 13675 0 0 5274 0 0 3515 6752 6983 47354 0 0 8.39251 8.39251 -179.016 -8.39251 0 0 1.05005e+06 3633.38 0.45 0.14 0.22 -1 -1 0.45 0.0575626 0.0526352 176 249 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_123.v common 7.10 vpr 61.60 MiB 0.02 6284 -1 -1 10 0.11 -1 -1 31932 -1 -1 11 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63080 30 32 173 205 1 129 73 17 17 289 -1 unnamed_device 23.0 MiB 1.79 720 61.6 MiB 0.07 0.00 4.44354 -100.588 -4.44354 4.44354 1.06 0.000452398 0.000415467 0.0313571 0.0288538 34 1733 18 6.79088e+06 148192 618332. 2139.56 2.01 0.145395 0.12889 25102 150614 -1 1593 19 636 1491 84519 20219 0 0 84519 20219 1491 902 0 0 4939 4262 0 0 8556 5875 0 0 1491 1034 0 0 33973 4112 0 0 34069 4034 0 0 1491 0 0 855 1061 1109 8344 0 0 4.44354 4.44354 -109.738 -4.44354 0 0 787024. 2723.27 0.33 0.05 0.13 -1 -1 0.33 0.0209881 0.018765 63 82 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_124.v common 8.89 vpr 62.18 MiB 0.02 6404 -1 -1 13 0.22 -1 -1 32432 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63676 30 32 229 261 1 179 81 17 17 289 -1 unnamed_device 23.5 MiB 2.25 1009 62.2 MiB 0.09 0.00 6.07969 -127.299 -6.07969 6.07969 1.01 0.000380626 0.000351833 0.0398782 0.0366253 34 3219 36 6.79088e+06 255968 618332. 2139.56 3.08 0.23363 0.209026 25102 150614 -1 2458 20 1261 3147 203432 47009 0 0 203432 47009 3147 1974 0 0 10548 9023 0 0 17882 12511 0 0 3147 2280 0 0 83412 10822 0 0 85296 10399 0 0 3147 0 0 1886 2208 2584 17285 0 0 6.20499 6.20499 -143.76 -6.20499 0 0 787024. 2723.27 0.31 0.09 0.15 -1 -1 0.31 0.0319191 0.0287198 105 138 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_125.v common 8.82 vpr 62.33 MiB 0.02 6604 -1 -1 12 0.25 -1 -1 32592 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63828 32 32 261 293 1 204 81 17 17 289 -1 unnamed_device 23.9 MiB 2.54 1055 62.3 MiB 0.12 0.00 6.40514 -135.594 -6.40514 6.40514 0.94 0.000668003 0.000611422 0.0526219 0.0483018 44 3224 36 6.79088e+06 229024 787024. 2723.27 2.60 0.227547 0.202889 27118 194962 -1 2351 20 1298 3322 180648 42021 0 0 180648 42021 3322 1805 0 0 10326 8941 0 0 17362 11839 0 0 3322 2112 0 0 71575 8791 0 0 74741 8533 0 0 3322 0 0 2024 2704 2909 20605 0 0 6.53044 6.53044 -153.664 -6.53044 0 0 997811. 3452.63 0.43 0.11 0.16 -1 -1 0.43 0.0453445 0.041003 115 166 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_126.v common 5.85 vpr 61.82 MiB 0.02 6508 -1 -1 9 0.17 -1 -1 32332 -1 -1 20 25 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63304 25 32 184 216 1 138 77 17 17 289 -1 unnamed_device 23.4 MiB 1.16 775 61.8 MiB 0.07 0.00 4.29134 -83.4176 -4.29134 4.29134 1.08 0.000473089 0.000433569 0.0304026 0.0278943 28 2220 28 6.79088e+06 269440 531479. 1839.03 1.57 0.134668 0.120547 23950 126010 -1 1868 21 815 2082 125007 28987 0 0 125007 28987 2082 1341 0 0 6951 5860 0 0 11431 8151 0 0 2082 1480 0 0 51271 6106 0 0 51190 6049 0 0 2082 0 0 1267 1867 2126 14632 0 0 4.66724 4.66724 -102.119 -4.66724 0 0 648988. 2245.63 0.27 0.07 0.12 -1 -1 0.27 0.0267101 0.0238673 86 103 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_127.v common 9.82 vpr 62.70 MiB 0.03 6796 -1 -1 12 0.33 -1 -1 32660 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64204 32 32 302 334 1 236 87 17 17 289 -1 unnamed_device 24.3 MiB 3.24 1469 62.7 MiB 0.08 0.00 6.34142 -146.405 -6.34142 6.34142 0.93 0.000467235 0.000427118 0.0340446 0.0312608 40 3650 25 6.79088e+06 309856 706193. 2443.58 2.77 0.194195 0.171866 26254 175826 -1 3368 17 1618 4205 272577 59597 0 0 272577 59597 4205 2413 0 0 14035 11924 0 0 23608 16420 0 0 4205 2905 0 0 113499 12894 0 0 113025 13041 0 0 4205 0 0 2587 4472 4299 28167 0 0 6.71732 6.71732 -165.932 -6.71732 0 0 926341. 3205.33 0.39 0.13 0.16 -1 -1 0.39 0.0456948 0.0413168 146 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_128.v common 12.67 vpr 62.94 MiB 0.02 6668 -1 -1 14 0.39 -1 -1 32968 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64448 31 32 295 327 1 217 85 17 17 289 -1 unnamed_device 24.2 MiB 1.55 1254 62.9 MiB 0.12 0.00 7.22905 -149.343 -7.22905 7.22905 1.07 0.000774297 0.000708553 0.0552321 0.0506123 36 3629 36 6.79088e+06 296384 648988. 2245.63 7.16 0.296635 0.26351 25390 158009 -1 2987 18 1502 4387 269273 60255 0 0 269273 60255 4387 2483 0 0 14197 12204 0 0 23718 16434 0 0 4387 2860 0 0 109766 13296 0 0 112818 12978 0 0 4387 0 0 2885 5071 5182 34459 0 0 7.60495 7.60495 -172.156 -7.60495 0 0 828058. 2865.25 0.34 0.11 0.16 -1 -1 0.34 0.0414945 0.0376762 151 202 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 6.92 vpr 63.30 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 30412 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64816 32 32 438 350 1 202 101 17 17 289 -1 unnamed_device 24.6 MiB 1.68 944 63.3 MiB 0.15 0.00 3.32249 -114.905 -3.32249 3.32249 1.22 0.000640762 0.00058944 0.0412723 0.0380067 30 2957 30 6.87369e+06 517032 556674. 1926.21 1.52 0.146787 0.130466 25186 138497 -1 2042 21 1607 2574 130950 34561 0 0 130950 34561 2574 1889 0 0 9118 7912 0 0 11814 9919 0 0 2574 1981 0 0 52171 6724 0 0 52699 6136 0 0 2574 0 0 967 1061 827 8359 0 0 3.5465 3.5465 -140.781 -3.5465 0 0 706193. 2443.58 0.31 0.08 0.14 -1 -1 0.31 0.0331639 0.0293671 155 80 32 32 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 9.15 vpr 62.99 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 30440 -1 -1 23 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64504 30 32 409 330 1 192 85 17 17 289 -1 unnamed_device 24.2 MiB 4.35 820 63.0 MiB 0.12 0.00 3.28949 -104.618 -3.28949 3.28949 1.08 0.000633986 0.000583463 0.0420862 0.0387125 32 2922 35 6.87369e+06 321398 586450. 2029.24 1.43 0.157388 0.140266 25474 144626 -1 2151 24 2125 3519 307394 71749 0 0 307394 71749 3519 2914 0 0 13952 12589 0 0 24165 18407 0 0 3519 3080 0 0 130121 18058 0 0 132118 16701 0 0 3519 0 0 1394 1682 1571 11442 0 0 3.9487 3.9487 -141.623 -3.9487 0 0 744469. 2576.02 0.19 0.07 0.09 -1 -1 0.19 0.0211722 0.0186508 141 78 30 30 89 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 6.85 vpr 63.10 MiB 0.02 6956 -1 -1 1 0.04 -1 -1 30336 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64612 32 32 387 309 1 191 100 17 17 289 -1 unnamed_device 24.3 MiB 2.43 1048 63.1 MiB 0.14 0.00 3.09176 -110.61 -3.09176 3.09176 0.78 0.000566678 0.000517553 0.0406684 0.0372028 28 2760 24 6.87369e+06 503058 531479. 1839.03 1.30 0.161485 0.144246 24610 126494 -1 2413 22 1615 2582 210013 48005 0 0 210013 48005 2582 1938 0 0 9826 8740 0 0 15093 12153 0 0 2582 2084 0 0 88800 11902 0 0 91130 11188 0 0 2582 0 0 967 1433 1710 10698 0 0 3.8787 3.8787 -143.054 -3.8787 0 0 648988. 2245.63 0.25 0.09 0.12 -1 -1 0.25 0.031691 0.0281438 145 50 54 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 8.29 vpr 62.64 MiB 0.02 6956 -1 -1 1 0.03 -1 -1 30280 -1 -1 23 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64144 29 32 343 267 1 184 84 17 17 289 -1 unnamed_device 23.9 MiB 1.78 811 62.6 MiB 0.12 0.00 3.28949 -101.39 -3.28949 3.28949 1.01 0.000517219 0.000473885 0.0448726 0.0412218 36 2365 30 6.87369e+06 321398 648988. 2245.63 3.08 0.213102 0.189435 26050 158493 -1 1744 23 1806 3057 214242 51603 0 0 214242 51603 3057 2276 0 0 10956 9613 0 0 16453 12893 0 0 3057 2406 0 0 87794 12356 0 0 92925 12059 0 0 3057 0 0 1251 1452 1580 10918 0 0 3.8734 3.8734 -134.257 -3.8734 0 0 828058. 2865.25 0.33 0.09 0.16 -1 -1 0.33 0.0274302 0.0240749 136 25 87 29 29 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 9.16 vpr 62.90 MiB 0.03 6920 -1 -1 1 0.04 -1 -1 30300 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64408 32 32 376 288 1 202 85 17 17 289 -1 unnamed_device 24.1 MiB 2.14 988 62.9 MiB 0.14 0.00 3.44779 -122.107 -3.44779 3.44779 1.01 0.000578335 0.000530026 0.0483609 0.0443795 34 3470 36 6.87369e+06 293451 618332. 2139.56 3.75 0.224759 0.199225 25762 151098 -1 2615 24 2422 4471 375357 84938 0 0 375357 84938 4471 3503 0 0 17334 15894 0 0 26427 21061 0 0 4471 3625 0 0 170865 18530 0 0 151789 22325 0 0 4471 0 0 2049 2897 2428 16965 0 0 4.091 4.091 -156.74 -4.091 0 0 787024. 2723.27 0.32 0.13 0.13 -1 -1 0.32 0.0364058 0.0324211 147 31 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 5.83 vpr 63.21 MiB 0.02 7004 -1 -1 1 0.03 -1 -1 30232 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64732 32 32 402 316 1 200 103 17 17 289 -1 unnamed_device 24.3 MiB 1.65 1085 63.2 MiB 0.08 0.00 2.83325 -102.585 -2.83325 2.83325 1.03 0.000393945 0.000364034 0.0253316 0.0231986 28 2465 21 6.87369e+06 544980 531479. 1839.03 1.01 0.0998342 0.0876832 24610 126494 -1 2277 20 1612 2501 185523 43098 0 0 185523 43098 2501 1756 0 0 9452 8086 0 0 14054 11408 0 0 2501 1869 0 0 78615 10149 0 0 78400 9830 0 0 2501 0 0 889 1165 1287 8792 0 0 2.93196 2.93196 -124.228 -2.93196 0 0 648988. 2245.63 0.18 0.06 0.09 -1 -1 0.18 0.0179505 0.0158094 154 61 63 32 63 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 8.13 vpr 62.18 MiB 0.02 6804 -1 -1 1 0.03 -1 -1 30608 -1 -1 20 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63668 27 32 269 226 1 146 79 17 17 289 -1 unnamed_device 23.7 MiB 2.89 819 62.2 MiB 0.12 0.00 2.9476 -92.9982 -2.9476 2.9476 1.05 0.000456068 0.000418959 0.0386544 0.0356683 34 1867 20 6.87369e+06 279477 618332. 2139.56 1.77 0.150753 0.133233 25762 151098 -1 1636 19 1120 1833 126490 29836 0 0 126490 29836 1833 1375 0 0 6917 6077 0 0 10286 8244 0 0 1833 1478 0 0 54526 5758 0 0 51095 6904 0 0 1833 0 0 713 814 855 6031 0 0 3.02726 3.02726 -108.481 -3.02726 0 0 787024. 2723.27 0.33 0.06 0.14 -1 -1 0.33 0.0214809 0.0191263 102 26 54 27 27 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 5.26 vpr 62.94 MiB 0.02 6960 -1 -1 1 0.03 -1 -1 30068 -1 -1 35 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64448 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 24.2 MiB 1.16 1029 62.9 MiB 0.10 0.00 2.67795 -92.7667 -2.67795 2.67795 0.96 0.000523569 0.000480274 0.0297939 0.0273085 28 2573 20 6.87369e+06 489084 531479. 1839.03 1.14 0.112611 0.100331 24610 126494 -1 2255 21 1358 2151 166456 38759 0 0 166456 38759 2151 1534 0 0 8103 7106 0 0 12424 10062 0 0 2151 1663 0 0 70394 9510 0 0 71233 8884 0 0 2151 0 0 793 1206 1246 8868 0 0 3.23286 3.23286 -118.287 -3.23286 0 0 648988. 2245.63 0.27 0.07 0.12 -1 -1 0.27 0.0250268 0.0221064 141 -1 115 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 6.65 vpr 62.75 MiB 0.02 6940 -1 -1 1 0.03 -1 -1 29996 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64252 31 32 338 292 1 153 79 17 17 289 -1 unnamed_device 23.9 MiB 2.78 728 62.7 MiB 0.08 0.00 2.60257 -87.8304 -2.60257 2.60257 1.05 0.000480649 0.000441804 0.0318207 0.0291792 30 1771 22 6.87369e+06 223581 556674. 1926.21 0.76 0.0836675 0.0738561 25186 138497 -1 1538 20 886 1418 89495 22727 0 0 89495 22727 1418 1190 0 0 5086 4283 0 0 6586 5563 0 0 1418 1233 0 0 40472 5158 0 0 34515 5300 0 0 1418 0 0 532 560 437 4193 0 0 2.91631 2.91631 -110.279 -2.91631 0 0 706193. 2443.58 0.20 0.04 0.08 -1 -1 0.20 0.0146448 0.0129425 103 81 0 0 84 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 10.22 vpr 62.66 MiB 0.02 6736 -1 -1 1 0.04 -1 -1 30192 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64160 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 23.9 MiB 5.10 754 62.7 MiB 0.13 0.00 3.0558 -108.546 -3.0558 3.0558 0.88 0.000517052 0.000470634 0.0459622 0.0420371 34 2330 19 6.87369e+06 223581 618332. 2139.56 1.74 0.165898 0.146292 25762 151098 -1 1816 22 1571 2466 172988 40920 0 0 172988 40920 2466 2060 0 0 9160 7814 0 0 13854 10829 0 0 2466 2144 0 0 72389 9303 0 0 72653 8770 0 0 2466 0 0 895 1152 1345 8400 0 0 3.19861 3.19861 -130.374 -3.19861 0 0 787024. 2723.27 0.33 0.11 0.15 -1 -1 0.33 0.0316907 0.0281417 114 31 64 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 8.13 vpr 62.59 MiB 0.02 6964 -1 -1 1 0.03 -1 -1 29968 -1 -1 18 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64096 30 32 325 273 1 160 80 17 17 289 -1 unnamed_device 24.0 MiB 3.75 858 62.6 MiB 0.12 0.00 2.9678 -101.964 -2.9678 2.9678 1.01 0.000477552 0.000437912 0.0436177 0.040183 30 1955 49 6.87369e+06 251529 556674. 1926.21 1.05 0.126744 0.111586 25186 138497 -1 1596 22 1133 1755 102336 24453 0 0 102336 24453 1755 1346 0 0 6104 5008 0 0 7927 6544 0 0 1755 1426 0 0 43240 5070 0 0 41555 5059 0 0 1755 0 0 622 767 757 5611 0 0 3.11061 3.11061 -120.109 -3.11061 0 0 706193. 2443.58 0.30 0.06 0.13 -1 -1 0.30 0.0243586 0.021449 109 58 30 30 60 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 6.86 vpr 62.55 MiB 0.02 6900 -1 -1 1 0.03 -1 -1 30328 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64056 32 32 331 280 1 161 96 17 17 289 -1 unnamed_device 24.1 MiB 1.77 954 62.6 MiB 0.13 0.00 2.77825 -99.3641 -2.77825 2.77825 1.03 0.000467994 0.000426052 0.0396988 0.0362511 34 2243 23 6.87369e+06 447163 618332. 2139.56 1.73 0.172993 0.152509 25762 151098 -1 1931 24 1194 2034 151767 34788 0 0 151767 34788 2034 1365 0 0 7852 6731 0 0 12472 9777 0 0 2034 1475 0 0 64933 7611 0 0 62442 7829 0 0 2034 0 0 840 999 1269 8264 0 0 2.87096 2.87096 -117.368 -2.87096 0 0 787024. 2723.27 0.27 0.08 0.15 -1 -1 0.27 0.0274912 0.0240959 116 57 25 25 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 13.11 vpr 63.05 MiB 0.03 7028 -1 -1 1 0.03 -1 -1 30116 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64568 32 32 386 305 1 195 99 17 17 289 -1 unnamed_device 24.4 MiB 5.60 857 63.1 MiB 0.10 0.00 2.84425 -98.8761 -2.84425 2.84425 1.02 0.000366113 0.000337583 0.0289075 0.0263524 30 2401 24 6.87369e+06 489084 556674. 1926.21 4.28 0.199567 0.174271 25186 138497 -1 1812 19 1415 2528 128462 33459 0 0 128462 33459 2528 1698 0 0 8688 7437 0 0 11288 9234 0 0 2528 1805 0 0 53105 6611 0 0 50325 6674 0 0 2528 0 0 1113 1477 1270 9906 0 0 2.86466 2.86466 -119.014 -2.86466 0 0 706193. 2443.58 0.30 0.07 0.14 -1 -1 0.30 0.0264613 0.0234357 147 55 64 32 57 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 8.69 vpr 63.12 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 30348 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64632 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 24.2 MiB 3.03 954 63.1 MiB 0.11 0.00 3.42579 -119.662 -3.42579 3.42579 1.04 0.00037834 0.000348298 0.0359892 0.0330956 34 2794 24 6.87369e+06 517032 618332. 2139.56 2.21 0.205377 0.182322 25762 151098 -1 2219 19 1954 2962 211098 51090 0 0 211098 51090 2962 2295 0 0 11318 9954 0 0 16580 13468 0 0 2962 2456 0 0 88163 11529 0 0 89113 11388 0 0 2962 0 0 1008 1041 1377 9216 0 0 3.8987 3.8987 -147.919 -3.8987 0 0 787024. 2723.27 0.28 0.09 0.11 -1 -1 0.28 0.0307083 0.0275005 155 60 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 6.80 vpr 62.20 MiB 0.02 6796 -1 -1 1 0.03 -1 -1 30416 -1 -1 19 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63688 29 32 272 228 1 148 80 17 17 289 -1 unnamed_device 23.7 MiB 2.44 728 62.2 MiB 0.07 0.00 3.0099 -92.6559 -3.0099 3.0099 1.08 0.000461088 0.000424156 0.0230645 0.0212365 30 1982 22 6.87369e+06 265503 556674. 1926.21 1.07 0.0907182 0.079855 25186 138497 -1 1612 22 1083 1905 123393 28929 0 0 123393 28929 1905 1477 0 0 6610 5776 0 0 8501 7018 0 0 1905 1555 0 0 50451 6921 0 0 54021 6182 0 0 1905 0 0 822 1023 935 6605 0 0 3.02461 3.02461 -105.522 -3.02461 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0178961 0.0157992 102 21 58 29 24 24 -fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 8.99 vpr 63.21 MiB 0.02 7112 -1 -1 1 0.03 -1 -1 30264 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64732 32 32 401 315 1 200 85 17 17 289 -1 unnamed_device 24.4 MiB 3.45 1087 63.2 MiB 0.16 0.00 2.77395 -102.972 -2.77395 2.77395 0.90 0.000605183 0.000554073 0.0578492 0.0531083 34 3077 28 6.87369e+06 293451 618332. 2139.56 2.14 0.225981 0.2001 25762 151098 -1 2367 23 2247 3828 307807 69542 0 0 307807 69542 3828 2809 0 0 14505 13189 0 0 22671 17855 0 0 3828 3299 0 0 129528 16882 0 0 133447 15508 0 0 3828 0 0 1581 2139 1855 13689 0 0 3.45516 3.45516 -136.514 -3.45516 0 0 787024. 2723.27 0.33 0.12 0.14 -1 -1 0.33 0.0357132 0.0318964 145 60 64 32 62 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 10.10 vpr 63.08 MiB 0.03 7020 -1 -1 1 0.04 -1 -1 30100 -1 -1 38 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64596 32 32 383 303 1 193 102 17 17 289 -1 unnamed_device 24.3 MiB 5.91 1059 63.1 MiB 0.10 0.00 2.91945 -107.862 -2.91945 2.91945 0.72 0.000638608 0.000582284 0.0299755 0.0274857 28 2424 29 6.87369e+06 531006 531479. 1839.03 1.12 0.116193 0.102053 24610 126494 -1 2208 24 1768 2593 178785 41748 0 0 178785 41748 2593 1863 0 0 9680 8298 0 0 14603 11592 0 0 2593 1943 0 0 77388 8437 0 0 71928 9615 0 0 2593 0 0 825 1056 1176 8306 0 0 3.05126 3.05126 -126.738 -3.05126 0 0 648988. 2245.63 0.30 0.10 0.12 -1 -1 0.30 0.0350441 0.0306183 148 54 64 32 56 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 8.19 vpr 62.86 MiB 0.02 6928 -1 -1 1 0.03 -1 -1 29996 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64368 32 32 339 284 1 165 93 17 17 289 -1 unnamed_device 24.0 MiB 3.26 877 62.9 MiB 0.14 0.00 2.46506 -92.5133 -2.46506 2.46506 1.01 0.000545117 0.000499761 0.0445739 0.0408532 34 2151 19 6.87369e+06 405241 618332. 2139.56 1.65 0.162833 0.142939 25762 151098 -1 1790 23 1264 1758 134359 32000 0 0 134359 32000 1758 1345 0 0 6959 6070 0 0 10580 8564 0 0 1758 1421 0 0 57810 7327 0 0 55494 7273 0 0 1758 0 0 494 609 730 5289 0 0 2.31317 2.31317 -105.575 -2.31317 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0246753 0.0215565 117 62 29 29 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 4.95 vpr 61.90 MiB 0.02 6716 -1 -1 1 0.03 -1 -1 30076 -1 -1 14 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63388 30 32 226 208 1 119 76 17 17 289 -1 unnamed_device 23.4 MiB 0.68 712 61.9 MiB 0.08 0.00 2.31406 -79.4466 -2.31406 2.31406 1.05 0.000377454 0.000345247 0.0294037 0.026974 32 1546 19 6.87369e+06 195634 586450. 2029.24 0.98 0.0800371 0.0704955 25474 144626 -1 1384 22 696 1012 92169 19496 0 0 92169 19496 1012 890 0 0 3909 3334 0 0 5890 4644 0 0 1012 908 0 0 40015 5000 0 0 40331 4720 0 0 1012 0 0 316 287 324 2697 0 0 2.03287 2.03287 -88.5401 -2.03287 0 0 744469. 2576.02 0.29 0.05 0.12 -1 -1 0.29 0.0176695 0.0154699 73 29 24 24 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 5.98 vpr 62.80 MiB 0.02 6952 -1 -1 1 0.03 -1 -1 30272 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64304 31 32 335 280 1 165 80 17 17 289 -1 unnamed_device 24.0 MiB 1.54 903 62.8 MiB 0.10 0.00 3.53045 -113.667 -3.53045 3.53045 1.07 0.000574675 0.000514374 0.0375001 0.0345086 32 2359 27 6.87369e+06 237555 586450. 2029.24 1.05 0.108173 0.095522 25474 144626 -1 1883 19 1049 1597 128862 29789 0 0 128862 29789 1597 1359 0 0 6244 5471 0 0 9569 7676 0 0 1597 1389 0 0 55495 6942 0 0 54360 6952 0 0 1597 0 0 548 581 652 4592 0 0 3.3895 3.3895 -128.951 -3.3895 0 0 744469. 2576.02 0.30 0.06 0.13 -1 -1 0.30 0.0233014 0.0206822 113 55 31 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 7.90 vpr 62.91 MiB 0.03 6900 -1 -1 1 0.03 -1 -1 30072 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64416 32 32 366 283 1 197 100 17 17 289 -1 unnamed_device 24.1 MiB 1.18 1128 62.9 MiB 0.16 0.00 3.42399 -119.998 -3.42399 3.42399 1.07 0.000592037 0.000543486 0.0509609 0.0466975 30 2388 19 6.87369e+06 503058 556674. 1926.21 3.25 0.246134 0.218268 25186 138497 -1 2056 21 1532 2181 125230 30564 0 0 125230 30564 2181 1643 0 0 7847 6680 0 0 10189 8523 0 0 2181 1764 0 0 50149 6322 0 0 52683 5632 0 0 2181 0 0 649 600 796 6242 0 0 3.7141 3.7141 -139.768 -3.7141 0 0 706193. 2443.58 0.27 0.05 0.14 -1 -1 0.27 0.0190659 0.0170352 150 31 91 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 10.75 vpr 63.28 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 30496 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64800 32 32 460 375 1 199 104 17 17 289 -1 unnamed_device 24.6 MiB 3.79 939 63.3 MiB 0.16 0.00 3.04776 -103.548 -3.04776 3.04776 1.05 0.000648365 0.000595568 0.0558245 0.051176 36 2550 21 6.87369e+06 558954 648988. 2245.63 3.41 0.238421 0.21151 26050 158493 -1 1941 22 1465 2194 151688 36105 0 0 151688 36105 2194 1657 0 0 8164 6931 0 0 11861 9661 0 0 2194 1771 0 0 67244 7231 0 0 60031 8854 0 0 2194 0 0 729 870 738 6593 0 0 3.9269 3.9269 -126.822 -3.9269 0 0 828058. 2865.25 0.34 0.08 0.15 -1 -1 0.34 0.0320988 0.0282051 154 108 0 0 125 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 7.08 vpr 61.82 MiB 0.02 6752 -1 -1 1 0.03 -1 -1 30376 -1 -1 16 26 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63308 26 32 198 186 1 109 74 17 17 289 -1 unnamed_device 23.3 MiB 2.30 459 61.8 MiB 0.07 0.00 2.29206 -62.1231 -2.29206 2.29206 1.07 0.000350464 0.000321777 0.0274974 0.0252168 34 1199 18 6.87369e+06 223581 618332. 2139.56 1.53 0.103691 0.0904472 25762 151098 -1 988 18 649 1001 69772 18558 0 0 69772 18558 1001 831 0 0 4054 3580 0 0 6266 5178 0 0 1001 884 0 0 27017 4312 0 0 30433 3773 0 0 1001 0 0 352 371 414 3066 0 0 2.14817 2.14817 -73.382 -2.14817 0 0 787024. 2723.27 0.32 0.04 0.09 -1 -1 0.32 0.0149554 0.0131505 69 21 26 26 22 22 -fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 6.33 vpr 62.87 MiB 0.02 6872 -1 -1 1 0.04 -1 -1 29932 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64380 32 32 333 251 1 196 85 17 17 289 -1 unnamed_device 24.2 MiB 1.63 1069 62.9 MiB 0.14 0.00 3.28949 -115.813 -3.28949 3.28949 0.94 0.000527639 0.000484458 0.0473616 0.0435308 28 3162 31 6.87369e+06 293451 531479. 1839.03 1.70 0.138724 0.123338 24610 126494 -1 2531 21 2073 3393 273702 64167 0 0 273702 64167 3393 2888 0 0 13038 11684 0 0 19459 15910 0 0 3393 2995 0 0 116663 15463 0 0 117756 15227 0 0 3393 0 0 1320 1660 1791 11780 0 0 4.4692 4.4692 -160.186 -4.4692 0 0 648988. 2245.63 0.28 0.10 0.13 -1 -1 0.28 0.0274845 0.0242424 141 -1 122 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 5.43 vpr 61.73 MiB 0.02 6636 -1 -1 1 0.03 -1 -1 30228 -1 -1 12 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63216 32 32 199 182 1 122 76 17 17 289 -1 unnamed_device 23.3 MiB 0.56 773 61.7 MiB 0.08 0.00 2.05403 -78.601 -2.05403 2.05403 1.09 0.000355764 0.0003259 0.0299885 0.0275609 34 1556 17 6.87369e+06 167686 618332. 2139.56 1.45 0.104537 0.0916424 25762 151098 -1 1371 18 605 815 60945 14366 0 0 60945 14366 815 711 0 0 3234 2805 0 0 4830 4070 0 0 815 738 0 0 25668 3096 0 0 25583 2946 0 0 815 0 0 210 134 210 1831 0 0 1.99187 1.99187 -89.3656 -1.99187 0 0 787024. 2723.27 0.32 0.04 0.16 -1 -1 0.32 0.0162608 0.0143889 71 -1 53 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 4.75 vpr 63.17 MiB 0.02 6984 -1 -1 1 0.04 -1 -1 30392 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64688 32 32 376 288 1 202 100 17 17 289 -1 unnamed_device 24.3 MiB 0.69 1107 63.2 MiB 0.13 0.00 3.42579 -123.797 -3.42579 3.42579 0.93 0.000580569 0.000534999 0.0409364 0.0375987 30 2787 27 6.87369e+06 503058 556674. 1926.21 1.01 0.113433 0.101089 25186 138497 -1 2217 22 1682 2560 163333 38357 0 0 163333 38357 2560 1997 0 0 9080 7802 0 0 12035 9925 0 0 2560 2088 0 0 70071 8218 0 0 67027 8327 0 0 2560 0 0 878 996 776 7630 0 0 3.678 3.678 -147.496 -3.678 0 0 706193. 2443.58 0.19 0.05 0.09 -1 -1 0.19 0.0183987 0.0163602 155 21 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 6.34 vpr 62.93 MiB 0.02 6792 -1 -1 1 0.03 -1 -1 30056 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64444 32 32 337 253 1 198 100 17 17 289 -1 unnamed_device 24.1 MiB 1.14 1070 62.9 MiB 0.14 0.00 2.87545 -103.34 -2.87545 2.87545 1.05 0.000549433 0.000502937 0.0378049 0.0346734 26 2697 35 6.87369e+06 503058 503264. 1741.40 1.77 0.142288 0.126883 24322 120374 -1 2431 21 1691 2746 219687 51123 0 0 219687 51123 2746 1999 0 0 10742 9100 0 0 16353 13163 0 0 2746 2124 0 0 93618 12513 0 0 93482 12224 0 0 2746 0 0 1055 1465 1494 10338 0 0 3.35016 3.35016 -130.851 -3.35016 0 0 618332. 2139.56 0.26 0.09 0.10 -1 -1 0.26 0.0296124 0.0262188 151 -1 124 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 6.66 vpr 63.07 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 30404 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64584 32 32 407 319 1 202 103 17 17 289 -1 unnamed_device 24.2 MiB 1.35 1138 63.1 MiB 0.15 0.00 3.42579 -122.881 -3.42579 3.42579 1.08 0.000632946 0.000580148 0.0467671 0.0428703 28 3202 31 6.87369e+06 544980 531479. 1839.03 1.94 0.153485 0.136685 24610 126494 -1 2589 22 2030 3444 281472 64445 0 0 281472 64445 3444 2561 0 0 13305 11916 0 0 20293 16518 0 0 3444 2679 0 0 121894 15512 0 0 119092 15259 0 0 3444 0 0 1414 1719 1686 12753 0 0 4.135 4.135 -157.015 -4.135 0 0 648988. 2245.63 0.18 0.08 0.08 -1 -1 0.18 0.0243739 0.0215079 156 54 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 5.73 vpr 62.23 MiB 0.02 6772 -1 -1 1 0.03 -1 -1 30020 -1 -1 15 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63720 32 32 294 246 1 157 79 17 17 289 -1 unnamed_device 23.7 MiB 1.29 804 62.2 MiB 0.08 0.00 2.42892 -87.9121 -2.42892 2.42892 0.79 0.00033064 0.000304649 0.0287175 0.0263809 34 2110 21 6.87369e+06 209608 618332. 2139.56 1.37 0.116219 0.101364 25762 151098 -1 1807 24 1279 2137 156824 36714 0 0 156824 36714 2137 1715 0 0 8100 7241 0 0 12637 10179 0 0 2137 1904 0 0 66704 7752 0 0 65109 7923 0 0 2137 0 0 858 925 913 6877 0 0 2.85696 2.85696 -113.166 -2.85696 0 0 787024. 2723.27 0.25 0.05 0.15 -1 -1 0.25 0.016842 0.0147701 104 31 54 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 5.87 vpr 62.42 MiB 0.02 6804 -1 -1 1 0.03 -1 -1 30004 -1 -1 18 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63920 30 32 296 244 1 160 80 17 17 289 -1 unnamed_device 23.9 MiB 1.38 712 62.4 MiB 0.09 0.00 3.0418 -101.17 -3.0418 3.0418 1.07 0.000469348 0.000429847 0.0291504 0.0269138 32 2041 24 6.87369e+06 251529 586450. 2029.24 1.04 0.0935828 0.0827345 25474 144626 -1 1602 19 1252 1834 140738 34360 0 0 140738 34360 1834 1472 0 0 7254 6471 0 0 11701 9308 0 0 1834 1522 0 0 59844 7953 0 0 58271 7634 0 0 1834 0 0 582 627 696 5299 0 0 3.06826 3.06826 -117.963 -3.06826 0 0 744469. 2576.02 0.31 0.06 0.15 -1 -1 0.31 0.0213376 0.0188234 109 29 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 6.32 vpr 61.98 MiB 0.02 6832 -1 -1 1 0.03 -1 -1 30144 -1 -1 19 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63472 28 32 278 232 1 150 79 17 17 289 -1 unnamed_device 23.5 MiB 1.22 828 62.0 MiB 0.11 0.00 2.72995 -88.9979 -2.72995 2.72995 0.99 0.000457742 0.000421269 0.0388514 0.0357408 34 2081 23 6.87369e+06 265503 618332. 2139.56 1.72 0.170453 0.151076 25762 151098 -1 1841 24 1406 2430 194217 44756 0 0 194217 44756 2430 2001 0 0 9415 8437 0 0 14364 11400 0 0 2430 2068 0 0 83518 10544 0 0 82060 10306 0 0 2430 0 0 1024 1088 1120 8284 0 0 3.04626 3.04626 -113.567 -3.04626 0 0 787024. 2723.27 0.34 0.08 0.13 -1 -1 0.34 0.0242058 0.0212993 104 27 56 28 28 28 -fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 6.37 vpr 62.36 MiB 0.02 6752 -1 -1 1 0.03 -1 -1 30304 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63856 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 23.8 MiB 1.34 885 62.4 MiB 0.13 0.00 2.77395 -103.31 -2.77395 2.77395 1.06 0.000487347 0.000447959 0.044945 0.0412743 34 2245 24 6.87369e+06 223581 618332. 2139.56 1.73 0.173066 0.153841 25762 151098 -1 1904 23 1614 2689 209473 47817 0 0 209473 47817 2689 2174 0 0 10118 9100 0 0 14989 11817 0 0 2689 2268 0 0 93024 10675 0 0 85964 11783 0 0 2689 0 0 1075 1339 1453 9500 0 0 3.11526 3.11526 -129.689 -3.11526 0 0 787024. 2723.27 0.32 0.09 0.12 -1 -1 0.32 0.0258802 0.02281 114 -1 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 5.34 vpr 62.29 MiB 0.02 6916 -1 -1 1 0.03 -1 -1 30080 -1 -1 32 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63788 31 32 303 249 1 163 95 17 17 289 -1 unnamed_device 23.7 MiB 1.05 869 62.3 MiB 0.14 0.00 2.81125 -98.524 -2.81125 2.81125 1.07 0.000508295 0.000468183 0.0416105 0.0383114 30 2002 22 6.87369e+06 447163 556674. 1926.21 1.05 0.114958 0.102236 25186 138497 -1 1691 21 1029 1765 99772 24494 0 0 99772 24494 1765 1180 0 0 6317 5272 0 0 8159 6927 0 0 1765 1307 0 0 40432 5052 0 0 41334 4756 0 0 1765 0 0 736 909 910 7092 0 0 2.70336 2.70336 -111.738 -2.70336 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.0225201 0.0196992 119 26 61 31 31 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 7.85 vpr 62.61 MiB 0.02 6868 -1 -1 1 0.03 -1 -1 29936 -1 -1 32 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64112 29 32 312 264 1 155 93 17 17 289 -1 unnamed_device 23.9 MiB 3.65 809 62.6 MiB 0.08 0.00 2.30671 -78.6912 -2.30671 2.30671 1.07 0.000304963 0.000278987 0.0241729 0.0221389 28 1847 23 6.87369e+06 447163 531479. 1839.03 0.94 0.101157 0.0891922 24610 126494 -1 1612 18 1130 1908 126710 30806 0 0 126710 30806 1908 1261 0 0 7252 6468 0 0 11145 8967 0 0 1908 1411 0 0 54075 6032 0 0 50422 6667 0 0 1908 0 0 778 1029 1243 7854 0 0 2.23612 2.23612 -91.3771 -2.23612 0 0 648988. 2245.63 0.27 0.06 0.13 -1 -1 0.27 0.0216463 0.0191689 113 55 29 29 57 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 10.63 vpr 63.00 MiB 0.02 7044 -1 -1 1 0.04 -1 -1 30360 -1 -1 44 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64512 32 32 423 310 1 231 108 17 17 289 -1 unnamed_device 24.3 MiB 5.35 1315 63.0 MiB 0.19 0.00 3.55109 -125.266 -3.55109 3.55109 1.02 0.000641171 0.000588312 0.0546654 0.0499767 30 3497 29 6.87369e+06 614849 556674. 1926.21 1.79 0.179306 0.15991 25186 138497 -1 2540 21 1841 3344 198066 50527 0 0 198066 50527 3344 2093 0 0 11710 10179 0 0 16061 13049 0 0 3344 2276 0 0 79656 12107 0 0 83951 10823 0 0 3344 0 0 1503 2757 2721 18487 0 0 3.9207 3.9207 -147.187 -3.9207 0 0 706193. 2443.58 0.29 0.10 0.12 -1 -1 0.29 0.0352714 0.0314764 184 26 128 32 27 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 9.12 vpr 63.00 MiB 0.03 6968 -1 -1 1 0.03 -1 -1 30380 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64512 32 32 403 317 1 200 103 17 17 289 -1 unnamed_device 24.2 MiB 3.94 918 63.0 MiB 0.17 0.00 2.91945 -102.635 -2.91945 2.91945 1.06 0.000635155 0.00058467 0.0523737 0.0480695 28 2933 47 6.87369e+06 544980 531479. 1839.03 1.78 0.183743 0.163416 24610 126494 -1 2117 22 2035 3087 244463 54800 0 0 244463 54800 3087 2279 0 0 11402 9521 0 0 17007 13513 0 0 3087 2463 0 0 107856 13068 0 0 102024 13956 0 0 3087 0 0 1052 1515 1485 10599 0 0 2.98526 2.98526 -124.818 -2.98526 0 0 648988. 2245.63 0.24 0.07 0.12 -1 -1 0.24 0.0204753 0.0182257 154 62 62 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 11.30 vpr 62.85 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 30340 -1 -1 31 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64356 31 32 353 302 1 160 94 17 17 289 -1 unnamed_device 24.0 MiB 4.50 677 62.8 MiB 0.10 0.00 2.71895 -90.254 -2.71895 2.71895 1.09 0.000555104 0.000508243 0.037524 0.0343894 36 2147 41 6.87369e+06 433189 648988. 2245.63 3.45 0.199159 0.176599 26050 158493 -1 1493 40 1665 2772 182425 45264 0 0 182425 45264 2772 1965 0 0 10033 8509 0 0 15417 11633 0 0 2772 2145 0 0 71190 11134 0 0 80241 9878 0 0 2772 0 0 1107 1562 1364 11037 0 0 3.01961 3.01961 -109.01 -3.01961 0 0 828058. 2865.25 0.22 0.10 0.10 -1 -1 0.22 0.038803 0.0335685 116 77 0 0 89 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 7.65 vpr 62.95 MiB 0.02 6948 -1 -1 1 0.03 -1 -1 30264 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64460 31 32 391 309 1 195 85 17 17 289 -1 unnamed_device 24.2 MiB 2.40 1087 62.9 MiB 0.12 0.00 2.78315 -101.221 -2.78315 2.78315 1.02 0.000519834 0.000473538 0.0443562 0.0405466 34 2665 24 6.87369e+06 307425 618332. 2139.56 1.77 0.19664 0.173823 25762 151098 -1 2216 20 1819 2971 206349 47977 0 0 206349 47977 2971 2296 0 0 10992 9623 0 0 17238 13198 0 0 2971 2632 0 0 87262 10184 0 0 84915 10044 0 0 2971 0 0 1152 1426 1361 9946 0 0 3.07126 3.07126 -124.162 -3.07126 0 0 787024. 2723.27 0.34 0.09 0.16 -1 -1 0.34 0.0297328 0.026462 141 59 60 30 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 10.40 vpr 63.07 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 30368 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64588 31 32 455 371 1 198 85 17 17 289 -1 unnamed_device 24.2 MiB 5.64 1028 63.1 MiB 0.14 0.00 3.93354 -120.546 -3.93354 3.93354 0.81 0.000411098 0.000379602 0.052427 0.0481926 34 2760 42 6.87369e+06 307425 618332. 2139.56 1.81 0.200898 0.17679 25762 151098 -1 2184 17 1504 2498 184346 43233 0 0 184346 43233 2498 2094 0 0 9903 8595 0 0 14478 11852 0 0 2498 2272 0 0 79521 8693 0 0 75448 9727 0 0 2498 0 0 994 1246 1311 8811 0 0 3.84575 3.84575 -142.236 -3.84575 0 0 787024. 2723.27 0.33 0.11 0.13 -1 -1 0.33 0.0294708 0.0261341 145 111 0 0 124 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 7.91 vpr 62.98 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 30316 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64488 31 32 413 333 1 195 85 17 17 289 -1 unnamed_device 24.2 MiB 2.45 924 63.0 MiB 0.16 0.00 3.73124 -110.708 -3.73124 3.73124 1.05 0.000618844 0.000567248 0.0587443 0.0539593 34 2743 29 6.87369e+06 307425 618332. 2139.56 1.89 0.222563 0.197084 25762 151098 -1 2176 24 1822 2956 247603 57892 0 0 247603 57892 2956 2580 0 0 11633 10438 0 0 18355 14699 0 0 2956 2614 0 0 102829 14656 0 0 108874 12905 0 0 2956 0 0 1134 1279 1077 8840 0 0 4.1162 4.1162 -141.771 -4.1162 0 0 787024. 2723.27 0.33 0.12 0.14 -1 -1 0.33 0.042766 0.0376136 141 86 31 31 89 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 8.54 vpr 62.96 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 30128 -1 -1 36 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64468 31 32 391 309 1 195 99 17 17 289 -1 unnamed_device 24.2 MiB 3.39 1072 63.0 MiB 0.15 0.00 2.86625 -102.62 -2.86625 2.86625 1.07 0.000616352 0.000559767 0.0492836 0.0450228 34 2616 24 6.87369e+06 503058 618332. 2139.56 1.84 0.221465 0.195978 25762 151098 -1 2236 22 1875 3227 225498 53283 0 0 225498 53283 3227 2277 0 0 12377 10892 0 0 18928 14877 0 0 3227 2466 0 0 92769 11795 0 0 94970 10976 0 0 3227 0 0 1352 1682 1902 12453 0 0 2.94296 2.94296 -119.543 -2.94296 0 0 787024. 2723.27 0.31 0.09 0.15 -1 -1 0.31 0.0293955 0.0258207 148 58 60 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 6.91 vpr 63.21 MiB 0.02 7040 -1 -1 1 0.04 -1 -1 30376 -1 -1 38 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64724 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 24.4 MiB 2.10 1119 63.2 MiB 0.15 0.00 3.43679 -123.815 -3.43679 3.43679 1.04 0.000695537 0.000639481 0.0454773 0.041675 28 2928 23 6.87369e+06 531006 531479. 1839.03 1.42 0.139256 0.124231 24610 126494 -1 2670 23 2189 3641 318186 70383 0 0 318186 70383 3641 2652 0 0 14184 12689 0 0 21589 17711 0 0 3641 2904 0 0 137978 17582 0 0 137153 16845 0 0 3641 0 0 1452 2459 2965 17187 0 0 4.0287 4.0287 -158.124 -4.0287 0 0 648988. 2245.63 0.30 0.11 0.12 -1 -1 0.30 0.0266372 0.0235094 156 42 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 9.52 vpr 63.55 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 30480 -1 -1 42 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65072 32 32 496 380 1 234 106 17 17 289 -1 unnamed_device 24.6 MiB 4.33 1059 63.5 MiB 0.13 0.00 3.42399 -117.379 -3.42399 3.42399 1.07 0.000446309 0.000408603 0.0435801 0.0400135 32 4135 41 6.87369e+06 586901 586450. 2029.24 1.58 0.156163 0.138052 25474 144626 -1 2618 24 2355 3883 313595 75436 0 0 313595 75436 3883 2830 0 0 15474 13775 0 0 25707 20178 0 0 3883 3097 0 0 130849 17877 0 0 133799 17679 0 0 3883 0 0 1528 2703 2766 17534 0 0 4.2353 4.2353 -155.105 -4.2353 0 0 744469. 2576.02 0.32 0.13 0.12 -1 -1 0.32 0.044895 0.0396732 186 91 62 32 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 6.11 vpr 62.31 MiB 0.02 6892 -1 -1 1 0.04 -1 -1 30388 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63804 31 32 305 250 1 164 80 17 17 289 -1 unnamed_device 23.8 MiB 2.32 821 62.3 MiB 0.07 0.00 3.0136 -106.719 -3.0136 3.0136 0.79 0.000316814 0.000292189 0.023265 0.0214529 32 2354 25 6.87369e+06 237555 586450. 2029.24 0.75 0.0676767 0.0598186 25474 144626 -1 1869 22 1501 2373 180474 41719 0 0 180474 41719 2373 1914 0 0 9205 8366 0 0 14755 11446 0 0 2373 2013 0 0 75296 9215 0 0 76472 8765 0 0 2373 0 0 872 1236 1212 8031 0 0 3.10431 3.10431 -126.544 -3.10431 0 0 744469. 2576.02 0.33 0.08 0.15 -1 -1 0.33 0.0271362 0.0239328 112 24 62 31 31 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 8.38 vpr 62.99 MiB 0.02 7020 -1 -1 1 0.05 -1 -1 30256 -1 -1 37 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64504 31 32 395 311 1 198 100 17 17 289 -1 unnamed_device 24.2 MiB 3.58 1111 63.0 MiB 0.10 0.00 3.52909 -121.169 -3.52909 3.52909 1.07 0.000376008 0.000347312 0.0297753 0.0272856 28 2899 26 6.87369e+06 517032 531479. 1839.03 1.25 0.106709 0.0947111 24610 126494 -1 2628 22 2021 3331 288031 65315 0 0 288031 65315 3331 2420 0 0 12782 11416 0 0 20023 15876 0 0 3331 2636 0 0 124574 16183 0 0 123990 16784 0 0 3331 0 0 1310 2460 2451 15538 0 0 4.2856 4.2856 -158.495 -4.2856 0 0 648988. 2245.63 0.29 0.13 0.12 -1 -1 0.29 0.0426167 0.0381819 152 59 62 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 7.23 vpr 62.96 MiB 0.03 6960 -1 -1 1 0.04 -1 -1 30428 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64472 32 32 397 313 1 198 99 17 17 289 -1 unnamed_device 24.2 MiB 2.43 1115 63.0 MiB 0.14 0.00 2.75195 -102.728 -2.75195 2.75195 0.98 0.000650383 0.000592962 0.0410908 0.0375065 28 3059 28 6.87369e+06 489084 531479. 1839.03 1.43 0.151284 0.134503 24610 126494 -1 2575 24 1979 3492 281294 62800 0 0 281294 62800 3492 2579 0 0 13270 11870 0 0 19808 15891 0 0 3492 2763 0 0 122147 14591 0 0 119085 15106 0 0 3492 0 0 1513 2144 2130 14107 0 0 3.21556 3.21556 -128.286 -3.21556 0 0 648988. 2245.63 0.29 0.13 0.13 -1 -1 0.29 0.0390741 0.0347852 150 54 62 32 62 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 7.26 vpr 62.91 MiB 0.02 6904 -1 -1 1 0.03 -1 -1 30268 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64420 32 32 345 257 1 202 85 17 17 289 -1 unnamed_device 24.2 MiB 1.67 1010 62.9 MiB 0.13 0.00 3.32249 -118.267 -3.32249 3.32249 1.06 0.000510835 0.000468233 0.0408883 0.0376377 34 3098 23 6.87369e+06 293451 618332. 2139.56 2.09 0.201843 0.17987 25762 151098 -1 2415 23 2249 3949 299292 71736 0 0 299292 71736 3949 3063 0 0 15219 13873 0 0 24208 19127 0 0 3949 3161 0 0 121998 17247 0 0 129969 15265 0 0 3949 0 0 1700 2520 2262 15413 0 0 4.005 4.005 -152.386 -4.005 0 0 787024. 2723.27 0.32 0.12 0.13 -1 -1 0.32 0.0350784 0.0312964 147 -1 128 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 9.69 vpr 62.95 MiB 0.03 7072 -1 -1 1 0.04 -1 -1 30240 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64464 32 32 424 343 1 195 100 17 17 289 -1 unnamed_device 24.1 MiB 4.27 986 63.0 MiB 0.17 0.00 2.84425 -101.991 -2.84425 2.84425 1.08 0.000706903 0.000649704 0.0569362 0.0520267 34 2545 21 6.87369e+06 503058 618332. 2139.56 1.87 0.219982 0.194699 25762 151098 -1 2135 20 1743 2748 196975 47798 0 0 196975 47798 2748 2048 0 0 10709 9540 0 0 16551 13372 0 0 2748 2189 0 0 81752 10390 0 0 82467 10259 0 0 2748 0 0 1005 1484 1672 10993 0 0 2.98996 2.98996 -121.982 -2.98996 0 0 787024. 2723.27 0.34 0.09 0.14 -1 -1 0.34 0.0315399 0.0281396 148 81 25 25 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 10.36 vpr 62.86 MiB 0.04 7020 -1 -1 1 0.04 -1 -1 30236 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64372 32 32 395 311 1 198 103 17 17 289 -1 unnamed_device 24.1 MiB 4.55 959 62.9 MiB 0.10 0.00 2.85525 -99.3334 -2.85525 2.85525 1.05 0.000608365 0.000555179 0.0276085 0.0252813 26 3121 47 6.87369e+06 544980 503264. 1741.40 2.41 0.168686 0.150032 24322 120374 -1 2597 24 1821 3253 335132 81673 0 0 335132 81673 3253 2333 0 0 12365 10667 0 0 20420 15807 0 0 3253 2521 0 0 147407 25338 0 0 148434 25007 0 0 3253 0 0 1432 2868 2693 16940 0 0 3.47246 3.47246 -132.86 -3.47246 0 0 618332. 2139.56 0.26 0.13 0.10 -1 -1 0.26 0.0372837 0.0331351 152 58 64 32 60 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 8.38 vpr 63.23 MiB 0.02 7052 -1 -1 1 0.03 -1 -1 30276 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64752 32 32 405 318 1 201 104 17 17 289 -1 unnamed_device 24.3 MiB 3.54 1140 63.2 MiB 0.18 0.00 2.83325 -103.321 -2.83325 2.83325 1.07 0.000667454 0.000614792 0.0578313 0.0525857 32 3058 31 6.87369e+06 558954 586450. 2029.24 1.27 0.158592 0.140922 25474 144626 -1 2529 20 1845 3083 280403 60680 0 0 280403 60680 3083 2237 0 0 12299 10652 0 0 20962 16231 0 0 3083 2643 0 0 123675 14218 0 0 117301 14699 0 0 3083 0 0 1238 1788 1657 11194 0 0 3.02146 3.02146 -126.011 -3.02146 0 0 744469. 2576.02 0.32 0.11 0.15 -1 -1 0.32 0.0334025 0.0298348 156 61 63 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 6.64 vpr 63.05 MiB 0.03 6972 -1 -1 1 0.03 -1 -1 30396 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64568 32 32 376 288 1 202 103 17 17 289 -1 unnamed_device 24.2 MiB 1.06 1142 63.1 MiB 0.17 0.00 3.32249 -120.378 -3.32249 3.32249 0.99 0.000571686 0.000524374 0.0511489 0.0467622 34 2663 26 6.87369e+06 544980 618332. 2139.56 2.08 0.224401 0.19955 25762 151098 -1 2273 24 2151 3367 236818 56940 0 0 236818 56940 3367 2408 0 0 12911 11565 0 0 20348 16112 0 0 3367 2546 0 0 100528 12027 0 0 96297 12282 0 0 3367 0 0 1216 1437 1251 10821 0 0 4.0207 4.0207 -150.634 -4.0207 0 0 787024. 2723.27 0.34 0.12 0.13 -1 -1 0.34 0.0422583 0.0377661 156 21 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 8.67 vpr 63.14 MiB 0.03 7028 -1 -1 1 0.04 -1 -1 30496 -1 -1 41 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64660 32 32 407 319 1 202 105 17 17 289 -1 unnamed_device 24.3 MiB 3.63 1053 63.1 MiB 0.16 0.00 3.41479 -122.555 -3.41479 3.41479 1.01 0.00058941 0.000540242 0.0482186 0.0439887 28 2836 25 6.87369e+06 572927 531479. 1839.03 1.57 0.161903 0.144902 24610 126494 -1 2508 23 2163 3535 289643 64711 0 0 289643 64711 3535 2547 0 0 13310 11637 0 0 20496 16267 0 0 3535 2734 0 0 124328 16276 0 0 124439 15250 0 0 3535 0 0 1372 1967 2173 13953 0 0 4.162 4.162 -162.881 -4.162 0 0 648988. 2245.63 0.27 0.11 0.12 -1 -1 0.27 0.0323634 0.0285062 157 50 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 10.43 vpr 63.17 MiB 0.03 7044 -1 -1 1 0.03 -1 -1 30396 -1 -1 37 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64688 31 32 449 367 1 195 100 17 17 289 -1 unnamed_device 24.5 MiB 4.75 1020 63.2 MiB 0.16 0.00 3.32679 -109.604 -3.32679 3.32679 0.98 0.000610067 0.000535697 0.0507114 0.0459475 28 3123 30 6.87369e+06 517032 531479. 1839.03 2.39 0.179929 0.160234 24610 126494 -1 2558 23 1851 3232 296763 74141 0 0 296763 74141 3232 2413 0 0 11758 10121 0 0 18300 14336 0 0 3232 2529 0 0 129007 23317 0 0 131234 21425 0 0 3232 0 0 1381 1964 1950 12997 0 0 4.1943 4.1943 -146.772 -4.1943 0 0 648988. 2245.63 0.26 0.12 0.11 -1 -1 0.26 0.0380369 0.0337308 150 110 0 0 122 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 9.53 vpr 62.99 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 30256 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64504 32 32 432 346 1 200 85 17 17 289 -1 unnamed_device 24.1 MiB 3.61 1062 63.0 MiB 0.15 0.00 3.38179 -118.928 -3.38179 3.38179 1.02 0.000524602 0.0004767 0.0559514 0.0513083 34 3248 27 6.87369e+06 293451 618332. 2139.56 2.48 0.235939 0.208753 25762 151098 -1 2510 21 1925 3506 287104 64866 0 0 287104 64866 3506 2764 0 0 13817 12427 0 0 21222 17111 0 0 3506 2872 0 0 128511 13695 0 0 116542 15997 0 0 3506 0 0 1581 1573 1459 11985 0 0 3.7514 3.7514 -144.358 -3.7514 0 0 787024. 2723.27 0.31 0.10 0.13 -1 -1 0.31 0.0337669 0.030119 145 86 32 32 94 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 5.06 vpr 62.62 MiB 0.03 6744 -1 -1 1 0.03 -1 -1 30360 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64124 32 32 312 255 1 167 96 17 17 289 -1 unnamed_device 23.8 MiB 1.23 910 62.6 MiB 0.12 0.00 2.82225 -103.613 -2.82225 2.82225 0.73 0.000504739 0.000464608 0.0368989 0.0339427 32 2400 18 6.87369e+06 447163 586450. 2029.24 1.11 0.104512 0.0925995 25474 144626 -1 2020 23 1607 2582 238170 52974 0 0 238170 52974 2582 1768 0 0 10459 9451 0 0 18294 14069 0 0 2582 1930 0 0 104825 12850 0 0 99428 12906 0 0 2582 0 0 975 1282 1412 9795 0 0 3.07926 3.07926 -125.496 -3.07926 0 0 744469. 2576.02 0.20 0.06 0.12 -1 -1 0.20 0.0156076 0.0136455 121 20 63 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 11.28 vpr 62.74 MiB 0.02 6812 -1 -1 1 0.03 -1 -1 30200 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64244 32 32 370 314 1 166 80 17 17 289 -1 unnamed_device 23.8 MiB 4.05 913 62.7 MiB 0.13 0.00 2.9366 -106.699 -2.9366 2.9366 1.04 0.000547025 0.000500793 0.0497053 0.0455593 30 2372 20 6.87369e+06 223581 556674. 1926.21 3.81 0.212643 0.186701 25186 138497 -1 1885 21 1195 1903 129267 29185 0 0 129267 29185 1903 1505 0 0 6707 5666 0 0 8865 7287 0 0 1903 1558 0 0 57529 6407 0 0 52360 6762 0 0 1903 0 0 708 717 621 5687 0 0 2.82066 2.82066 -122.676 -2.82066 0 0 706193. 2443.58 0.30 0.07 0.14 -1 -1 0.30 0.0267668 0.0235991 112 91 0 0 94 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 9.79 vpr 63.20 MiB 0.03 7104 -1 -1 1 0.04 -1 -1 30636 -1 -1 44 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64720 32 32 469 351 1 236 108 17 17 289 -1 unnamed_device 24.6 MiB 2.72 1287 63.2 MiB 0.19 0.00 3.99454 -135.36 -3.99454 3.99454 1.01 0.000688283 0.000631062 0.0555325 0.0509211 34 4014 48 6.87369e+06 614849 618332. 2139.56 3.89 0.257192 0.226247 25762 151098 -1 2815 21 2334 4057 337571 74640 0 0 337571 74640 4057 3057 0 0 15399 13417 0 0 22602 18145 0 0 4057 3285 0 0 148085 18024 0 0 143371 18712 0 0 4057 0 0 1723 2289 2093 15618 0 0 4.65055 4.65055 -166.742 -4.65055 0 0 787024. 2723.27 0.32 0.13 0.13 -1 -1 0.32 0.0415105 0.0370093 189 53 96 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 8.70 vpr 62.79 MiB 0.03 6976 -1 -1 1 0.03 -1 -1 30240 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64296 32 32 368 284 1 198 99 17 17 289 -1 unnamed_device 24.1 MiB 3.57 988 62.8 MiB 0.17 0.00 2.87545 -103.568 -2.87545 2.87545 1.05 0.000567725 0.000520388 0.051032 0.0466643 34 2401 22 6.87369e+06 489084 618332. 2139.56 1.63 0.177799 0.155645 25762 151098 -1 1936 22 1607 2383 154826 37351 0 0 154826 37351 2383 1727 0 0 8904 7486 0 0 13293 10521 0 0 2383 1884 0 0 64867 7960 0 0 62996 7773 0 0 2383 0 0 776 902 923 7584 0 0 3.00716 3.00716 -121.797 -3.00716 0 0 787024. 2723.27 0.32 0.10 0.14 -1 -1 0.32 0.0380866 0.034003 150 31 92 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 5.43 vpr 62.55 MiB 0.02 6916 -1 -1 1 0.03 -1 -1 30160 -1 -1 31 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64052 30 32 296 244 1 160 93 17 17 289 -1 unnamed_device 23.9 MiB 0.93 856 62.6 MiB 0.13 0.00 2.84425 -97.6974 -2.84425 2.84425 1.08 0.000521529 0.000469926 0.0415762 0.038011 28 1947 25 6.87369e+06 433189 531479. 1839.03 1.08 0.11068 0.0982712 24610 126494 -1 1777 20 1217 1858 142536 32924 0 0 142536 32924 1858 1370 0 0 7268 6339 0 0 10717 8933 0 0 1858 1477 0 0 62448 7118 0 0 58387 7687 0 0 1858 0 0 641 744 832 6419 0 0 3.07126 3.07126 -119.074 -3.07126 0 0 648988. 2245.63 0.28 0.07 0.08 -1 -1 0.28 0.0231385 0.0204455 116 29 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 18.37 vpr 63.16 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 30744 -1 -1 47 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64676 32 32 531 413 1 236 111 17 17 289 -1 unnamed_device 24.6 MiB 7.96 1066 63.2 MiB 0.08 0.00 3.99154 -133.476 -3.99154 3.99154 0.97 0.000460059 0.000425353 0.024788 0.0227561 30 3265 31 6.87369e+06 656770 556674. 1926.21 6.98 0.229697 0.199504 25186 138497 -1 2217 25 2324 3993 235303 57580 0 0 235303 57580 3993 2753 0 0 13739 11571 0 0 18538 15058 0 0 3993 3072 0 0 97921 12634 0 0 97119 12492 0 0 3993 0 0 1669 2965 2897 19242 0 0 4.79785 4.79785 -165.711 -4.79785 0 0 706193. 2443.58 0.29 0.11 0.14 -1 -1 0.29 0.0400263 0.0349864 190 109 32 32 128 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 7.61 vpr 63.10 MiB 0.02 6892 -1 -1 1 0.04 -1 -1 30372 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64616 32 32 376 288 1 202 104 17 17 289 -1 unnamed_device 24.3 MiB 3.21 1006 63.1 MiB 0.10 0.00 3.43499 -121.273 -3.43499 3.43499 0.96 0.000374458 0.000347007 0.0298388 0.0274357 32 2665 27 6.87369e+06 558954 586450. 2029.24 1.06 0.118668 0.10478 25474 144626 -1 2095 21 1875 2875 227721 50875 0 0 227721 50875 2875 2095 0 0 11148 9826 0 0 18338 14190 0 0 2875 2272 0 0 101066 10393 0 0 91419 12099 0 0 2875 0 0 1000 1452 1431 10456 0 0 3.8094 3.8094 -148.007 -3.8094 0 0 744469. 2576.02 0.30 0.09 0.14 -1 -1 0.30 0.0289774 0.025678 156 31 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 4.30 vpr 62.39 MiB 0.02 6760 -1 -1 1 0.03 -1 -1 30168 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63884 32 32 283 225 1 168 97 17 17 289 -1 unnamed_device 23.7 MiB 0.58 798 62.4 MiB 0.08 0.00 2.85525 -100.353 -2.85525 2.85525 0.76 0.000455098 0.000422362 0.0232993 0.021448 28 2335 27 6.87369e+06 461137 531479. 1839.03 0.99 0.0839132 0.0742662 24610 126494 -1 2064 23 1619 2697 232932 53231 0 0 232932 53231 2697 1929 0 0 10400 9283 0 0 15945 12716 0 0 2697 2104 0 0 100501 13909 0 0 100692 13290 0 0 2697 0 0 1078 1520 1798 11099 0 0 2.98696 2.98696 -125.201 -2.98696 0 0 648988. 2245.63 0.27 0.09 0.12 -1 -1 0.27 0.0258899 0.0228594 123 -1 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 10.20 vpr 63.42 MiB 0.03 7120 -1 -1 1 0.04 -1 -1 30664 -1 -1 45 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64940 32 32 438 320 1 236 109 17 17 289 -1 unnamed_device 24.5 MiB 3.51 1173 63.4 MiB 0.20 0.00 3.97434 -135.836 -3.97434 3.97434 1.03 0.000660838 0.000606912 0.0584366 0.0536641 28 3828 30 6.87369e+06 628823 531479. 1839.03 3.29 0.166198 0.14822 24610 126494 -1 3068 25 2833 4829 543618 114801 0 0 543618 114801 4829 3609 0 0 18572 16388 0 0 28929 23259 0 0 4829 3825 0 0 248172 32468 0 0 238287 35252 0 0 4829 0 0 1996 4469 4371 26651 0 0 4.92815 4.92815 -179.668 -4.92815 0 0 648988. 2245.63 0.27 0.17 0.12 -1 -1 0.27 0.0376289 0.0331108 189 26 128 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 6.17 vpr 62.35 MiB 0.02 6776 -1 -1 1 0.03 -1 -1 30168 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63844 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 23.8 MiB 1.03 777 62.3 MiB 0.10 0.00 3.0246 -107.986 -3.0246 3.0246 1.05 0.000498652 0.00045924 0.0316459 0.029179 34 2255 24 6.87369e+06 223581 618332. 2139.56 1.75 0.150658 0.132345 25762 151098 -1 1849 19 1484 2423 174533 41215 0 0 174533 41215 2423 1828 0 0 8975 7983 0 0 13642 10784 0 0 2423 1937 0 0 77021 8879 0 0 70049 9804 0 0 2423 0 0 939 1078 1140 8172 0 0 3.26586 3.26586 -130.435 -3.26586 0 0 787024. 2723.27 0.32 0.08 0.15 -1 -1 0.32 0.0244705 0.0217773 114 -1 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 8.24 vpr 62.43 MiB 0.02 6840 -1 -1 1 0.03 -1 -1 30244 -1 -1 33 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63932 30 32 296 244 1 162 95 17 17 289 -1 unnamed_device 23.8 MiB 3.15 847 62.4 MiB 0.13 0.00 2.75195 -95.6698 -2.75195 2.75195 1.04 0.000355231 0.000322547 0.0387513 0.0356011 26 2365 24 6.87369e+06 461137 503264. 1741.40 1.79 0.119863 0.106824 24322 120374 -1 2079 21 1548 2561 235520 52576 0 0 235520 52576 2561 1910 0 0 10170 8832 0 0 15970 12597 0 0 2561 2097 0 0 102240 13950 0 0 102018 13190 0 0 2561 0 0 1013 1297 1523 10234 0 0 3.12311 3.12311 -123.643 -3.12311 0 0 618332. 2139.56 0.25 0.07 0.12 -1 -1 0.25 0.0197349 0.0174716 118 29 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 8.94 vpr 63.21 MiB 0.03 7120 -1 -1 1 0.03 -1 -1 30152 -1 -1 35 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64724 29 32 393 319 1 186 96 17 17 289 -1 unnamed_device 24.4 MiB 4.47 959 63.2 MiB 0.07 0.00 2.81125 -89.2201 -2.81125 2.81125 1.00 0.000358536 0.000331049 0.0204248 0.018737 30 2509 23 6.87369e+06 489084 556674. 1926.21 1.14 0.129573 0.115414 25186 138497 -1 1880 22 1173 2211 131076 31327 0 0 131076 31327 2211 1518 0 0 7799 6696 0 0 10336 8504 0 0 2211 1683 0 0 55182 6278 0 0 53337 6648 0 0 2211 0 0 1038 1190 1434 9137 0 0 2.93196 2.93196 -109.499 -2.93196 0 0 706193. 2443.58 0.29 0.07 0.13 -1 -1 0.29 0.0270748 0.0237209 141 81 29 29 85 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 8.86 vpr 63.17 MiB 0.03 7044 -1 -1 1 0.04 -1 -1 30468 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64684 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 24.3 MiB 2.94 899 63.2 MiB 0.10 0.00 3.36169 -116.932 -3.36169 3.36169 1.04 0.000539069 0.000492118 0.0355195 0.0325123 34 2707 23 6.87369e+06 293451 618332. 2139.56 2.48 0.228949 0.204208 25762 151098 -1 2064 22 2238 3403 228165 56740 0 0 228165 56740 3403 2633 0 0 12623 11046 0 0 19259 15106 0 0 3403 2747 0 0 94827 11786 0 0 94650 13422 0 0 3403 0 0 1165 1072 1683 10511 0 0 3.877 3.877 -146.975 -3.877 0 0 787024. 2723.27 0.33 0.10 0.15 -1 -1 0.33 0.0331812 0.0295594 147 53 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 11.31 vpr 62.99 MiB 0.03 7028 -1 -1 1 0.04 -1 -1 30460 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64500 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 24.2 MiB 5.24 906 63.0 MiB 0.16 0.00 3.40379 -116.982 -3.40379 3.40379 1.05 0.00061776 0.000567146 0.0518443 0.0476307 36 2488 24 6.87369e+06 517032 648988. 2245.63 2.50 0.206021 0.182544 26050 158493 -1 2036 24 2013 3395 240859 58614 0 0 240859 58614 3395 2497 0 0 12822 11214 0 0 19415 15564 0 0 3395 2660 0 0 98181 13570 0 0 103651 13109 0 0 3395 0 0 1382 1784 1521 12506 0 0 3.9877 3.9877 -147.205 -3.9877 0 0 828058. 2865.25 0.35 0.10 0.16 -1 -1 0.35 0.0330014 0.0291767 155 55 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 7.31 vpr 62.80 MiB 0.02 6824 -1 -1 1 0.04 -1 -1 30308 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64312 32 32 345 287 1 168 97 17 17 289 -1 unnamed_device 24.1 MiB 3.18 820 62.8 MiB 0.14 0.00 2.88825 -103.968 -2.88825 2.88825 0.81 0.000546601 0.000502445 0.0460561 0.0421849 30 2196 22 6.87369e+06 461137 556674. 1926.21 1.16 0.124326 0.110134 25186 138497 -1 1762 21 1219 1971 118174 28608 0 0 118174 28608 1971 1295 0 0 6915 6001 0 0 9487 7729 0 0 1971 1426 0 0 48558 6122 0 0 49272 6035 0 0 1971 0 0 752 1074 1192 7821 0 0 2.99796 2.99796 -125.32 -2.99796 0 0 706193. 2443.58 0.30 0.07 0.14 -1 -1 0.30 0.0245822 0.0215652 123 55 32 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 9.70 vpr 62.74 MiB 0.03 6944 -1 -1 1 0.03 -1 -1 30256 -1 -1 18 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64244 31 32 353 302 1 160 81 17 17 289 -1 unnamed_device 23.8 MiB 4.87 919 62.7 MiB 0.13 0.00 2.9476 -103.694 -2.9476 2.9476 1.04 0.000530024 0.00048555 0.048627 0.0446243 34 2202 19 6.87369e+06 251529 618332. 2139.56 1.54 0.150586 0.132498 25762 151098 -1 1866 21 1310 2384 175938 41116 0 0 175938 41116 2384 1697 0 0 9370 8533 0 0 14850 11798 0 0 2384 1755 0 0 74914 8577 0 0 72036 8756 0 0 2384 0 0 1074 1202 967 8413 0 0 3.06026 3.06026 -122.204 -3.06026 0 0 787024. 2723.27 0.23 0.08 0.13 -1 -1 0.23 0.0260785 0.0229568 108 82 0 0 89 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 8.23 vpr 62.79 MiB 0.03 6956 -1 -1 1 0.04 -1 -1 30300 -1 -1 34 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64296 30 32 374 297 1 189 96 17 17 289 -1 unnamed_device 24.0 MiB 3.63 930 62.8 MiB 0.11 0.00 2.83325 -94.1383 -2.83325 2.83325 1.10 0.000570537 0.000523958 0.032545 0.0298453 28 2440 23 6.87369e+06 475111 531479. 1839.03 1.25 0.124178 0.110199 24610 126494 -1 2038 22 1541 2490 169467 41747 0 0 169467 41747 2490 1788 0 0 9293 8030 0 0 13667 11072 0 0 2490 1878 0 0 71095 9710 0 0 70432 9269 0 0 2490 0 0 949 1483 1690 10670 0 0 2.96026 2.96026 -118.219 -2.96026 0 0 648988. 2245.63 0.28 0.10 0.13 -1 -1 0.28 0.029422 0.0260223 143 52 60 30 57 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 6.49 vpr 62.82 MiB 0.03 6952 -1 -1 1 0.04 -1 -1 30268 -1 -1 35 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64324 28 32 332 260 1 180 95 17 17 289 -1 unnamed_device 24.1 MiB 2.21 922 62.8 MiB 0.13 0.00 3.34879 -104.314 -3.34879 3.34879 1.04 0.000525321 0.000483231 0.0410591 0.0377102 32 2466 20 6.87369e+06 489084 586450. 2029.24 0.98 0.108137 0.0960793 25474 144626 -1 1901 23 1626 2631 214117 48351 0 0 214117 48351 2631 1994 0 0 10593 9289 0 0 17444 13840 0 0 2631 2133 0 0 95745 9660 0 0 85073 11435 0 0 2631 0 0 1005 1616 1689 11585 0 0 3.8154 3.8154 -128.456 -3.8154 0 0 744469. 2576.02 0.22 0.07 0.13 -1 -1 0.22 0.0205895 0.0181178 139 20 84 28 28 28 -fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 7.90 vpr 62.65 MiB 0.02 6872 -1 -1 1 0.03 -1 -1 30184 -1 -1 18 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64152 30 32 325 273 1 161 80 17 17 289 -1 unnamed_device 23.9 MiB 3.28 831 62.6 MiB 0.08 0.00 2.9806 -103.125 -2.9806 2.9806 1.01 0.000490273 0.000449951 0.0274339 0.0252751 32 2309 43 6.87369e+06 251529 586450. 2029.24 1.25 0.120203 0.105535 25474 144626 -1 1883 21 1378 2250 178531 41273 0 0 178531 41273 2250 1720 0 0 8841 7903 0 0 14502 11451 0 0 2250 1822 0 0 75396 9450 0 0 75292 8927 0 0 2250 0 0 872 939 1024 7249 0 0 3.12156 3.12156 -122.684 -3.12156 0 0 744469. 2576.02 0.32 0.08 0.14 -1 -1 0.32 0.0275117 0.0244005 110 58 30 30 60 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 9.07 vpr 62.64 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 30188 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64144 32 32 361 308 1 163 81 17 17 289 -1 unnamed_device 24.0 MiB 4.33 993 62.6 MiB 0.12 0.00 2.8626 -100.833 -2.8626 2.8626 1.04 0.000540623 0.000494333 0.0466232 0.042749 34 2320 21 6.87369e+06 237555 618332. 2139.56 1.68 0.166397 0.145553 25762 151098 -1 1995 20 1170 1929 148465 34082 0 0 148465 34082 1929 1485 0 0 7459 6601 0 0 11379 9166 0 0 1929 1647 0 0 62076 7877 0 0 63693 7306 0 0 1929 0 0 759 877 818 6151 0 0 2.87896 2.87896 -121.652 -2.87896 0 0 787024. 2723.27 0.21 0.05 0.10 -1 -1 0.21 0.0166028 0.0146354 110 88 0 0 91 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 5.85 vpr 62.73 MiB 0.02 6952 -1 -1 1 0.04 -1 -1 29996 -1 -1 37 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64236 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 24.2 MiB 1.14 1122 62.7 MiB 0.12 0.00 3.31149 -115.679 -3.31149 3.31149 1.06 0.000549291 0.000503906 0.0357447 0.0290146 28 2798 20 6.87369e+06 517032 531479. 1839.03 1.21 0.115476 0.0987196 24610 126494 -1 2460 22 2021 3223 247747 57688 0 0 247747 57688 3223 2656 0 0 12372 10877 0 0 18611 14933 0 0 3223 2817 0 0 108932 12767 0 0 101386 13638 0 0 3223 0 0 1202 1253 1427 10455 0 0 3.9034 3.9034 -148.871 -3.9034 0 0 648988. 2245.63 0.29 0.12 0.12 -1 -1 0.29 0.036324 0.0325643 151 -1 124 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 12.03 vpr 63.09 MiB 0.03 7036 -1 -1 1 0.04 -1 -1 30392 -1 -1 38 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64600 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 24.2 MiB 5.49 906 63.1 MiB 0.15 0.00 3.41479 -117.207 -3.41479 3.41479 1.06 0.000645377 0.000591656 0.0491056 0.0449621 34 3233 27 6.87369e+06 531006 618332. 2139.56 2.93 0.182033 0.161117 25762 151098 -1 2289 23 2060 3408 248501 61531 0 0 248501 61531 3408 2510 0 0 13053 11609 0 0 20980 16288 0 0 3408 2671 0 0 104151 14254 0 0 103501 14199 0 0 3408 0 0 1348 1623 1669 12236 0 0 4.2746 4.2746 -146.055 -4.2746 0 0 787024. 2723.27 0.32 0.11 0.15 -1 -1 0.32 0.034542 0.0305382 156 57 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 9.85 vpr 62.98 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 30248 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64488 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 24.2 MiB 4.49 1142 63.0 MiB 0.14 0.00 3.40379 -123.122 -3.40379 3.40379 1.07 0.000689203 0.000633893 0.0434085 0.0398836 28 3115 32 6.87369e+06 517032 531479. 1839.03 1.81 0.167447 0.149588 24610 126494 -1 2620 20 1976 3271 270327 62367 0 0 270327 62367 3271 2538 0 0 12765 11336 0 0 19695 16070 0 0 3271 2672 0 0 117020 14928 0 0 114305 14823 0 0 3271 0 0 1295 1516 1599 11763 0 0 4.132 4.132 -156.806 -4.132 0 0 648988. 2245.63 0.29 0.11 0.13 -1 -1 0.29 0.0316909 0.0283111 155 62 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 8.88 vpr 62.94 MiB 0.03 7048 -1 -1 1 0.04 -1 -1 30292 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64452 32 32 399 315 1 198 103 17 17 289 -1 unnamed_device 24.2 MiB 3.78 1153 62.9 MiB 0.18 0.00 3.33779 -116.467 -3.33779 3.33779 1.01 0.000594302 0.00054618 0.0523539 0.0479274 28 2896 28 6.87369e+06 544980 531479. 1839.03 1.65 0.146391 0.129538 24610 126494 -1 2500 21 1841 3246 249859 58044 0 0 249859 58044 3246 2294 0 0 12551 11266 0 0 19777 16140 0 0 3246 2512 0 0 105947 12956 0 0 105092 12876 0 0 3246 0 0 1405 2071 1758 13024 0 0 4.2053 4.2053 -149.97 -4.2053 0 0 648988. 2245.63 0.27 0.10 0.11 -1 -1 0.27 0.0297947 0.0262437 152 62 60 30 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 7.21 vpr 62.26 MiB 0.02 6844 -1 -1 1 0.03 -1 -1 30316 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63752 30 32 296 244 1 160 81 17 17 289 -1 unnamed_device 23.7 MiB 3.03 732 62.3 MiB 0.11 0.00 2.9806 -100.661 -2.9806 2.9806 0.95 0.000421663 0.000386517 0.0383581 0.0351785 32 2159 28 6.87369e+06 265503 586450. 2029.24 0.98 0.105987 0.0936434 25474 144626 -1 1763 18 1223 1956 157743 37497 0 0 157743 37497 1956 1662 0 0 7864 6972 0 0 12429 9934 0 0 1956 1729 0 0 72319 8111 0 0 61219 9089 0 0 1956 0 0 733 811 720 6054 0 0 3.19661 3.19661 -123.766 -3.19661 0 0 744469. 2576.02 0.32 0.07 0.13 -1 -1 0.32 0.0264759 0.0240481 110 29 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 8.88 vpr 63.04 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 30252 -1 -1 23 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64556 30 32 383 303 1 192 85 17 17 289 -1 unnamed_device 24.3 MiB 3.61 1002 63.0 MiB 0.13 0.00 3.36289 -115.283 -3.36289 3.36289 1.03 0.000598284 0.000548045 0.0477054 0.0437867 34 2469 24 6.87369e+06 321398 618332. 2139.56 1.78 0.204009 0.181021 25762 151098 -1 2014 23 1895 2945 223118 49803 0 0 223118 49803 2945 2435 0 0 10950 9650 0 0 16435 13175 0 0 2945 2507 0 0 101291 9957 0 0 88552 12079 0 0 2945 0 0 1050 1251 1386 9434 0 0 3.7763 3.7763 -142.364 -3.7763 0 0 787024. 2723.27 0.33 0.10 0.14 -1 -1 0.33 0.0366903 0.0324588 140 58 60 30 60 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 12.17 vpr 63.52 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 30764 -1 -1 43 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65040 32 32 469 381 1 202 107 17 17 289 -1 unnamed_device 24.8 MiB 6.90 1147 63.5 MiB 0.21 0.00 3.55109 -125.705 -3.55109 3.55109 0.82 0.00066902 0.000615399 0.0569021 0.0521688 32 3272 40 6.87369e+06 600875 586450. 2029.24 1.91 0.195599 0.173568 25474 144626 -1 2607 23 2083 3417 322800 70475 0 0 322800 70475 3417 2285 0 0 13670 11956 0 0 23475 17855 0 0 3417 2504 0 0 142085 17368 0 0 136736 18507 0 0 3417 0 0 1334 2217 2422 15800 0 0 3.9064 3.9064 -151.442 -3.9064 0 0 744469. 2576.02 0.32 0.14 0.15 -1 -1 0.32 0.0458585 0.0412374 158 106 0 0 128 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 6.24 vpr 63.04 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 30268 -1 -1 33 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64556 31 32 425 341 1 197 96 17 17 289 -1 unnamed_device 24.2 MiB 1.39 973 63.0 MiB 0.12 0.00 3.40379 -115.856 -3.40379 3.40379 1.04 0.000629026 0.000576119 0.0346355 0.0316643 28 2882 34 6.87369e+06 461137 531479. 1839.03 1.48 0.133218 0.11779 24610 126494 -1 2346 24 2255 3777 311097 77041 0 0 311097 77041 3777 2805 0 0 14428 12733 0 0 21908 17615 0 0 3777 2963 0 0 131501 20326 0 0 135706 20599 0 0 3777 0 0 1522 2505 2044 14664 0 0 4.121 4.121 -158.242 -4.121 0 0 648988. 2245.63 0.27 0.13 0.12 -1 -1 0.27 0.0366712 0.0325545 149 79 31 31 93 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 7.55 vpr 63.10 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 30312 -1 -1 32 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64612 30 32 404 328 1 188 94 17 17 289 -1 unnamed_device 24.3 MiB 2.81 860 63.1 MiB 0.15 0.00 2.82225 -92.1598 -2.82225 2.82225 1.01 0.000592428 0.000526545 0.0506388 0.0461067 30 2375 34 6.87369e+06 447163 556674. 1926.21 1.37 0.169951 0.151101 25186 138497 -1 1634 21 1344 2118 114727 29487 0 0 114727 29487 2118 1487 0 0 7457 6242 0 0 9761 8127 0 0 2118 1554 0 0 49819 5569 0 0 43454 6508 0 0 2118 0 0 774 830 783 6767 0 0 2.78466 2.78466 -109.054 -2.78466 0 0 706193. 2443.58 0.30 0.06 0.14 -1 -1 0.30 0.0275274 0.0242541 141 83 26 26 90 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 11.37 vpr 63.02 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 30528 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64532 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 24.4 MiB 5.96 1083 63.0 MiB 0.15 0.00 3.32249 -123.566 -3.32249 3.32249 1.07 0.000647598 0.000595174 0.0541375 0.049839 34 3024 22 6.87369e+06 293451 618332. 2139.56 2.02 0.196558 0.174478 25762 151098 -1 2452 23 2239 3912 309684 70339 0 0 309684 70339 3912 3033 0 0 15071 13658 0 0 23293 18601 0 0 3912 3129 0 0 138359 14449 0 0 125137 17469 0 0 3912 0 0 1673 1910 1807 13350 0 0 3.9767 3.9767 -156.004 -3.9767 0 0 787024. 2723.27 0.21 0.11 0.09 -1 -1 0.21 0.0320728 0.0283389 147 58 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 7.55 vpr 63.17 MiB 0.03 7080 -1 -1 1 0.04 -1 -1 30312 -1 -1 36 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64684 29 32 387 316 1 181 97 17 17 289 -1 unnamed_device 24.3 MiB 2.75 933 63.2 MiB 0.16 0.00 2.83325 -92.5557 -2.83325 2.83325 1.04 0.000592974 0.000543404 0.0510361 0.0466784 32 2473 43 6.87369e+06 503058 586450. 2029.24 1.31 0.178076 0.158488 25474 144626 -1 2046 22 1760 2887 234637 54273 0 0 234637 54273 2887 2159 0 0 11226 9912 0 0 19258 14785 0 0 2887 2317 0 0 101609 12413 0 0 96770 12687 0 0 2887 0 0 1127 1285 1372 9813 0 0 2.87996 2.87996 -109.877 -2.87996 0 0 744469. 2576.02 0.32 0.10 0.14 -1 -1 0.32 0.0324366 0.0287819 138 81 26 26 85 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 5.76 vpr 62.23 MiB 0.02 6772 -1 -1 1 0.03 -1 -1 30176 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63724 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 23.7 MiB 0.74 884 62.2 MiB 0.11 0.00 2.9586 -110.092 -2.9586 2.9586 1.03 0.000475371 0.000436442 0.0382402 0.0351465 34 2302 22 6.87369e+06 223581 618332. 2139.56 1.69 0.146382 0.127731 25762 151098 -1 1922 22 1461 2246 181541 40157 0 0 181541 40157 2246 1801 0 0 8547 7502 0 0 12981 10350 0 0 2246 1848 0 0 84681 8376 0 0 70840 10280 0 0 2246 0 0 785 775 707 6231 0 0 2.94001 2.94001 -127.314 -2.94001 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0232094 0.020379 114 -1 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 10.83 vpr 63.15 MiB 0.02 6968 -1 -1 1 0.04 -1 -1 30208 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64664 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 24.2 MiB 5.62 1193 63.1 MiB 0.11 0.00 3.41479 -123.887 -3.41479 3.41479 0.91 0.000605905 0.000553715 0.0330679 0.0301643 32 3268 50 6.87369e+06 517032 586450. 2029.24 1.81 0.165584 0.146623 25474 144626 -1 2524 23 2130 3377 321871 71455 0 0 321871 71455 3377 2656 0 0 13702 12314 0 0 25203 19400 0 0 3377 2916 0 0 139947 17291 0 0 136265 16878 0 0 3377 0 0 1247 1556 1466 11224 0 0 3.9957 3.9957 -154.711 -3.9957 0 0 744469. 2576.02 0.32 0.12 0.15 -1 -1 0.32 0.0338356 0.0300691 155 62 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 10.34 vpr 63.22 MiB 0.03 6972 -1 -1 1 0.04 -1 -1 30464 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64736 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 24.4 MiB 5.03 1115 63.2 MiB 0.14 0.00 3.36169 -124.074 -3.36169 3.36169 1.01 0.000625606 0.000573972 0.0498119 0.0455492 34 2858 20 6.87369e+06 293451 618332. 2139.56 2.02 0.212526 0.187758 25762 151098 -1 2397 19 1898 3032 246994 53508 0 0 246994 53508 3032 2414 0 0 11279 9835 0 0 16548 13167 0 0 3032 2570 0 0 107666 12998 0 0 105437 12524 0 0 3032 0 0 1134 1547 1340 10019 0 0 4.101 4.101 -158.324 -4.101 0 0 787024. 2723.27 0.26 0.10 0.09 -1 -1 0.26 0.0297469 0.026541 147 62 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 8.83 vpr 62.66 MiB 0.02 6808 -1 -1 1 0.04 -1 -1 30244 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64160 32 32 315 267 1 158 94 17 17 289 -1 unnamed_device 23.8 MiB 4.10 882 62.7 MiB 0.13 0.00 2.71895 -96.9431 -2.71895 2.71895 1.01 0.000499546 0.000458913 0.0417757 0.0381606 34 2056 23 6.87369e+06 419215 618332. 2139.56 1.28 0.120687 0.10586 25762 151098 -1 1783 22 1305 2120 155006 36895 0 0 155006 36895 2120 1470 0 0 8441 7555 0 0 13436 10769 0 0 2120 1596 0 0 65381 7709 0 0 63508 7796 0 0 2120 0 0 815 976 1127 8096 0 0 2.94296 2.94296 -112.516 -2.94296 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0257552 0.0226276 112 47 32 32 54 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 5.43 vpr 62.24 MiB 0.02 6804 -1 -1 1 0.03 -1 -1 29984 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63732 31 32 275 220 1 164 80 17 17 289 -1 unnamed_device 23.7 MiB 0.85 869 62.2 MiB 0.11 0.00 2.9916 -107.452 -2.9916 2.9916 1.07 0.000483379 0.000443839 0.0375109 0.0344964 32 2406 28 6.87369e+06 237555 586450. 2029.24 1.11 0.111118 0.0982187 25474 144626 -1 1925 20 1411 2237 167635 38525 0 0 167635 38525 2237 1773 0 0 8458 7595 0 0 13603 10481 0 0 2237 1877 0 0 70600 8501 0 0 70500 8298 0 0 2237 0 0 826 967 1055 7179 0 0 3.17991 3.17991 -126.591 -3.17991 0 0 744469. 2576.02 0.31 0.07 0.15 -1 -1 0.31 0.0226898 0.0200404 112 -1 93 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 8.32 vpr 63.06 MiB 0.03 7072 -1 -1 1 0.03 -1 -1 30160 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64576 32 32 381 303 1 194 99 17 17 289 -1 unnamed_device 24.3 MiB 3.91 987 63.1 MiB 0.18 0.00 3.41299 -116.064 -3.41299 3.41299 0.97 0.000603206 0.000552216 0.0557026 0.051026 32 2668 23 6.87369e+06 489084 586450. 2029.24 1.15 0.141271 0.125444 25474 144626 -1 2179 22 1846 2785 224510 51503 0 0 224510 51503 2785 2158 0 0 10834 9491 0 0 17650 13825 0 0 2785 2353 0 0 94600 12224 0 0 95856 11452 0 0 2785 0 0 939 1140 1259 9305 0 0 3.7376 3.7376 -137.794 -3.7376 0 0 744469. 2576.02 0.31 0.09 0.14 -1 -1 0.31 0.0282904 0.024856 144 56 60 32 58 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 6.13 vpr 63.08 MiB 0.03 7044 -1 -1 1 0.04 -1 -1 30140 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64592 32 32 406 330 1 191 97 17 17 289 -1 unnamed_device 24.3 MiB 1.51 922 63.1 MiB 0.09 0.00 3.40379 -111.965 -3.40379 3.40379 1.05 0.000634552 0.000578255 0.032352 0.0295544 30 2695 26 6.87369e+06 461137 556674. 1926.21 1.30 0.126678 0.112106 25186 138497 -1 1865 24 1505 2539 136711 37979 0 0 136711 37979 2539 1804 0 0 8888 7648 0 0 12072 9871 0 0 2539 1916 0 0 52682 8490 0 0 57991 8250 0 0 2539 0 0 1034 1532 1090 9269 0 0 3.99726 3.99726 -134.688 -3.99726 0 0 706193. 2443.58 0.24 0.08 0.13 -1 -1 0.24 0.0318874 0.0281176 142 81 28 28 88 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 6.65 vpr 63.07 MiB 0.02 6996 -1 -1 1 0.03 -1 -1 30364 -1 -1 41 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64584 32 32 399 285 1 232 105 17 17 289 -1 unnamed_device 24.4 MiB 0.81 1232 63.1 MiB 0.13 0.00 3.96154 -133.42 -3.96154 3.96154 1.04 0.000632518 0.000581123 0.035022 0.0321306 34 3297 26 6.87369e+06 572927 618332. 2139.56 2.87 0.231847 0.207056 25762 151098 -1 2543 23 2148 3370 256177 57885 0 0 256177 57885 3370 2654 0 0 12802 11160 0 0 19738 15542 0 0 3370 2915 0 0 117965 11486 0 0 98932 14128 0 0 3370 0 0 1222 1531 1489 11276 0 0 4.77885 4.77885 -163.753 -4.77885 0 0 787024. 2723.27 0.26 0.08 0.09 -1 -1 0.26 0.0217127 0.0192101 183 -1 156 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 8.61 vpr 62.96 MiB 0.03 7032 -1 -1 1 0.03 -1 -1 30400 -1 -1 32 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64472 30 32 371 295 1 188 94 17 17 289 -1 unnamed_device 24.2 MiB 3.56 974 63.0 MiB 0.14 0.00 2.83325 -97.4951 -2.83325 2.83325 0.97 0.000603592 0.000553732 0.0438184 0.040014 34 2413 24 6.87369e+06 447163 618332. 2139.56 1.84 0.180683 0.158936 25762 151098 -1 1960 24 1962 3201 208869 51147 0 0 208869 51147 3201 2324 0 0 12474 10861 0 0 18651 14900 0 0 3201 2527 0 0 87025 10209 0 0 84317 10326 0 0 3201 0 0 1239 1488 1531 11035 0 0 2.96796 2.96796 -115.376 -2.96796 0 0 787024. 2723.27 0.21 0.06 0.09 -1 -1 0.21 0.0185394 0.0163775 141 47 60 30 56 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 5.34 vpr 62.23 MiB 0.03 6792 -1 -1 1 0.03 -1 -1 30472 -1 -1 20 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63720 27 32 269 226 1 145 79 17 17 289 -1 unnamed_device 23.8 MiB 0.98 655 62.2 MiB 0.09 0.00 3.0601 -89.8127 -3.0601 3.0601 1.04 0.000429711 0.000394261 0.0350854 0.0322318 32 1821 35 6.87369e+06 279477 586450. 2029.24 1.08 0.10968 0.0968214 25474 144626 -1 1456 16 1053 1489 118147 27198 0 0 118147 27198 1489 1260 0 0 5724 4959 0 0 8990 7140 0 0 1489 1312 0 0 51826 5948 0 0 48629 6579 0 0 1489 0 0 436 484 476 3975 0 0 3.11961 3.11961 -103.84 -3.11961 0 0 744469. 2576.02 0.23 0.06 0.14 -1 -1 0.23 0.019415 0.0172384 102 26 54 27 27 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 9.67 vpr 63.56 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 30536 -1 -1 42 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 65084 32 32 493 378 1 233 106 17 17 289 -1 unnamed_device 24.7 MiB 3.48 1373 63.6 MiB 0.15 0.00 3.39279 -120.472 -3.39279 3.39279 1.07 0.000804267 0.000737517 0.0445302 0.0407416 28 4188 36 6.87369e+06 586901 531479. 1839.03 2.58 0.160965 0.142665 24610 126494 -1 3322 23 2466 4318 413025 88493 0 0 413025 88493 4318 3266 0 0 16729 15044 0 0 25690 20750 0 0 4318 3525 0 0 188684 21686 0 0 173286 24222 0 0 4318 0 0 1852 2257 2437 16220 0 0 4.1133 4.1133 -155.524 -4.1133 0 0 648988. 2245.63 0.29 0.16 0.11 -1 -1 0.29 0.0474395 0.0419601 184 85 62 31 95 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 9.33 vpr 63.15 MiB 0.03 7212 -1 -1 1 0.04 -1 -1 30528 -1 -1 23 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64668 31 32 455 371 1 199 86 17 17 289 -1 unnamed_device 24.3 MiB 4.27 1041 63.2 MiB 0.12 0.00 3.97274 -128.634 -3.97274 3.97274 0.81 0.00038968 0.000359604 0.0448818 0.0413632 34 2691 24 6.87369e+06 321398 618332. 2139.56 1.83 0.207691 0.182513 25762 151098 -1 2188 20 1609 2479 180395 43130 0 0 180395 43130 2479 2036 0 0 9658 8636 0 0 14480 11775 0 0 2479 2079 0 0 73014 10014 0 0 78285 8590 0 0 2479 0 0 870 1001 1134 7930 0 0 4.43935 4.43935 -158.015 -4.43935 0 0 787024. 2723.27 0.34 0.08 0.16 -1 -1 0.34 0.0298979 0.0264311 144 105 0 0 124 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 9.36 vpr 62.39 MiB 0.02 6864 -1 -1 1 0.03 -1 -1 30400 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63884 32 32 355 304 1 162 80 17 17 289 -1 unnamed_device 23.8 MiB 4.39 877 62.4 MiB 0.13 0.00 3.8283 -108.62 -3.8283 3.8283 0.94 0.000550729 0.000491954 0.048607 0.0443124 34 2326 24 6.87369e+06 223581 618332. 2139.56 1.64 0.166929 0.145585 25762 151098 -1 1869 15 790 1199 103159 23776 0 0 103159 23776 1199 958 0 0 4762 4099 0 0 7288 6002 0 0 1199 976 0 0 44959 5921 0 0 43752 5820 0 0 1199 0 0 409 393 321 3212 0 0 3.18321 3.18321 -123.29 -3.18321 0 0 787024. 2723.27 0.32 0.05 0.15 -1 -1 0.32 0.0194472 0.0172702 107 86 0 0 89 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 10.00 vpr 63.13 MiB 0.02 6892 -1 -1 1 0.04 -1 -1 30388 -1 -1 34 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64644 32 32 364 282 1 196 98 17 17 289 -1 unnamed_device 24.3 MiB 1.20 1109 63.1 MiB 0.12 0.00 3.30669 -118.161 -3.30669 3.30669 1.02 0.00053428 0.000487923 0.0366097 0.0333708 28 2976 27 6.87369e+06 475111 531479. 1839.03 5.38 0.252844 0.222608 24610 126494 -1 2640 23 1870 2709 255501 56820 0 0 255501 56820 2709 2338 0 0 10552 9285 0 0 16338 13167 0 0 2709 2410 0 0 109992 15356 0 0 113201 14264 0 0 2709 0 0 839 884 908 7374 0 0 4.0177 4.0177 -151.914 -4.0177 0 0 648988. 2245.63 0.28 0.10 0.13 -1 -1 0.28 0.0295671 0.025976 147 31 90 30 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 7.45 vpr 63.21 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 30508 -1 -1 40 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64724 31 32 443 336 1 224 103 17 17 289 -1 unnamed_device 24.4 MiB 2.69 1157 63.2 MiB 0.18 0.00 3.42399 -118.311 -3.42399 3.42399 1.05 0.000674884 0.000621003 0.0589512 0.054288 32 3071 27 6.87369e+06 558954 586450. 2029.24 1.20 0.165336 0.147204 25474 144626 -1 2470 21 1849 2707 206906 48245 0 0 206906 48245 2707 2064 0 0 10638 9178 0 0 17222 13555 0 0 2707 2217 0 0 86652 10900 0 0 86980 10331 0 0 2707 0 0 858 1179 1356 9486 0 0 3.9426 3.9426 -145.53 -3.9426 0 0 744469. 2576.02 0.30 0.09 0.14 -1 -1 0.30 0.0308735 0.0271078 176 50 87 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 6.59 vpr 63.11 MiB 0.03 7016 -1 -1 1 0.04 -1 -1 30408 -1 -1 36 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64624 30 32 373 297 1 188 98 17 17 289 -1 unnamed_device 24.3 MiB 2.10 1022 63.1 MiB 0.16 0.00 2.78925 -94.8725 -2.78925 2.78925 0.77 0.000547857 0.000500851 0.0492395 0.0452297 28 2707 22 6.87369e+06 503058 531479. 1839.03 1.44 0.142127 0.127105 24610 126494 -1 2329 22 1659 2889 234553 52974 0 0 234553 52974 2889 2187 0 0 10826 9569 0 0 16396 13099 0 0 2889 2312 0 0 99041 13573 0 0 102512 12234 0 0 2889 0 0 1230 1612 1787 11454 0 0 3.04356 3.04356 -118.271 -3.04356 0 0 648988. 2245.63 0.27 0.09 0.13 -1 -1 0.27 0.0278578 0.0246694 144 50 58 30 58 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 9.12 vpr 63.19 MiB 0.03 6968 -1 -1 1 0.04 -1 -1 30356 -1 -1 46 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64704 32 32 407 319 1 202 110 17 17 289 -1 unnamed_device 24.2 MiB 3.41 986 63.2 MiB 0.08 0.00 3.32249 -113.143 -3.32249 3.32249 0.91 0.000364799 0.000336981 0.0209214 0.0192143 28 3000 48 6.87369e+06 642796 531479. 1839.03 2.60 0.135759 0.11958 24610 126494 -1 2465 24 2103 3483 306443 66586 0 0 306443 66586 3483 2678 0 0 13130 11360 0 0 20104 16004 0 0 3483 2799 0 0 138611 15871 0 0 127632 17874 0 0 3483 0 0 1380 1876 1836 12863 0 0 4.1713 4.1713 -154.204 -4.1713 0 0 648988. 2245.63 0.18 0.07 0.11 -1 -1 0.18 0.0203368 0.017939 160 61 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 8.20 vpr 63.03 MiB 0.03 6952 -1 -1 1 0.04 -1 -1 30332 -1 -1 42 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64544 32 32 405 318 1 201 106 17 17 289 -1 unnamed_device 24.3 MiB 3.57 1072 63.0 MiB 0.14 0.00 2.89925 -105.07 -2.89925 2.89925 1.04 0.000532326 0.000486278 0.0450729 0.0410999 32 2888 28 6.87369e+06 586901 586450. 2029.24 1.15 0.143827 0.126927 25474 144626 -1 2409 23 1820 2807 224871 50723 0 0 224871 50723 2807 2104 0 0 10814 9293 0 0 17518 13411 0 0 2807 2347 0 0 94113 12257 0 0 96812 11311 0 0 2807 0 0 987 1305 1197 9100 0 0 3.11526 3.11526 -128.388 -3.11526 0 0 744469. 2576.02 0.31 0.10 0.15 -1 -1 0.31 0.0324019 0.0285897 157 61 63 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 7.13 vpr 62.43 MiB 0.03 6676 -1 -1 1 0.03 -1 -1 30288 -1 -1 19 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63928 29 32 287 238 1 155 80 17 17 289 -1 unnamed_device 23.9 MiB 2.24 752 62.4 MiB 0.11 0.00 2.9256 -97.8367 -2.9256 2.9256 1.05 0.000463864 0.000426383 0.039621 0.0364176 34 1754 21 6.87369e+06 265503 618332. 2139.56 1.56 0.142751 0.124382 25762 151098 -1 1521 20 1178 1706 117844 27063 0 0 117844 27063 1706 1385 0 0 6248 5168 0 0 8660 6869 0 0 1706 1523 0 0 48089 6673 0 0 51435 5445 0 0 1706 0 0 528 608 588 4486 0 0 2.98526 2.98526 -113.844 -2.98526 0 0 787024. 2723.27 0.33 0.05 0.16 -1 -1 0.33 0.0161627 0.0142807 107 28 58 29 29 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 8.31 vpr 62.70 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 29924 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64208 32 32 334 290 1 156 81 17 17 289 -1 unnamed_device 23.9 MiB 3.15 909 62.7 MiB 0.10 0.00 3.34714 -99.6011 -3.34714 3.34714 1.07 0.000514901 0.00047228 0.0362054 0.0332258 34 1902 24 6.87369e+06 237555 618332. 2139.56 1.64 0.164368 0.144644 25762 151098 -1 1753 22 913 1394 107883 24572 0 0 107883 24572 1394 1085 0 0 5387 4594 0 0 8118 6375 0 0 1394 1159 0 0 45577 5772 0 0 46013 5587 0 0 1394 0 0 481 413 507 3883 0 0 2.9169 2.9169 -111.845 -2.9169 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0257356 0.0226455 102 79 0 0 82 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 7.61 vpr 62.93 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 30232 -1 -1 39 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64444 31 32 365 281 1 197 102 17 17 289 -1 unnamed_device 24.3 MiB 2.28 1154 62.9 MiB 0.17 0.00 3.31149 -115.219 -3.31149 3.31149 1.05 0.000587628 0.000539619 0.0518286 0.0476157 28 2793 42 6.87369e+06 544980 531479. 1839.03 1.91 0.171281 0.152948 24610 126494 -1 2497 22 1967 3296 282852 60031 0 0 282852 60031 3296 2354 0 0 12082 10500 0 0 18326 14297 0 0 3296 2591 0 0 121581 15426 0 0 124271 14863 0 0 3296 0 0 1329 1913 1826 13004 0 0 3.8347 3.8347 -143.905 -3.8347 0 0 648988. 2245.63 0.26 0.11 0.10 -1 -1 0.26 0.0328651 0.0292543 152 29 93 31 31 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 8.46 vpr 62.40 MiB 0.02 6876 -1 -1 1 0.03 -1 -1 30212 -1 -1 32 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63896 29 32 297 254 1 148 93 17 17 289 -1 unnamed_device 23.7 MiB 4.06 774 62.4 MiB 0.11 0.00 2.76725 -88.0741 -2.76725 2.76725 1.07 0.000349996 0.000326561 0.0352221 0.0323351 26 2066 25 6.87369e+06 447163 503264. 1741.40 1.17 0.105171 0.093522 24322 120374 -1 1793 22 1323 2188 186510 42878 0 0 186510 42878 2188 1578 0 0 8601 7433 0 0 14330 11212 0 0 2188 1698 0 0 79438 10557 0 0 79765 10400 0 0 2188 0 0 865 1224 1277 8706 0 0 2.94126 2.94126 -108.965 -2.94126 0 0 618332. 2139.56 0.24 0.09 0.10 -1 -1 0.24 0.0292805 0.026197 108 48 29 29 52 26 -fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 8.18 vpr 62.38 MiB 0.02 6752 -1 -1 1 0.03 -1 -1 30304 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63880 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 23.8 MiB 4.00 917 62.4 MiB 0.07 0.00 2.9586 -109.476 -2.9586 2.9586 0.68 0.000295724 0.000272212 0.0249472 0.0229986 34 2538 23 6.87369e+06 223581 618332. 2139.56 1.33 0.118064 0.103466 25762 151098 -1 2036 23 1628 2656 230141 51112 0 0 230141 51112 2656 2194 0 0 10135 9037 0 0 15685 12307 0 0 2656 2316 0 0 100215 13102 0 0 98794 12156 0 0 2656 0 0 1028 1171 1230 8597 0 0 3.23291 3.23291 -130.098 -3.23291 0 0 787024. 2723.27 0.22 0.06 0.12 -1 -1 0.22 0.0167291 0.0146936 114 31 64 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 8.18 vpr 62.91 MiB 0.03 7048 -1 -1 1 0.04 -1 -1 30304 -1 -1 35 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64416 31 32 387 307 1 193 98 17 17 289 -1 unnamed_device 24.1 MiB 3.23 969 62.9 MiB 0.14 0.00 2.88345 -102.538 -2.88345 2.88345 1.05 0.000626249 0.00057677 0.0479744 0.0439565 34 2289 21 6.87369e+06 489084 618332. 2139.56 1.42 0.154908 0.13701 25762 151098 -1 1920 23 1907 2875 191817 46054 0 0 191817 46054 2875 2099 0 0 10975 9360 0 0 17006 13234 0 0 2875 2336 0 0 80909 9428 0 0 77177 9597 0 0 2875 0 0 968 1256 1314 9407 0 0 3.06646 3.06646 -118.974 -3.06646 0 0 787024. 2723.27 0.34 0.09 0.16 -1 -1 0.34 0.0341664 0.0302656 146 60 58 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 8.10 vpr 62.43 MiB 0.02 6916 -1 -1 1 0.03 -1 -1 30188 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63932 31 32 308 262 1 154 79 17 17 289 -1 unnamed_device 23.9 MiB 3.62 875 62.4 MiB 0.08 0.00 2.63557 -93.8447 -2.63557 2.63557 1.06 0.000523664 0.000481991 0.02724 0.0250046 32 2219 24 6.87369e+06 223581 586450. 2029.24 1.06 0.0982753 0.086715 25474 144626 -1 1908 19 1237 1996 181550 39755 0 0 181550 39755 1996 1564 0 0 7927 7195 0 0 13197 10414 0 0 1996 1618 0 0 79824 9374 0 0 76610 9590 0 0 1996 0 0 759 843 881 6267 0 0 3.07461 3.07461 -118.827 -3.07461 0 0 744469. 2576.02 0.30 0.08 0.15 -1 -1 0.30 0.0236734 0.0209016 103 49 31 31 53 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 7.71 vpr 62.90 MiB 0.03 7000 -1 -1 1 0.04 -1 -1 30264 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64412 32 32 383 307 1 190 101 17 17 289 -1 unnamed_device 24.1 MiB 3.70 1006 62.9 MiB 0.09 0.00 2.77825 -97.8509 -2.77825 2.77825 0.67 0.000346069 0.000315611 0.0229647 0.0207692 26 2627 26 6.87369e+06 517032 503264. 1741.40 1.30 0.124605 0.110492 24322 120374 -1 2347 21 1373 2366 207692 47042 0 0 207692 47042 2366 1629 0 0 8988 7614 0 0 14218 11054 0 0 2366 1804 0 0 92440 12161 0 0 87314 12780 0 0 2366 0 0 993 1722 1663 11242 0 0 3.16356 3.16356 -125.347 -3.16356 0 0 618332. 2139.56 0.26 0.09 0.12 -1 -1 0.26 0.0279497 0.0247155 143 56 52 26 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 9.27 vpr 63.11 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 30344 -1 -1 39 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64624 31 32 422 339 1 196 102 17 17 289 -1 unnamed_device 24.2 MiB 4.30 887 63.1 MiB 0.10 0.00 2.86625 -96.6486 -2.86625 2.86625 1.03 0.00068504 0.000609651 0.0324747 0.0294731 26 2936 27 6.87369e+06 544980 503264. 1741.40 1.59 0.150747 0.133994 24322 120374 -1 2336 28 2389 3616 332807 77400 0 0 332807 77400 3616 2693 0 0 14339 12331 0 0 22951 18373 0 0 3616 2918 0 0 143147 21400 0 0 145138 19685 0 0 3616 0 0 1227 1714 1875 12166 0 0 3.33286 3.33286 -128.598 -3.33286 0 0 618332. 2139.56 0.26 0.13 0.12 -1 -1 0.26 0.0372451 0.0326414 151 88 31 31 92 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 8.31 vpr 62.66 MiB 0.02 6820 -1 -1 1 0.04 -1 -1 30332 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64164 32 32 333 279 1 164 81 17 17 289 -1 unnamed_device 23.9 MiB 3.49 954 62.7 MiB 0.08 0.00 2.62457 -96.4915 -2.62457 2.62457 1.01 0.000326952 0.000296056 0.0288328 0.0265873 34 2291 20 6.87369e+06 237555 618332. 2139.56 1.46 0.146253 0.128844 25762 151098 -1 1948 23 1241 1972 146466 33963 0 0 146466 33963 1972 1642 0 0 7466 6438 0 0 11125 8814 0 0 1972 1688 0 0 63906 7615 0 0 60025 7766 0 0 1972 0 0 731 748 713 5766 0 0 3.18091 3.18091 -119.74 -3.18091 0 0 787024. 2723.27 0.33 0.07 0.14 -1 -1 0.33 0.0265616 0.0233732 110 54 32 32 60 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 8.21 vpr 62.73 MiB 0.02 6856 -1 -1 1 0.04 -1 -1 29992 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64240 32 32 339 283 1 166 80 17 17 289 -1 unnamed_device 23.9 MiB 3.90 941 62.7 MiB 0.11 0.00 2.9366 -107.678 -2.9366 2.9366 0.97 0.000447974 0.000409514 0.0394734 0.0361468 32 2597 23 6.87369e+06 223581 586450. 2029.24 1.03 0.115927 0.102865 25474 144626 -1 2108 23 1523 2486 234465 50746 0 0 234465 50746 2486 2111 0 0 9847 8794 0 0 16735 13005 0 0 2486 2178 0 0 105292 11809 0 0 97619 12849 0 0 2486 0 0 963 1091 973 7889 0 0 3.07926 3.07926 -130.341 -3.07926 0 0 744469. 2576.02 0.30 0.09 0.15 -1 -1 0.30 0.0295099 0.0261963 112 60 32 32 62 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 9.38 vpr 63.02 MiB 0.03 6968 -1 -1 1 0.04 -1 -1 30568 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64536 32 32 407 319 1 202 104 17 17 289 -1 unnamed_device 24.2 MiB 3.63 917 63.0 MiB 0.15 0.00 3.41299 -117.867 -3.41299 3.41299 1.06 0.000625629 0.0005736 0.0475195 0.0435322 34 2538 23 6.87369e+06 558954 618332. 2139.56 2.23 0.219008 0.194761 25762 151098 -1 1996 23 2088 3292 220445 53039 0 0 220445 53039 3292 2351 0 0 12344 10760 0 0 18472 14493 0 0 3292 2559 0 0 89718 11810 0 0 93327 11066 0 0 3292 0 0 1204 1621 1572 12003 0 0 3.9097 3.9097 -144.587 -3.9097 0 0 787024. 2723.27 0.33 0.10 0.15 -1 -1 0.33 0.0321266 0.0284631 157 49 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 7.26 vpr 62.86 MiB 0.02 7100 -1 -1 1 0.03 -1 -1 30436 -1 -1 34 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64368 29 32 367 293 1 185 95 17 17 289 -1 unnamed_device 24.1 MiB 2.77 1018 62.9 MiB 0.12 0.00 2.84425 -93.4612 -2.84425 2.84425 1.06 0.000575816 0.000529164 0.0343012 0.0314963 30 2304 21 6.87369e+06 475111 556674. 1926.21 1.06 0.111388 0.0981658 25186 138497 -1 1859 19 953 1607 100550 22813 0 0 100550 22813 1607 1089 0 0 5731 4707 0 0 7205 6088 0 0 1607 1213 0 0 46127 4178 0 0 38273 5538 0 0 1607 0 0 654 925 989 7107 0 0 2.80196 2.80196 -109.801 -2.80196 0 0 706193. 2443.58 0.31 0.06 0.14 -1 -1 0.31 0.0264647 0.0235078 140 54 56 29 58 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 11.38 vpr 63.30 MiB 0.03 7184 -1 -1 1 0.04 -1 -1 30524 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64824 32 32 469 381 1 202 104 17 17 289 -1 unnamed_device 24.5 MiB 6.73 985 63.3 MiB 0.10 0.00 3.48699 -122.681 -3.48699 3.48699 1.07 0.00039434 0.000363078 0.0327196 0.0300763 30 2447 22 6.87369e+06 558954 556674. 1926.21 1.14 0.127969 0.113536 25186 138497 -1 1999 23 1797 3024 178199 41745 0 0 178199 41745 3024 1950 0 0 10455 8748 0 0 13850 11316 0 0 3024 2186 0 0 82080 7604 0 0 65766 9941 0 0 3024 0 0 1227 1606 1707 11842 0 0 3.5385 3.5385 -143.197 -3.5385 0 0 706193. 2443.58 0.31 0.09 0.13 -1 -1 0.31 0.0344477 0.0302416 157 117 0 0 128 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 5.03 vpr 62.15 MiB 0.02 6776 -1 -1 1 0.03 -1 -1 30232 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63644 31 32 259 212 1 155 79 17 17 289 -1 unnamed_device 23.6 MiB 0.86 795 62.2 MiB 0.09 0.00 2.44612 -88.9453 -2.44612 2.44612 1.03 0.000408887 0.000374666 0.0311926 0.0285349 30 2019 33 6.87369e+06 223581 556674. 1926.21 1.02 0.102418 0.0901315 25186 138497 -1 1642 19 1202 1955 115792 27361 0 0 115792 27361 1955 1472 0 0 6656 5609 0 0 8455 6944 0 0 1955 1521 0 0 46038 6423 0 0 50733 5392 0 0 1955 0 0 753 920 804 6114 0 0 2.88226 2.88226 -114.157 -2.88226 0 0 706193. 2443.58 0.20 0.04 0.12 -1 -1 0.20 0.0128573 0.0113379 104 -1 85 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 6.64 vpr 63.08 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 30244 -1 -1 37 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64596 32 32 418 338 1 194 101 17 17 289 -1 unnamed_device 24.2 MiB 1.81 1136 63.1 MiB 0.17 0.00 3.49609 -119.341 -3.49609 3.49609 0.97 0.000645072 0.000591415 0.0508233 0.0464058 28 2639 23 6.87369e+06 517032 531479. 1839.03 1.52 0.140014 0.123671 24610 126494 -1 2219 23 1829 2503 213498 49574 0 0 213498 49574 2503 2025 0 0 9684 8488 0 0 15288 12472 0 0 2503 2095 0 0 93425 11733 0 0 90095 12761 0 0 2503 0 0 674 694 931 6646 0 0 3.7011 3.7011 -140.789 -3.7011 0 0 648988. 2245.63 0.22 0.06 0.12 -1 -1 0.22 0.0193343 0.0169886 147 89 28 28 92 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 10.50 vpr 62.83 MiB 0.02 6888 -1 -1 1 0.03 -1 -1 29992 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64340 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 23.9 MiB 5.41 908 62.8 MiB 0.10 0.00 2.9898 -110.534 -2.9898 2.9898 1.04 0.000580104 0.000525977 0.0386917 0.0354411 34 2267 23 6.87369e+06 223581 618332. 2139.56 1.68 0.173782 0.152334 25762 151098 -1 1946 20 1455 2090 157938 36357 0 0 157938 36357 2090 1727 0 0 8003 6929 0 0 11858 9646 0 0 2090 1812 0 0 69217 7945 0 0 64680 8298 0 0 2090 0 0 635 634 755 5514 0 0 3.43321 3.43321 -138.59 -3.43321 0 0 787024. 2723.27 0.34 0.08 0.13 -1 -1 0.34 0.0301428 0.0270063 114 93 0 0 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 7.23 vpr 63.07 MiB 0.02 6976 -1 -1 1 0.03 -1 -1 30160 -1 -1 39 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64588 32 32 401 316 1 199 103 17 17 289 -1 unnamed_device 24.2 MiB 2.99 1083 63.1 MiB 0.15 0.00 2.83325 -103.36 -2.83325 2.83325 1.03 0.000577256 0.000529003 0.0481463 0.0441208 28 2500 23 6.87369e+06 544980 531479. 1839.03 1.20 0.136456 0.120962 24610 126494 -1 2225 22 1603 2405 184193 42290 0 0 184193 42290 2405 1752 0 0 9409 8085 0 0 14295 11541 0 0 2405 1903 0 0 80769 9116 0 0 74910 9893 0 0 2405 0 0 802 1155 1275 8909 0 0 3.14356 3.14356 -129.754 -3.14356 0 0 648988. 2245.63 0.25 0.09 0.13 -1 -1 0.25 0.0299139 0.0262309 153 59 61 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 12.73 vpr 63.18 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 30688 -1 -1 47 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64696 32 32 500 382 1 236 111 17 17 289 -1 unnamed_device 24.7 MiB 5.99 1063 63.2 MiB 0.20 0.00 4.00554 -134.214 -4.00554 4.00554 1.08 0.000730812 0.000671985 0.0616423 0.0564937 34 3299 24 6.87369e+06 656770 618332. 2139.56 3.07 0.25659 0.227731 25762 151098 -1 2538 21 2473 3974 289415 68747 0 0 289415 68747 3974 2884 0 0 15188 13020 0 0 22786 18317 0 0 3974 3157 0 0 117746 16632 0 0 125747 14737 0 0 3974 0 0 1501 2709 2413 17463 0 0 4.82285 4.82285 -170.34 -4.82285 0 0 787024. 2723.27 0.32 0.11 0.15 -1 -1 0.32 0.033495 0.0294648 190 81 64 32 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 6.91 vpr 61.91 MiB 0.02 6736 -1 -1 1 0.03 -1 -1 30016 -1 -1 14 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63400 30 32 246 229 1 118 76 17 17 289 -1 unnamed_device 23.4 MiB 2.60 665 61.9 MiB 0.07 0.00 2.42836 -80.5119 -2.42836 2.42836 1.06 0.000401117 0.000368721 0.0285272 0.02627 32 1586 19 6.87369e+06 195634 586450. 2029.24 0.96 0.0806485 0.0711163 25474 144626 -1 1327 19 693 990 81392 18994 0 0 81392 18994 990 858 0 0 3974 3461 0 0 6368 4973 0 0 990 890 0 0 35841 4201 0 0 33229 4611 0 0 990 0 0 297 228 262 2449 0 0 2.02482 2.02482 -87.297 -2.02482 0 0 744469. 2576.02 0.30 0.05 0.14 -1 -1 0.30 0.0177278 0.0155284 72 51 0 0 53 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 5.69 vpr 62.08 MiB 0.02 6864 -1 -1 1 0.03 -1 -1 30252 -1 -1 18 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63572 30 32 296 244 1 158 80 17 17 289 -1 unnamed_device 23.6 MiB 1.24 715 62.1 MiB 0.10 0.00 2.9678 -98.692 -2.9678 2.9678 1.08 0.000446708 0.000409964 0.0364405 0.0333983 32 1870 24 6.87369e+06 251529 586450. 2029.24 1.02 0.107557 0.0952394 25474 144626 -1 1543 22 1313 1861 149922 34508 0 0 149922 34508 1861 1504 0 0 7004 6126 0 0 12502 9443 0 0 1861 1575 0 0 63185 8111 0 0 63509 7749 0 0 1861 0 0 548 624 481 4816 0 0 3.19181 3.19181 -118.744 -3.19181 0 0 744469. 2576.02 0.31 0.07 0.14 -1 -1 0.31 0.0243221 0.0214441 109 29 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 6.75 vpr 62.59 MiB 0.02 6820 -1 -1 1 0.03 -1 -1 29892 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64088 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 23.7 MiB 1.49 958 62.6 MiB 0.12 0.00 2.77395 -105.816 -2.77395 2.77395 1.06 0.000504527 0.000461341 0.0399254 0.0366151 34 2506 22 6.87369e+06 223581 618332. 2139.56 1.86 0.156746 0.137002 25762 151098 -1 2133 20 1543 2722 213170 49043 0 0 213170 49043 2722 2061 0 0 10535 9599 0 0 16358 12980 0 0 2722 2137 0 0 95954 10254 0 0 84879 12012 0 0 2722 0 0 1179 1208 1184 9387 0 0 3.06026 3.06026 -130.682 -3.06026 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.0250375 0.0222458 114 31 64 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 5.81 vpr 62.25 MiB 0.02 6808 -1 -1 1 0.03 -1 -1 30420 -1 -1 37 25 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63748 25 32 251 214 1 139 94 17 17 289 -1 unnamed_device 23.8 MiB 0.97 619 62.3 MiB 0.12 0.00 2.80025 -75.8402 -2.80025 2.80025 1.04 0.000415113 0.000380484 0.0358498 0.0329299 26 1878 26 6.87369e+06 517032 503264. 1741.40 1.52 0.09927 0.0876969 24322 120374 -1 1619 20 1108 1892 172560 39662 0 0 172560 39662 1892 1471 0 0 7592 6698 0 0 12245 9808 0 0 1892 1569 0 0 73673 10307 0 0 75266 9809 0 0 1892 0 0 784 1140 1271 8085 0 0 3.10856 3.10856 -96.0443 -3.10856 0 0 618332. 2139.56 0.26 0.07 0.11 -1 -1 0.26 0.0184496 0.0161193 105 19 50 25 25 25 -fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 8.05 vpr 63.22 MiB 0.03 7076 -1 -1 1 0.04 -1 -1 30492 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64740 32 32 432 346 1 200 85 17 17 289 -1 unnamed_device 24.4 MiB 3.47 1014 63.2 MiB 0.16 0.00 3.26749 -115.812 -3.26749 3.26749 0.98 0.000622593 0.000569912 0.0571184 0.0523707 32 3199 25 6.87369e+06 293451 586450. 2029.24 1.18 0.144022 0.127191 25474 144626 -1 2503 21 1906 3409 286066 67471 0 0 286066 67471 3409 2691 0 0 13538 12316 0 0 23016 18167 0 0 3409 2790 0 0 127317 15392 0 0 115377 16115 0 0 3409 0 0 1503 1849 1519 11910 0 0 3.8404 3.8404 -146.962 -3.8404 0 0 744469. 2576.02 0.31 0.11 0.14 -1 -1 0.31 0.0329255 0.0293472 145 84 32 32 94 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 7.91 vpr 63.23 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 30148 -1 -1 40 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64744 31 32 421 339 1 195 103 17 17 289 -1 unnamed_device 24.4 MiB 3.43 1080 63.2 MiB 0.13 0.00 2.86625 -101.587 -2.86625 2.86625 1.08 0.000724464 0.000658176 0.0396787 0.0362486 28 2584 24 6.87369e+06 558954 531479. 1839.03 1.00 0.122885 0.108922 24610 126494 -1 2275 21 1910 3068 239316 53359 0 0 239316 53359 3068 2244 0 0 11492 9884 0 0 17637 13976 0 0 3068 2453 0 0 103805 12433 0 0 100246 12369 0 0 3068 0 0 1158 1672 1610 10858 0 0 3.10756 3.10756 -125.566 -3.10756 0 0 648988. 2245.63 0.27 0.10 0.12 -1 -1 0.27 0.0295954 0.0260284 151 88 29 29 93 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 7.58 vpr 63.23 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 30764 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64748 32 32 438 350 1 295 93 17 17 289 -1 unnamed_device 24.4 MiB 2.12 1397 63.2 MiB 0.16 0.00 4.08424 -140.969 -4.08424 4.08424 0.97 0.000655192 0.000602055 0.0513005 0.0471462 34 3612 25 6.89349e+06 408721 618332. 2139.56 2.00 0.201874 0.177052 25762 151098 -1 2763 22 2494 3014 217876 49798 0 0 217876 49798 3014 2660 0 0 11050 9093 0 0 16279 12782 0 0 3014 2757 0 0 93965 10795 0 0 90554 11711 0 0 3014 0 0 520 635 647 6264 0 0 4.82045 4.82045 -176.601 -4.82045 0 0 787024. 2723.27 0.31 0.09 0.15 -1 -1 0.31 0.0307516 0.0270535 192 80 32 32 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 7.97 vpr 62.95 MiB 0.03 7088 -1 -1 1 0.04 -1 -1 30436 -1 -1 29 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64464 30 32 409 330 1 262 91 17 17 289 -1 unnamed_device 24.1 MiB 2.02 1213 63.0 MiB 0.17 0.00 4.21067 -131.231 -4.21067 4.21067 1.05 0.000603604 0.000553739 0.051122 0.0468624 36 3094 32 6.89349e+06 408721 648988. 2245.63 2.47 0.219265 0.194013 26050 158493 -1 2540 24 2249 3149 229732 51817 0 0 229732 51817 3149 2504 0 0 11392 9308 0 0 16906 13415 0 0 3149 2608 0 0 95704 12814 0 0 99432 11168 0 0 3149 0 0 900 1009 734 7654 0 0 4.51278 4.51278 -155.101 -4.51278 0 0 828058. 2865.25 0.26 0.06 0.16 -1 -1 0.26 0.0204985 0.0181222 177 78 30 30 89 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 7.97 vpr 62.80 MiB 0.02 7080 -1 -1 1 0.04 -1 -1 30276 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64312 32 32 387 309 1 253 89 17 17 289 -1 unnamed_device 24.2 MiB 2.44 1315 62.8 MiB 0.14 0.00 3.31466 -117.958 -3.31466 3.31466 1.05 0.00059706 0.000548757 0.0467372 0.0430705 36 2887 20 6.89349e+06 352346 648988. 2245.63 2.07 0.208371 0.185811 26050 158493 -1 2500 21 1654 2076 147407 32470 0 0 147407 32470 2076 1768 0 0 7508 5907 0 0 10368 8374 0 0 2076 1870 0 0 67652 6464 0 0 57727 8087 0 0 2076 0 0 422 609 634 4965 0 0 3.6704 3.6704 -136.171 -3.6704 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0293557 0.0260881 167 50 54 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 7.71 vpr 62.60 MiB 0.02 7060 -1 -1 1 0.03 -1 -1 30484 -1 -1 25 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64104 29 32 343 267 1 209 86 17 17 289 -1 unnamed_device 24.0 MiB 2.63 981 62.6 MiB 0.09 0.00 3.65595 -113.669 -3.65595 3.65595 0.96 0.000313964 0.000289512 0.0295341 0.0272738 36 2407 20 6.89349e+06 352346 648988. 2245.63 1.79 0.156095 0.137083 26050 158493 -1 2011 21 1707 2629 185109 41271 0 0 185109 41271 2629 2013 0 0 9457 7729 0 0 14465 11385 0 0 2629 2154 0 0 83758 7943 0 0 72171 10047 0 0 2629 0 0 922 1061 1063 7975 0 0 3.65916 3.65916 -130.3 -3.65916 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0275561 0.0243775 148 25 87 29 29 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 8.62 vpr 62.92 MiB 0.02 6964 -1 -1 1 0.03 -1 -1 30112 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64428 32 32 376 288 1 233 88 17 17 289 -1 unnamed_device 24.1 MiB 2.41 1248 62.9 MiB 0.11 0.00 4.13624 -140.197 -4.13624 4.13624 0.94 0.000363353 0.000324658 0.0338146 0.0311878 36 3574 29 6.89349e+06 338252 648988. 2245.63 2.98 0.195064 0.173728 26050 158493 -1 2966 23 2250 3924 326816 68330 0 0 326816 68330 3924 3001 0 0 13375 10843 0 0 21388 16037 0 0 3924 3118 0 0 141331 18203 0 0 142874 17128 0 0 3924 0 0 1674 1986 1862 13730 0 0 4.54185 4.54185 -169.447 -4.54185 0 0 828058. 2865.25 0.25 0.08 0.12 -1 -1 0.25 0.0192372 0.0169926 163 31 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 7.98 vpr 63.12 MiB 0.03 6840 -1 -1 1 0.04 -1 -1 30260 -1 -1 41 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64636 32 32 402 316 1 257 105 17 17 289 -1 unnamed_device 24.3 MiB 2.35 1445 63.1 MiB 0.18 0.00 3.63759 -120.124 -3.63759 3.63759 0.68 0.000571863 0.000521061 0.0483455 0.0441444 34 3780 23 6.89349e+06 577847 618332. 2139.56 2.54 0.213211 0.188786 25762 151098 -1 2814 20 1756 2861 204817 43739 0 0 204817 43739 2861 2099 0 0 10212 8084 0 0 15293 11683 0 0 2861 2276 0 0 85930 9978 0 0 87660 9619 0 0 2861 0 0 1105 1556 1410 10486 0 0 3.5718 3.5718 -142.876 -3.5718 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0303787 0.0269763 179 61 63 32 63 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 6.97 vpr 62.10 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 30472 -1 -1 21 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63592 27 32 269 226 1 164 80 17 17 289 -1 unnamed_device 23.6 MiB 1.96 764 62.1 MiB 0.09 0.00 3.0242 -88.9126 -3.0242 3.0242 1.13 0.000445518 0.000409509 0.0311541 0.028691 34 1894 21 6.89349e+06 295971 618332. 2139.56 1.68 0.136674 0.119541 25762 151098 -1 1636 19 1295 1882 138721 32145 0 0 138721 32145 1882 1503 0 0 6996 5894 0 0 10726 8564 0 0 1882 1552 0 0 60211 6758 0 0 57024 7874 0 0 1882 0 0 587 705 753 5497 0 0 3.08371 3.08371 -107.763 -3.08371 0 0 787024. 2723.27 0.21 0.04 0.12 -1 -1 0.21 0.0124543 0.0109547 112 26 54 27 27 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 6.03 vpr 62.67 MiB 0.02 6832 -1 -1 1 0.03 -1 -1 30052 -1 -1 35 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64172 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 23.9 MiB 0.82 1065 62.7 MiB 0.14 0.00 2.8004 -95.1457 -2.8004 2.8004 1.06 0.000464042 0.000423266 0.0407039 0.0371199 34 2467 47 6.89349e+06 493284 618332. 2139.56 1.74 0.184829 0.162027 25762 151098 -1 2086 16 1117 1823 119263 27841 0 0 119263 27841 1823 1304 0 0 6847 5539 0 0 10231 8097 0 0 1823 1402 0 0 49133 5821 0 0 49406 5678 0 0 1823 0 0 706 1102 1079 7686 0 0 2.74761 2.74761 -110.377 -2.74761 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0197919 0.0175403 141 -1 115 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 6.93 vpr 62.73 MiB 0.02 6944 -1 -1 1 0.03 -1 -1 29964 -1 -1 21 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64236 31 32 338 292 1 225 84 17 17 289 -1 unnamed_device 24.1 MiB 2.01 1166 62.7 MiB 0.09 0.00 2.93075 -99.672 -2.93075 2.93075 0.97 0.000550425 0.000505812 0.0291629 0.0268123 34 2758 23 6.89349e+06 295971 618332. 2139.56 1.65 0.143883 0.124946 25762 151098 -1 2199 22 1611 1880 145028 32377 0 0 145028 32377 1880 1687 0 0 7078 5830 0 0 10659 8488 0 0 1880 1730 0 0 63684 7115 0 0 59847 7527 0 0 1880 0 0 269 282 274 3243 0 0 3.00261 3.00261 -118.401 -3.00261 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0196715 0.0175047 140 81 0 0 84 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 6.46 vpr 62.49 MiB 0.02 6824 -1 -1 1 0.03 -1 -1 30296 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63992 32 32 314 256 1 193 83 17 17 289 -1 unnamed_device 23.9 MiB 1.84 796 62.5 MiB 0.09 0.00 2.96065 -102.82 -2.96065 2.96065 0.92 0.000508584 0.00046741 0.0295095 0.027185 34 2408 27 6.89349e+06 267783 618332. 2139.56 1.73 0.158765 0.139778 25762 151098 -1 1857 21 1624 2113 130286 33516 0 0 130286 33516 2113 1828 0 0 8039 6752 0 0 11767 9364 0 0 2113 1876 0 0 53291 6990 0 0 52963 6706 0 0 2113 0 0 489 545 419 4544 0 0 3.26786 3.26786 -130.191 -3.26786 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0254816 0.0225611 127 31 64 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 6.38 vpr 62.52 MiB 0.03 6876 -1 -1 1 0.03 -1 -1 30040 -1 -1 21 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64016 30 32 325 273 1 203 83 17 17 289 -1 unnamed_device 23.9 MiB 2.25 1075 62.5 MiB 0.08 0.00 3.35114 -111.344 -3.35114 3.35114 0.74 0.000331003 0.000307154 0.0289813 0.0267792 34 2423 37 6.89349e+06 295971 618332. 2139.56 1.13 0.114144 0.0993629 25762 151098 -1 2119 21 1749 2318 158296 35840 0 0 158296 35840 2318 2026 0 0 8425 6816 0 0 12679 9861 0 0 2318 2129 0 0 65813 7517 0 0 66743 7491 0 0 2318 0 0 569 500 593 5118 0 0 3.59105 3.59105 -134.658 -3.59105 0 0 787024. 2723.27 0.32 0.07 0.15 -1 -1 0.32 0.0246727 0.0217096 135 58 30 30 60 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 7.08 vpr 62.74 MiB 0.02 6876 -1 -1 1 0.03 -1 -1 30352 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64248 32 32 331 280 1 215 84 17 17 289 -1 unnamed_device 23.9 MiB 2.06 1041 62.7 MiB 0.14 0.00 3.0432 -103.308 -3.0432 3.0432 1.03 0.000515942 0.000474519 0.0484983 0.0446083 34 2556 45 6.89349e+06 281877 618332. 2139.56 1.76 0.189141 0.166848 25762 151098 -1 2091 19 1221 1416 107740 24699 0 0 107740 24699 1416 1296 0 0 5414 4391 0 0 7981 6517 0 0 1416 1339 0 0 48588 5265 0 0 42925 5891 0 0 1416 0 0 195 218 164 2399 0 0 3.03551 3.03551 -119.032 -3.03551 0 0 787024. 2723.27 0.24 0.06 0.10 -1 -1 0.24 0.0226093 0.019895 135 57 25 25 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 16.12 vpr 62.89 MiB 0.03 7052 -1 -1 1 0.04 -1 -1 30232 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64404 32 32 386 305 1 239 89 17 17 289 -1 unnamed_device 24.1 MiB 1.51 998 62.9 MiB 0.15 0.00 3.35709 -113.566 -3.35709 3.35709 1.07 0.000637144 0.000586273 0.0556879 0.0510728 38 2969 38 6.89349e+06 352346 678818. 2348.85 11.23 0.353391 0.309861 26626 170182 -1 2224 33 2003 2706 205335 49229 0 0 205335 49229 2706 2303 0 0 9277 7555 0 0 14220 10936 0 0 2706 2344 0 0 85567 13182 0 0 90859 12909 0 0 2706 0 0 703 856 648 6395 0 0 3.6592 3.6592 -131.505 -3.6592 0 0 902133. 3121.57 0.24 0.11 0.12 -1 -1 0.24 0.0381178 0.0332937 161 55 64 32 57 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 7.15 vpr 62.96 MiB 0.02 6844 -1 -1 1 0.04 -1 -1 30316 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64468 32 32 407 319 1 264 92 17 17 289 -1 unnamed_device 24.0 MiB 1.80 1384 63.0 MiB 0.16 0.00 3.99994 -138.165 -3.99994 3.99994 0.93 0.000554615 0.00051094 0.0516476 0.0473529 36 2993 32 6.89349e+06 394628 648988. 2245.63 2.04 0.223906 0.197358 26050 158493 -1 2526 20 2031 2770 185033 42561 0 0 185033 42561 2770 2237 0 0 9917 8008 0 0 14027 11169 0 0 2770 2368 0 0 77447 9795 0 0 78102 8984 0 0 2770 0 0 739 807 815 6875 0 0 4.37725 4.37725 -162.088 -4.37725 0 0 828058. 2865.25 0.34 0.09 0.16 -1 -1 0.34 0.0305274 0.0272032 175 60 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 6.58 vpr 62.23 MiB 0.02 6792 -1 -1 1 0.03 -1 -1 30544 -1 -1 21 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63728 29 32 272 228 1 171 82 17 17 289 -1 unnamed_device 23.8 MiB 1.70 880 62.2 MiB 0.11 0.00 2.86465 -93.7117 -2.86465 2.86465 1.04 0.000452358 0.00041537 0.0364504 0.0335534 34 2048 36 6.89349e+06 295971 618332. 2139.56 1.62 0.150164 0.131344 25762 151098 -1 1808 19 1092 1532 107089 24800 0 0 107089 24800 1532 1225 0 0 5796 4757 0 0 8731 6860 0 0 1532 1448 0 0 47821 4937 0 0 41677 5573 0 0 1532 0 0 440 392 281 3525 0 0 2.97326 2.97326 -108.543 -2.97326 0 0 787024. 2723.27 0.33 0.06 0.15 -1 -1 0.33 0.022325 0.0198944 112 21 58 29 24 24 -fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 8.51 vpr 62.98 MiB 0.03 6972 -1 -1 1 0.03 -1 -1 30372 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64488 32 32 401 315 1 256 89 17 17 289 -1 unnamed_device 24.2 MiB 2.71 1374 63.0 MiB 0.17 0.00 3.54049 -121.753 -3.54049 3.54049 1.03 0.000648555 0.000596352 0.0583899 0.0535108 36 3447 36 6.89349e+06 352346 648988. 2245.63 2.34 0.20711 0.183724 26050 158493 -1 2726 22 2520 4039 281063 63032 0 0 281063 63032 4039 3128 0 0 14166 11676 0 0 20905 16147 0 0 4039 3437 0 0 120898 13846 0 0 117016 14798 0 0 4039 0 0 1519 2024 1953 13787 0 0 3.72525 3.72525 -143.572 -3.72525 0 0 828058. 2865.25 0.34 0.11 0.16 -1 -1 0.34 0.0312231 0.0275193 174 60 64 32 62 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 7.11 vpr 62.93 MiB 0.03 6968 -1 -1 1 0.03 -1 -1 30240 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64436 32 32 383 303 1 236 89 17 17 289 -1 unnamed_device 24.1 MiB 1.56 1265 62.9 MiB 0.16 0.00 2.93865 -106.398 -2.93865 2.93865 1.07 0.000607457 0.000556727 0.0528013 0.0483383 34 2960 22 6.89349e+06 352346 618332. 2139.56 2.00 0.207705 0.18432 25762 151098 -1 2480 22 1907 2353 190983 41916 0 0 190983 41916 2353 2077 0 0 8823 7220 0 0 13011 10448 0 0 2353 2168 0 0 82432 10286 0 0 82011 9717 0 0 2353 0 0 446 463 485 4705 0 0 3.21276 3.21276 -133.982 -3.21276 0 0 787024. 2723.27 0.31 0.09 0.14 -1 -1 0.31 0.0319522 0.0284015 160 54 64 32 56 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 7.69 vpr 62.61 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 30036 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64112 32 32 339 284 1 224 86 17 17 289 -1 unnamed_device 24.0 MiB 2.19 1165 62.6 MiB 0.16 0.00 2.80245 -101.976 -2.80245 2.80245 1.07 0.000529691 0.000485755 0.0494133 0.0455337 34 2848 30 6.89349e+06 310065 618332. 2139.56 2.05 0.207633 0.184161 25762 151098 -1 2362 18 1524 1978 146297 32073 0 0 146297 32073 1978 1724 0 0 6959 5526 0 0 10219 7864 0 0 1978 1782 0 0 60976 8051 0 0 64187 7126 0 0 1978 0 0 454 565 625 4886 0 0 2.87021 2.87021 -121.96 -2.87021 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0228723 0.0202431 139 62 29 29 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 5.32 vpr 61.99 MiB 0.02 6700 -1 -1 1 0.03 -1 -1 30016 -1 -1 15 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63480 30 32 226 208 1 142 77 17 17 289 -1 unnamed_device 23.4 MiB 1.33 704 62.0 MiB 0.05 0.00 2.41926 -78.0532 -2.41926 2.41926 0.99 0.000330074 0.000302188 0.0164537 0.0151025 30 1487 20 6.89349e+06 211408 556674. 1926.21 0.89 0.0603962 0.0526717 25186 138497 -1 1348 19 668 795 47694 11942 0 0 47694 11942 795 697 0 0 2870 2308 0 0 3781 3152 0 0 795 706 0 0 19772 2546 0 0 19681 2533 0 0 795 0 0 127 110 114 1426 0 0 2.09982 2.09982 -88.3596 -2.09982 0 0 706193. 2443.58 0.30 0.04 0.08 -1 -1 0.30 0.0180046 0.0159039 85 29 24 24 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 6.77 vpr 62.66 MiB 0.03 6864 -1 -1 1 0.03 -1 -1 30224 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64168 31 32 335 280 1 217 85 17 17 289 -1 unnamed_device 23.8 MiB 1.72 1106 62.7 MiB 0.11 0.00 3.39499 -118.19 -3.39499 3.39499 0.96 0.000458711 0.000418905 0.0367603 0.0336979 34 2793 47 6.89349e+06 310065 618332. 2139.56 1.80 0.187688 0.164254 25762 151098 -1 2325 21 1582 2029 164573 36360 0 0 164573 36360 2029 1834 0 0 7525 5974 0 0 11703 9143 0 0 2029 1865 0 0 70420 9108 0 0 70867 8436 0 0 2029 0 0 447 478 514 4638 0 0 3.7051 3.7051 -145.423 -3.7051 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0249066 0.0219552 141 55 31 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 5.72 vpr 62.82 MiB 0.02 6920 -1 -1 1 0.04 -1 -1 30000 -1 -1 40 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64324 32 32 366 283 1 228 104 17 17 289 -1 unnamed_device 24.1 MiB 1.12 1228 62.8 MiB 0.11 0.00 3.85123 -132.257 -3.85123 3.85123 0.90 0.000370461 0.000332622 0.0309174 0.0284363 30 2803 23 6.89349e+06 563754 556674. 1926.21 1.31 0.116365 0.102764 25186 138497 -1 2363 20 1730 2414 164934 36240 0 0 164934 36240 2414 1973 0 0 8429 6269 0 0 11084 9148 0 0 2414 2060 0 0 70525 8703 0 0 70068 8087 0 0 2414 0 0 684 712 848 6742 0 0 4.07844 4.07844 -154.811 -4.07844 0 0 706193. 2443.58 0.30 0.08 0.11 -1 -1 0.30 0.0295657 0.0264498 166 31 91 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 6.00 vpr 63.18 MiB 0.03 7112 -1 -1 1 0.04 -1 -1 30384 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64700 32 32 460 375 1 309 95 17 17 289 -1 unnamed_device 24.4 MiB 1.20 1665 63.2 MiB 0.12 0.00 3.45522 -120.822 -3.45522 3.45522 0.68 0.000406064 0.000374123 0.0410792 0.0378138 36 3542 35 6.89349e+06 436909 648988. 2245.63 2.23 0.20536 0.180739 26050 158493 -1 2819 21 2258 2574 169300 39012 0 0 169300 39012 2574 2419 0 0 9430 7447 0 0 13152 10653 0 0 2574 2494 0 0 70055 8089 0 0 71515 7910 0 0 2574 0 0 316 345 368 4235 0 0 3.84896 3.84896 -140.517 -3.84896 0 0 828058. 2865.25 0.22 0.06 0.11 -1 -1 0.22 0.0208754 0.0184622 201 108 0 0 125 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 6.25 vpr 61.79 MiB 0.02 6704 -1 -1 1 0.03 -1 -1 30364 -1 -1 18 26 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63272 26 32 198 186 1 129 76 17 17 289 -1 unnamed_device 23.2 MiB 1.55 619 61.8 MiB 0.06 0.00 2.21891 -63.9921 -2.21891 2.21891 1.02 0.000351761 0.000322441 0.0232269 0.0213403 34 1387 24 6.89349e+06 253689 618332. 2139.56 1.46 0.100011 0.0870978 25762 151098 -1 1243 19 692 896 71263 16186 0 0 71263 16186 896 789 0 0 3444 2910 0 0 5176 4067 0 0 896 809 0 0 30132 3892 0 0 30719 3719 0 0 896 0 0 204 168 241 1975 0 0 2.15012 2.15012 -73.6389 -2.15012 0 0 787024. 2723.27 0.33 0.04 0.13 -1 -1 0.33 0.0141476 0.0124017 77 21 26 26 22 22 -fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 6.72 vpr 62.40 MiB 0.03 6960 -1 -1 1 0.03 -1 -1 29924 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63896 32 32 333 251 1 196 85 17 17 289 -1 unnamed_device 23.7 MiB 1.36 1058 62.4 MiB 0.14 0.00 3.37604 -118.553 -3.37604 3.37604 1.02 0.000515135 0.000473123 0.0464979 0.0426755 34 2633 22 6.89349e+06 295971 618332. 2139.56 1.98 0.200481 0.178417 25762 151098 -1 2238 22 1733 3029 232014 50869 0 0 232014 50869 3029 2564 0 0 11042 9095 0 0 16889 13095 0 0 3029 2650 0 0 104673 10784 0 0 93352 12681 0 0 3029 0 0 1296 1838 1880 11629 0 0 3.52815 3.52815 -137.488 -3.52815 0 0 787024. 2723.27 0.28 0.06 0.16 -1 -1 0.28 0.0181276 0.0159829 141 -1 122 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 4.42 vpr 61.59 MiB 0.02 6612 -1 -1 1 0.04 -1 -1 30172 -1 -1 12 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63068 32 32 199 182 1 122 76 17 17 289 -1 unnamed_device 23.1 MiB 0.39 694 61.6 MiB 0.07 0.00 1.93068 -74.4222 -1.93068 1.93068 0.97 0.000313097 0.000284815 0.0246459 0.0225208 28 1475 24 6.89349e+06 169126 531479. 1839.03 0.91 0.075374 0.0663063 24610 126494 -1 1366 19 657 988 76359 17660 0 0 76359 17660 988 843 0 0 3748 3125 0 0 5490 4497 0 0 988 880 0 0 32489 4255 0 0 32656 4060 0 0 988 0 0 331 312 382 2891 0 0 1.99382 1.99382 -88.3493 -1.99382 0 0 648988. 2245.63 0.28 0.05 0.11 -1 -1 0.28 0.0165824 0.0146773 71 -1 53 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 6.90 vpr 62.82 MiB 0.02 6960 -1 -1 1 0.04 -1 -1 30396 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64324 32 32 376 288 1 233 89 17 17 289 -1 unnamed_device 24.1 MiB 1.61 1003 62.8 MiB 0.12 0.00 3.69075 -124.253 -3.69075 3.69075 1.07 0.000628245 0.00057737 0.0359582 0.0330092 34 2958 24 6.89349e+06 352346 618332. 2139.56 1.83 0.16307 0.143829 25762 151098 -1 2235 22 1950 2694 174623 43156 0 0 174623 43156 2694 2275 0 0 9909 7952 0 0 14623 11565 0 0 2694 2340 0 0 73260 9798 0 0 71443 9226 0 0 2694 0 0 744 724 611 6463 0 0 4.03976 4.03976 -155.594 -4.03976 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0289886 0.0256622 161 21 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 5.70 vpr 62.55 MiB 0.02 6964 -1 -1 1 0.03 -1 -1 30044 -1 -1 36 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64052 32 32 337 253 1 198 100 17 17 289 -1 unnamed_device 23.7 MiB 0.95 1061 62.6 MiB 0.13 0.00 2.7803 -99.7348 -2.7803 2.7803 1.08 0.000583795 0.000537363 0.0357615 0.0328679 32 2597 32 6.89349e+06 507378 586450. 2029.24 1.22 0.134927 0.11997 25474 144626 -1 2112 17 1428 2262 149765 35696 0 0 149765 35696 2262 1610 0 0 8407 7011 0 0 13406 10339 0 0 2262 1740 0 0 64049 7114 0 0 59379 7882 0 0 2262 0 0 834 917 1039 7746 0 0 2.84181 2.84181 -120.742 -2.84181 0 0 744469. 2576.02 0.30 0.07 0.14 -1 -1 0.30 0.0240564 0.0213871 151 -1 124 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 6.80 vpr 62.89 MiB 0.02 7044 -1 -1 1 0.04 -1 -1 30392 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64404 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 24.1 MiB 1.80 1298 62.9 MiB 0.14 0.00 3.69695 -129.07 -3.69695 3.69695 0.75 0.000637336 0.000587328 0.047107 0.043304 34 3730 33 6.89349e+06 366440 618332. 2139.56 1.91 0.168177 0.148298 25762 151098 -1 2800 22 2405 3491 230378 54007 0 0 230378 54007 3491 2859 0 0 12447 10151 0 0 18851 14486 0 0 3491 2947 0 0 94446 12248 0 0 97652 11316 0 0 3491 0 0 1086 1234 983 9490 0 0 4.08516 4.08516 -156.001 -4.08516 0 0 787024. 2723.27 0.32 0.10 0.15 -1 -1 0.32 0.0322786 0.0284461 174 54 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 7.42 vpr 62.09 MiB 0.02 6852 -1 -1 1 0.03 -1 -1 29932 -1 -1 17 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63584 32 32 294 246 1 188 81 17 17 289 -1 unnamed_device 23.6 MiB 2.27 1112 62.1 MiB 0.08 0.00 2.94175 -107.545 -2.94175 2.94175 1.06 0.000512028 0.000450836 0.0251699 0.0230516 34 2618 21 6.89349e+06 239595 618332. 2139.56 1.74 0.143236 0.125385 25762 151098 -1 2268 21 1677 2382 197606 42216 0 0 197606 42216 2382 2075 0 0 8786 6989 0 0 12988 10140 0 0 2382 2203 0 0 84378 10671 0 0 86690 10138 0 0 2382 0 0 705 822 756 6321 0 0 2.99826 2.99826 -129.672 -2.99826 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.023983 0.0212328 118 31 54 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 7.48 vpr 62.24 MiB 0.02 6780 -1 -1 1 0.03 -1 -1 29964 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63732 30 32 296 244 1 182 81 17 17 289 -1 unnamed_device 23.7 MiB 2.07 1031 62.2 MiB 0.12 0.00 3.51049 -117.195 -3.51049 3.51049 0.95 0.000475396 0.000435734 0.0389768 0.0358379 34 2582 35 6.89349e+06 267783 618332. 2139.56 2.05 0.178027 0.156706 25762 151098 -1 2168 20 1542 2348 185227 40069 0 0 185227 40069 2348 1974 0 0 8535 6829 0 0 12989 9914 0 0 2348 2030 0 0 82851 9388 0 0 76156 9934 0 0 2348 0 0 806 749 878 6857 0 0 3.491 3.491 -135.053 -3.491 0 0 787024. 2723.27 0.34 0.08 0.16 -1 -1 0.34 0.0259236 0.0230447 121 29 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 6.90 vpr 62.23 MiB 0.02 6924 -1 -1 1 0.03 -1 -1 30176 -1 -1 21 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63728 28 32 278 232 1 173 81 17 17 289 -1 unnamed_device 23.7 MiB 2.61 978 62.2 MiB 0.11 0.00 3.45729 -108.263 -3.45729 3.45729 1.04 0.000455227 0.000417852 0.0351749 0.0324105 30 2257 24 6.89349e+06 295971 556674. 1926.21 0.97 0.0880225 0.0782041 25186 138497 -1 1898 20 1165 1930 132706 28918 0 0 132706 28918 1930 1541 0 0 6577 5101 0 0 8760 7063 0 0 1930 1577 0 0 57874 6720 0 0 55635 6916 0 0 1930 0 0 765 879 586 6104 0 0 3.5468 3.5468 -126.789 -3.5468 0 0 706193. 2443.58 0.29 0.06 0.13 -1 -1 0.29 0.021376 0.0188978 115 27 56 28 28 28 -fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 6.32 vpr 62.16 MiB 0.02 6576 -1 -1 1 0.03 -1 -1 30164 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63652 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 23.7 MiB 1.25 933 62.2 MiB 0.11 0.00 2.85355 -104.926 -2.85355 2.85355 1.03 0.000433791 0.000397774 0.0403044 0.0369998 34 2204 24 6.89349e+06 225501 618332. 2139.56 1.71 0.175709 0.156143 25762 151098 -1 1858 20 1422 2307 157256 35689 0 0 157256 35689 2307 1919 0 0 8263 6881 0 0 12631 9741 0 0 2307 1994 0 0 64351 7761 0 0 67397 7393 0 0 2307 0 0 885 1096 964 7674 0 0 2.89286 2.89286 -121.96 -2.89286 0 0 787024. 2723.27 0.32 0.07 0.14 -1 -1 0.32 0.0237593 0.0210898 114 -1 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 6.04 vpr 62.27 MiB 0.01 6680 -1 -1 1 0.03 -1 -1 30208 -1 -1 19 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63768 31 32 303 249 1 191 82 17 17 289 -1 unnamed_device 23.7 MiB 1.74 870 62.3 MiB 0.10 0.00 2.93565 -101.776 -2.93565 2.93565 1.07 0.000484341 0.000445205 0.0310855 0.0285762 32 2587 24 6.89349e+06 267783 586450. 2029.24 1.01 0.100578 0.0887651 25474 144626 -1 1963 21 1262 1717 128700 30316 0 0 128700 30316 1717 1507 0 0 6530 5207 0 0 10051 7832 0 0 1717 1567 0 0 59715 6500 0 0 48970 7703 0 0 1717 0 0 455 590 598 4682 0 0 2.98416 2.98416 -121.133 -2.98416 0 0 744469. 2576.02 0.30 0.07 0.13 -1 -1 0.30 0.0242279 0.021387 121 26 61 31 31 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 6.90 vpr 62.67 MiB 0.02 6752 -1 -1 1 0.03 -1 -1 30000 -1 -1 23 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64176 29 32 312 264 1 201 84 17 17 289 -1 unnamed_device 23.7 MiB 1.89 935 62.7 MiB 0.10 0.00 2.91975 -90.5498 -2.91975 2.91975 1.07 0.000521252 0.000480591 0.0277188 0.0255856 34 2248 23 6.89349e+06 324158 618332. 2139.56 1.58 0.143719 0.126009 25762 151098 -1 1792 22 1254 1667 100926 24556 0 0 100926 24556 1667 1399 0 0 6052 4831 0 0 9004 7027 0 0 1667 1473 0 0 44825 4374 0 0 37711 5452 0 0 1667 0 0 413 286 420 3966 0 0 2.75291 2.75291 -105.117 -2.75291 0 0 787024. 2723.27 0.32 0.06 0.13 -1 -1 0.32 0.0234206 0.0205845 130 55 29 29 57 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 8.30 vpr 63.00 MiB 0.03 7100 -1 -1 1 0.04 -1 -1 30340 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64508 32 32 423 310 1 254 91 17 17 289 -1 unnamed_device 24.1 MiB 2.40 1265 63.0 MiB 0.17 0.00 3.73615 -128.74 -3.73615 3.73615 1.01 0.00064582 0.000592617 0.054722 0.0504388 34 3425 47 6.89349e+06 380534 618332. 2139.56 2.39 0.227451 0.202267 25762 151098 -1 2628 21 2039 3212 225976 51963 0 0 225976 51963 3212 2515 0 0 11742 9610 0 0 18707 14259 0 0 3212 2649 0 0 97474 11145 0 0 91629 11785 0 0 3212 0 0 1173 2117 1909 13891 0 0 4.07716 4.07716 -156.607 -4.07716 0 0 787024. 2723.27 0.31 0.09 0.15 -1 -1 0.31 0.0277354 0.0244479 184 26 128 32 27 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 9.27 vpr 62.93 MiB 0.02 7016 -1 -1 1 0.04 -1 -1 30264 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64440 32 32 403 317 1 260 89 17 17 289 -1 unnamed_device 24.1 MiB 2.17 1179 62.9 MiB 0.15 0.00 3.39214 -118.219 -3.39214 3.39214 1.07 0.000583994 0.000536293 0.0490231 0.0449809 34 4018 42 6.89349e+06 352346 618332. 2139.56 3.56 0.265945 0.237555 25762 151098 -1 2814 24 2829 3884 326058 71905 0 0 326058 71905 3884 3226 0 0 14025 11674 0 0 21817 16781 0 0 3884 3313 0 0 139875 19007 0 0 142573 17904 0 0 3884 0 0 1055 1347 1424 10158 0 0 4.25085 4.25085 -154.034 -4.25085 0 0 787024. 2723.27 0.32 0.13 0.16 -1 -1 0.32 0.0379143 0.0336944 173 62 62 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 6.35 vpr 62.71 MiB 0.02 6812 -1 -1 1 0.04 -1 -1 30316 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64216 31 32 353 302 1 229 85 17 17 289 -1 unnamed_device 23.8 MiB 1.03 1033 62.7 MiB 0.14 0.00 2.90265 -97.7447 -2.90265 2.90265 1.06 0.000537798 0.000494953 0.0473138 0.0435454 34 2688 25 6.89349e+06 310065 618332. 2139.56 1.91 0.180872 0.159338 25762 151098 -1 2109 21 1450 1514 122938 28046 0 0 122938 28046 1514 1462 0 0 5560 4400 0 0 8423 6592 0 0 1514 1462 0 0 54324 6892 0 0 51603 7238 0 0 1514 0 0 64 65 51 1854 0 0 3.04026 3.04026 -115.912 -3.04026 0 0 787024. 2723.27 0.29 0.07 0.15 -1 -1 0.29 0.0260896 0.0230579 143 77 0 0 89 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 8.86 vpr 62.89 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 30196 -1 -1 26 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64396 31 32 391 309 1 246 89 17 17 289 -1 unnamed_device 24.1 MiB 2.87 1311 62.9 MiB 0.15 0.00 3.38219 -115.825 -3.38219 3.38219 1.05 0.000599199 0.000550773 0.0474737 0.043607 34 3287 29 6.89349e+06 366440 618332. 2139.56 2.42 0.229656 0.204183 25762 151098 -1 2658 18 1840 2567 200875 44364 0 0 200875 44364 2567 2211 0 0 9469 7785 0 0 13608 10860 0 0 2567 2346 0 0 86401 10765 0 0 86263 10397 0 0 2567 0 0 727 823 966 6953 0 0 3.7144 3.7144 -141.995 -3.7144 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0256539 0.0228083 170 59 60 30 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 8.92 vpr 63.26 MiB 0.03 7156 -1 -1 1 0.04 -1 -1 30332 -1 -1 31 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64776 31 32 455 371 1 307 94 17 17 289 -1 unnamed_device 24.4 MiB 2.43 1565 63.3 MiB 0.20 0.00 4.10624 -137.224 -4.10624 4.10624 1.19 0.000644397 0.000591481 0.0652015 0.0599581 34 4145 50 6.89349e+06 436909 618332. 2139.56 2.73 0.298164 0.265805 25762 151098 -1 3028 22 2474 2752 230682 49029 0 0 230682 49029 2752 2552 0 0 10260 8149 0 0 14951 11954 0 0 2752 2626 0 0 102288 11793 0 0 97679 11955 0 0 2752 0 0 278 281 199 4066 0 0 4.52534 4.52534 -161.971 -4.52534 0 0 787024. 2723.27 0.31 0.10 0.15 -1 -1 0.31 0.0322609 0.0283952 201 111 0 0 124 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 9.74 vpr 62.88 MiB 0.03 7088 -1 -1 1 0.03 -1 -1 30192 -1 -1 28 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64384 31 32 413 333 1 269 91 17 17 289 -1 unnamed_device 24.1 MiB 2.97 1417 62.9 MiB 0.18 0.00 4.48427 -140.263 -4.48427 4.48427 1.06 0.000611609 0.000557368 0.0554848 0.050624 36 3596 44 6.89349e+06 394628 648988. 2245.63 3.18 0.202862 0.179015 26050 158493 -1 2853 22 2233 3092 281356 59020 0 0 281356 59020 3092 2659 0 0 11190 9064 0 0 16345 12868 0 0 3092 2766 0 0 126766 15516 0 0 120871 16147 0 0 3092 0 0 859 1046 1016 8163 0 0 4.82574 4.82574 -170.653 -4.82574 0 0 828058. 2865.25 0.32 0.10 0.15 -1 -1 0.32 0.0300708 0.0265135 181 86 31 31 89 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 7.45 vpr 62.68 MiB 0.02 6948 -1 -1 1 0.04 -1 -1 30152 -1 -1 27 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64188 31 32 391 309 1 249 90 17 17 289 -1 unnamed_device 24.0 MiB 2.12 1343 62.7 MiB 0.12 0.00 2.99685 -105.974 -2.99685 2.99685 1.07 0.000640693 0.000591084 0.0378153 0.0348175 34 2995 21 6.89349e+06 380534 618332. 2139.56 1.84 0.182756 0.161561 25762 151098 -1 2390 22 2257 3091 200340 46139 0 0 200340 46139 3091 2506 0 0 11047 8975 0 0 16974 13321 0 0 3091 2602 0 0 84714 9115 0 0 81423 9620 0 0 3091 0 0 834 977 1134 8170 0 0 2.96331 2.96331 -123.039 -2.96331 0 0 787024. 2723.27 0.32 0.09 0.13 -1 -1 0.32 0.0323311 0.0287925 168 58 60 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 7.34 vpr 62.95 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 30368 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64460 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 24.1 MiB 2.36 1242 62.9 MiB 0.16 0.00 3.75005 -128.828 -3.75005 3.75005 0.89 0.000606771 0.000556239 0.0533917 0.0491386 38 2744 23 6.89349e+06 380534 678818. 2348.85 1.76 0.175336 0.154983 26626 170182 -1 2411 21 1852 2469 166099 37507 0 0 166099 37507 2469 2052 0 0 8505 6870 0 0 12117 9589 0 0 2469 2133 0 0 69845 8388 0 0 70694 8475 0 0 2469 0 0 617 769 748 6477 0 0 3.94776 3.94776 -153.61 -3.94776 0 0 902133. 3121.57 0.26 0.05 0.14 -1 -1 0.26 0.0182536 0.0161752 178 42 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 8.17 vpr 63.36 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 30476 -1 -1 31 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64876 32 32 496 380 1 321 95 17 17 289 -1 unnamed_device 24.5 MiB 2.81 1546 63.4 MiB 0.11 0.00 4.06108 -136.878 -4.06108 4.06108 0.65 0.000415205 0.000375318 0.033736 0.0310771 38 3896 23 6.89349e+06 436909 678818. 2348.85 2.65 0.210691 0.186157 26626 170182 -1 3125 22 2915 4356 284182 63323 0 0 284182 63323 4356 3578 0 0 14889 12164 0 0 20441 16486 0 0 4356 3739 0 0 122793 13345 0 0 117347 14011 0 0 4356 0 0 1441 2298 2665 15484 0 0 4.32089 4.32089 -159.579 -4.32089 0 0 902133. 3121.57 0.28 0.08 0.14 -1 -1 0.28 0.029069 0.0258433 220 91 62 32 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 6.98 vpr 62.37 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 30372 -1 -1 20 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63868 31 32 305 250 1 192 83 17 17 289 -1 unnamed_device 23.8 MiB 1.98 877 62.4 MiB 0.09 0.00 3.1513 -109.15 -3.1513 3.1513 1.04 0.00045236 0.000414891 0.0315911 0.0290667 34 2206 18 6.89349e+06 281877 618332. 2139.56 1.66 0.144992 0.127316 25762 151098 -1 1878 23 1606 2104 154830 36597 0 0 154830 36597 2104 1802 0 0 8108 6999 0 0 12131 9644 0 0 2104 1869 0 0 68632 7695 0 0 61751 8588 0 0 2104 0 0 498 438 433 4487 0 0 2.96021 2.96021 -119.292 -2.96021 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.025548 0.0225547 127 24 62 31 31 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 7.40 vpr 63.15 MiB 0.02 7052 -1 -1 1 0.03 -1 -1 30192 -1 -1 27 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64668 31 32 395 311 1 251 90 17 17 289 -1 unnamed_device 24.3 MiB 2.01 1099 63.2 MiB 0.11 0.00 3.99994 -128.709 -3.99994 3.99994 1.00 0.00038695 0.000356166 0.0335746 0.0308224 36 2876 24 6.89349e+06 380534 648988. 2245.63 1.98 0.145265 0.127711 26050 158493 -1 2369 22 1792 2197 152523 36719 0 0 152523 36719 2197 1960 0 0 7766 6309 0 0 11392 9006 0 0 2197 1992 0 0 64381 9097 0 0 64590 8355 0 0 2197 0 0 405 511 545 4886 0 0 4.13465 4.13465 -151.235 -4.13465 0 0 828058. 2865.25 0.35 0.09 0.16 -1 -1 0.35 0.0325518 0.0288187 168 59 62 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 7.97 vpr 63.04 MiB 0.03 7000 -1 -1 1 0.04 -1 -1 30380 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64556 32 32 397 313 1 254 91 17 17 289 -1 unnamed_device 24.2 MiB 2.33 1327 63.0 MiB 0.16 0.00 3.76109 -127.031 -3.76109 3.76109 1.05 0.000631421 0.000582083 0.0517655 0.0475704 34 3667 26 6.89349e+06 380534 618332. 2139.56 2.15 0.204342 0.181468 25762 151098 -1 2669 23 1762 2767 201217 45724 0 0 201217 45724 2767 2216 0 0 10175 8276 0 0 15387 12049 0 0 2767 2335 0 0 85525 10666 0 0 84596 10182 0 0 2767 0 0 1005 1182 1081 8595 0 0 3.594 3.594 -143.38 -3.594 0 0 787024. 2723.27 0.33 0.10 0.16 -1 -1 0.33 0.0336764 0.0298451 172 54 62 32 62 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 7.05 vpr 62.76 MiB 0.02 6900 -1 -1 1 0.03 -1 -1 30232 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64268 32 32 345 257 1 202 85 17 17 289 -1 unnamed_device 23.9 MiB 1.40 1196 62.8 MiB 0.16 0.00 3.58259 -130.98 -3.58259 3.58259 1.01 0.000556623 0.00051101 0.0541308 0.0496509 34 3111 20 6.89349e+06 295971 618332. 2139.56 2.28 0.202495 0.180046 25762 151098 -1 2652 23 2163 3898 306814 67172 0 0 306814 67172 3898 3249 0 0 13954 11198 0 0 21517 16339 0 0 3898 3380 0 0 135053 15685 0 0 128494 17321 0 0 3898 0 0 1735 2452 2671 15826 0 0 4.3606 4.3606 -164.178 -4.3606 0 0 787024. 2723.27 0.31 0.12 0.14 -1 -1 0.31 0.0351682 0.0313234 147 -1 128 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 7.92 vpr 63.14 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 30252 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64660 32 32 424 343 1 281 92 17 17 289 -1 unnamed_device 24.3 MiB 1.92 1351 63.1 MiB 0.18 0.00 3.53749 -120.41 -3.53749 3.53749 1.07 0.000630649 0.000577731 0.057805 0.053109 36 3109 27 6.89349e+06 394628 648988. 2245.63 2.40 0.237883 0.211834 26050 158493 -1 2574 22 1840 2096 167491 37729 0 0 167491 37729 2096 1911 0 0 7792 6332 0 0 10569 8671 0 0 2096 1950 0 0 74790 9131 0 0 70148 9734 0 0 2096 0 0 256 356 337 3759 0 0 3.3464 3.3464 -131.168 -3.3464 0 0 828058. 2865.25 0.32 0.09 0.15 -1 -1 0.32 0.0309547 0.0273353 184 81 25 25 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 10.03 vpr 62.93 MiB 0.03 6968 -1 -1 1 0.03 -1 -1 30116 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64444 32 32 395 311 1 255 91 17 17 289 -1 unnamed_device 24.1 MiB 2.66 1255 62.9 MiB 0.12 0.00 3.52949 -119.594 -3.52949 3.52949 0.66 0.000599916 0.000550311 0.0394171 0.0362226 36 3461 48 6.89349e+06 380534 648988. 2245.63 4.41 0.225893 0.199463 26050 158493 -1 2492 21 1993 2963 232786 52603 0 0 232786 52603 2963 2500 0 0 10603 8364 0 0 15774 12262 0 0 2963 2562 0 0 98947 13880 0 0 101536 13035 0 0 2963 0 0 970 1613 1285 10531 0 0 3.7143 3.7143 -145 -3.7143 0 0 828058. 2865.25 0.32 0.10 0.16 -1 -1 0.32 0.0308869 0.0274002 169 58 64 32 60 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 7.33 vpr 62.96 MiB 0.02 6844 -1 -1 1 0.03 -1 -1 30348 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64468 32 32 405 318 1 260 91 17 17 289 -1 unnamed_device 24.1 MiB 1.89 1354 63.0 MiB 0.18 0.00 2.98875 -108.36 -2.98875 2.98875 1.05 0.000661104 0.00060843 0.0569258 0.052357 34 3382 26 6.89349e+06 380534 618332. 2139.56 1.88 0.208644 0.18555 25762 151098 -1 2748 22 2382 3285 251810 57007 0 0 251810 57007 3285 2792 0 0 12264 10097 0 0 18679 14685 0 0 3285 3038 0 0 113066 12640 0 0 101231 13755 0 0 3285 0 0 903 1276 1175 8835 0 0 3.19191 3.19191 -133.312 -3.19191 0 0 787024. 2723.27 0.32 0.10 0.15 -1 -1 0.32 0.0312557 0.0275804 175 61 63 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 6.54 vpr 62.98 MiB 0.02 6892 -1 -1 1 0.04 -1 -1 30356 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64488 32 32 376 288 1 233 88 17 17 289 -1 unnamed_device 24.2 MiB 1.71 1183 63.0 MiB 0.10 0.00 3.69075 -132.346 -3.69075 3.69075 0.99 0.000535125 0.000490156 0.0289903 0.0266499 34 3053 21 6.89349e+06 338252 618332. 2139.56 1.90 0.18382 0.16274 25762 151098 -1 2385 19 1801 2615 188736 42135 0 0 188736 42135 2615 2094 0 0 9516 7750 0 0 14597 11395 0 0 2615 2148 0 0 87630 8219 0 0 71763 10529 0 0 2615 0 0 814 793 733 6923 0 0 3.91146 3.91146 -156.522 -3.91146 0 0 787024. 2723.27 0.22 0.08 0.13 -1 -1 0.22 0.0192961 0.0173201 161 21 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 9.70 vpr 62.73 MiB 0.02 7044 -1 -1 1 0.04 -1 -1 30488 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64236 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 23.9 MiB 1.63 1279 62.7 MiB 0.09 0.00 3.72815 -130.456 -3.72815 3.72815 1.04 0.000525478 0.000482208 0.0273472 0.0249938 38 2841 20 6.89349e+06 380534 678818. 2348.85 4.62 0.315611 0.276132 26626 170182 -1 2615 18 1957 2483 174122 37838 0 0 174122 37838 2483 1994 0 0 8397 6913 0 0 11208 8988 0 0 2483 2064 0 0 76001 8308 0 0 73550 9571 0 0 2483 0 0 526 569 518 5216 0 0 3.85186 3.85186 -151.53 -3.85186 0 0 902133. 3121.57 0.35 0.08 0.17 -1 -1 0.35 0.0252157 0.0223539 177 50 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 11.77 vpr 63.18 MiB 0.02 7248 -1 -1 1 0.03 -1 -1 30276 -1 -1 31 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64696 31 32 449 367 1 300 94 17 17 289 -1 unnamed_device 24.4 MiB 1.38 1553 63.2 MiB 0.10 0.00 3.94494 -124.97 -3.94494 3.94494 0.99 0.000636718 0.000586253 0.0309819 0.0284991 36 3451 39 6.89349e+06 436909 648988. 2245.63 7.11 0.392303 0.346521 26050 158493 -1 2868 19 1847 2180 151702 34738 0 0 151702 34738 2180 1998 0 0 7954 6445 0 0 11246 9177 0 0 2180 2132 0 0 65869 7009 0 0 62273 7977 0 0 2180 0 0 333 331 239 3775 0 0 4.0021 4.0021 -143.144 -4.0021 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0247504 0.0219549 195 110 0 0 122 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 8.84 vpr 63.16 MiB 0.03 7096 -1 -1 1 0.04 -1 -1 30240 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64676 32 32 432 346 1 287 91 17 17 289 -1 unnamed_device 24.4 MiB 3.06 1497 63.2 MiB 0.13 0.00 3.77645 -131.13 -3.77645 3.77645 1.02 0.000569768 0.000520529 0.0401705 0.0366601 34 4018 47 6.89349e+06 380534 618332. 2139.56 2.33 0.23338 0.205915 25762 151098 -1 3111 21 2642 3862 270072 60360 0 0 270072 60360 3862 3105 0 0 14046 11426 0 0 20759 16296 0 0 3862 3381 0 0 117151 12345 0 0 110392 13807 0 0 3862 0 0 1220 1283 1012 9839 0 0 3.98016 3.98016 -150.038 -3.98016 0 0 787024. 2723.27 0.33 0.11 0.13 -1 -1 0.33 0.0333677 0.0295774 190 86 32 32 94 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 6.85 vpr 62.49 MiB 0.02 6852 -1 -1 1 0.03 -1 -1 30352 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63988 32 32 312 255 1 198 85 17 17 289 -1 unnamed_device 23.9 MiB 1.99 1056 62.5 MiB 0.12 0.00 2.93565 -109.645 -2.93565 2.93565 1.06 0.000494343 0.000454878 0.0375918 0.0346691 34 2396 22 6.89349e+06 295971 618332. 2139.56 1.66 0.16073 0.142065 25762 151098 -1 1989 19 1268 1800 116624 27166 0 0 116624 27166 1800 1489 0 0 6487 5325 0 0 9740 7625 0 0 1800 1531 0 0 50491 5244 0 0 46306 5952 0 0 1800 0 0 532 506 707 5051 0 0 2.86186 2.86186 -121.832 -2.86186 0 0 787024. 2723.27 0.21 0.04 0.09 -1 -1 0.21 0.0142545 0.012639 127 20 63 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 7.02 vpr 62.93 MiB 0.02 6920 -1 -1 1 0.03 -1 -1 30328 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64436 32 32 370 314 1 250 85 17 17 289 -1 unnamed_device 24.2 MiB 1.82 1255 62.9 MiB 0.10 0.00 3.40739 -119.801 -3.40739 3.40739 0.98 0.00056101 0.000515557 0.0314726 0.0289233 34 3229 29 6.89349e+06 295971 618332. 2139.56 1.99 0.183058 0.161161 25762 151098 -1 2531 21 1962 2374 184665 40351 0 0 184665 40351 2374 2166 0 0 8539 6890 0 0 12892 9828 0 0 2374 2222 0 0 76857 10264 0 0 81629 8981 0 0 2374 0 0 412 532 493 4635 0 0 3.66399 3.66399 -142.771 -3.66399 0 0 787024. 2723.27 0.25 0.05 0.16 -1 -1 0.25 0.0165385 0.0145711 154 91 0 0 94 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 8.36 vpr 63.33 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 30576 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64848 32 32 469 351 1 298 94 17 17 289 -1 unnamed_device 24.6 MiB 1.95 1646 63.3 MiB 0.14 0.00 4.44419 -152.513 -4.44419 4.44419 0.90 0.000423335 0.000390877 0.0430822 0.0394978 36 4129 31 6.89349e+06 422815 648988. 2245.63 3.14 0.255005 0.227551 26050 158493 -1 3199 22 2481 3427 241213 55276 0 0 241213 55276 3427 2754 0 0 12182 9885 0 0 17857 14205 0 0 3427 2935 0 0 99368 13009 0 0 104952 12488 0 0 3427 0 0 946 1070 715 8148 0 0 5 5 -183.316 -5 0 0 828058. 2865.25 0.33 0.11 0.16 -1 -1 0.33 0.0388427 0.0346688 209 53 96 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 7.45 vpr 62.71 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 30176 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64212 32 32 368 284 1 225 87 17 17 289 -1 unnamed_device 24.0 MiB 2.14 1131 62.7 MiB 0.14 0.00 3.029 -109.871 -3.029 3.029 1.06 0.000575957 0.000530929 0.0473079 0.0435699 34 2856 20 6.89349e+06 324158 618332. 2139.56 1.86 0.174346 0.155027 25762 151098 -1 2349 21 1879 2776 236566 51542 0 0 236566 51542 2776 2377 0 0 10343 8753 0 0 16715 13090 0 0 2776 2458 0 0 105720 11710 0 0 98236 13154 0 0 2776 0 0 897 1249 1300 8548 0 0 3.07376 3.07376 -126.657 -3.07376 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0282925 0.0250794 156 31 92 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 6.23 vpr 62.32 MiB 0.02 6808 -1 -1 1 0.03 -1 -1 30168 -1 -1 32 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63816 30 32 296 244 1 183 94 17 17 289 -1 unnamed_device 23.7 MiB 1.35 945 62.3 MiB 0.13 0.00 3.49649 -110.717 -3.49649 3.49649 1.01 0.000461301 0.000418334 0.0370686 0.0336004 34 2272 32 6.89349e+06 451003 618332. 2139.56 1.51 0.147839 0.128602 25762 151098 -1 1838 22 1286 2000 130095 30781 0 0 130095 30781 2000 1436 0 0 7470 6018 0 0 11461 8920 0 0 2000 1566 0 0 59365 5632 0 0 47799 7209 0 0 2000 0 0 714 850 1051 7245 0 0 3.3164 3.3164 -123.107 -3.3164 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.0258889 0.0228322 129 29 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 8.64 vpr 63.26 MiB 0.04 7128 -1 -1 1 0.04 -1 -1 30792 -1 -1 35 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64776 32 32 531 413 1 356 99 17 17 289 -1 unnamed_device 24.9 MiB 2.05 1818 63.3 MiB 0.15 0.00 4.71793 -158.706 -4.71793 4.71793 0.92 0.000436949 0.000394672 0.047152 0.043243 36 4369 27 6.89349e+06 493284 648988. 2245.63 3.11 0.260041 0.230162 26050 158493 -1 3498 23 2995 3667 264216 59386 0 0 264216 59386 3667 3168 0 0 13148 10817 0 0 19673 15483 0 0 3667 3291 0 0 114211 12812 0 0 109850 13815 0 0 3667 0 0 672 577 645 6923 0 0 5.64954 5.64954 -189.915 -5.64954 0 0 828058. 2865.25 0.33 0.17 0.14 -1 -1 0.33 0.0480163 0.0431337 239 109 32 32 128 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 6.80 vpr 62.85 MiB 0.02 6980 -1 -1 1 0.03 -1 -1 30316 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64360 32 32 376 288 1 225 87 17 17 289 -1 unnamed_device 24.2 MiB 1.70 1149 62.9 MiB 0.12 0.00 3.54039 -127.102 -3.54039 3.54039 1.00 0.000596184 0.000545408 0.0403791 0.0370952 34 3042 32 6.89349e+06 324158 618332. 2139.56 1.80 0.176256 0.155495 25762 151098 -1 2503 21 2223 3030 227737 51287 0 0 227737 51287 3030 2702 0 0 11310 9220 0 0 16394 13063 0 0 3030 2779 0 0 95665 12242 0 0 98308 11281 0 0 3030 0 0 807 1188 1030 8227 0 0 3.9837 3.9837 -155.896 -3.9837 0 0 787024. 2723.27 0.27 0.10 0.12 -1 -1 0.27 0.0327478 0.0292129 159 31 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 5.24 vpr 62.21 MiB 0.04 6764 -1 -1 1 0.03 -1 -1 30128 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63708 32 32 283 225 1 168 97 17 17 289 -1 unnamed_device 23.6 MiB 0.67 789 62.2 MiB 0.09 0.00 2.98385 -103.889 -2.98385 2.98385 1.05 0.00048451 0.000446901 0.0239808 0.0221012 28 2366 22 6.89349e+06 465097 531479. 1839.03 1.29 0.0973399 0.0864679 24610 126494 -1 2066 20 1423 2299 191253 42716 0 0 191253 42716 2299 1690 0 0 8511 6836 0 0 12957 10185 0 0 2299 1800 0 0 82886 11451 0 0 82301 10754 0 0 2299 0 0 876 1231 1290 8914 0 0 2.99616 2.99616 -124.172 -2.99616 0 0 648988. 2245.63 0.27 0.08 0.11 -1 -1 0.27 0.0222735 0.0196594 123 -1 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 9.01 vpr 63.05 MiB 0.03 7088 -1 -1 1 0.03 -1 -1 30672 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64560 32 32 438 320 1 267 93 17 17 289 -1 unnamed_device 24.4 MiB 2.37 1530 63.0 MiB 0.15 0.00 4.29569 -150.238 -4.29569 4.29569 1.00 0.000667933 0.000613592 0.0488677 0.044938 34 4016 32 6.89349e+06 408721 618332. 2139.56 3.16 0.249055 0.221052 25762 151098 -1 3186 21 2504 3839 348633 71313 0 0 348633 71313 3839 3289 0 0 13788 11030 0 0 20900 16160 0 0 3839 3414 0 0 153909 18704 0 0 152358 18716 0 0 3839 0 0 1335 2408 2618 16452 0 0 5.5919 5.5919 -189.822 -5.5919 0 0 787024. 2723.27 0.31 0.13 0.15 -1 -1 0.31 0.0377806 0.033775 194 26 128 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 5.84 vpr 62.00 MiB 0.01 6816 -1 -1 1 0.03 -1 -1 30192 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63484 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 23.5 MiB 0.99 694 62.0 MiB 0.09 0.00 3.095 -107.662 -3.095 3.095 0.87 0.00046449 0.00042628 0.0301768 0.0278142 34 2175 47 6.89349e+06 225501 618332. 2139.56 1.79 0.148916 0.129891 25762 151098 -1 1732 16 1261 2037 132022 32305 0 0 132022 32305 2037 1650 0 0 7400 6018 0 0 11065 8602 0 0 2037 1735 0 0 55189 7244 0 0 54294 7056 0 0 2037 0 0 776 897 960 6800 0 0 3.12331 3.12331 -126.659 -3.12331 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0175057 0.015419 114 -1 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 6.39 vpr 62.00 MiB 0.02 6876 -1 -1 1 0.03 -1 -1 29928 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63492 30 32 296 244 1 185 81 17 17 289 -1 unnamed_device 23.5 MiB 1.47 804 62.0 MiB 0.09 0.00 2.94665 -97.2537 -2.94665 2.94665 1.02 0.000487171 0.000448968 0.028653 0.026428 36 2032 20 6.89349e+06 267783 648988. 2245.63 1.83 0.13919 0.122701 26050 158493 -1 1696 19 1265 1720 131210 29405 0 0 131210 29405 1720 1484 0 0 6216 4960 0 0 9108 7280 0 0 1720 1518 0 0 58090 6544 0 0 54356 7619 0 0 1720 0 0 455 594 758 4845 0 0 3.07956 3.07956 -115.68 -3.07956 0 0 828058. 2865.25 0.21 0.06 0.10 -1 -1 0.21 0.0184994 0.0163072 121 29 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 6.38 vpr 62.86 MiB 0.02 7176 -1 -1 1 0.03 -1 -1 30200 -1 -1 31 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64372 29 32 393 319 1 253 92 17 17 289 -1 unnamed_device 24.0 MiB 1.32 1264 62.9 MiB 0.15 0.00 3.34494 -104.206 -3.34494 3.34494 1.03 0.000609462 0.000559587 0.047722 0.0438406 34 2754 20 6.89349e+06 436909 618332. 2139.56 1.67 0.18578 0.164382 25762 151098 -1 2413 21 1736 2364 179231 40474 0 0 179231 40474 2364 2022 0 0 8711 7236 0 0 13542 10668 0 0 2364 2052 0 0 81359 8475 0 0 70891 10021 0 0 2364 0 0 628 627 489 5428 0 0 3.4088 3.4088 -122.379 -3.4088 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0280052 0.0246477 171 81 29 29 85 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 7.60 vpr 62.82 MiB 0.02 6960 -1 -1 1 0.04 -1 -1 30480 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64324 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 24.0 MiB 2.31 1407 62.8 MiB 0.15 0.00 4.41804 -153.474 -4.41804 4.41804 1.04 0.000608276 0.000554892 0.0489926 0.0442635 34 3574 48 6.89349e+06 366440 618332. 2139.56 1.78 0.185387 0.162345 25762 151098 -1 2968 22 2538 3555 285600 63657 0 0 285600 63657 3555 2970 0 0 13323 11011 0 0 19257 15506 0 0 3555 3089 0 0 122864 15438 0 0 123046 15643 0 0 3555 0 0 1017 1100 993 8723 0 0 4.97375 4.97375 -184.194 -4.97375 0 0 787024. 2723.27 0.31 0.11 0.15 -1 -1 0.31 0.033595 0.0299645 178 53 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 7.78 vpr 63.00 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 30484 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64512 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 24.2 MiB 2.08 1278 63.0 MiB 0.16 0.00 4.06404 -140.706 -4.06404 4.06404 1.03 0.000569649 0.000524946 0.0543258 0.0499545 36 3149 29 6.89349e+06 366440 648988. 2245.63 2.19 0.197843 0.175639 26050 158493 -1 2630 19 2111 2959 228146 48845 0 0 228146 48845 2959 2550 0 0 10691 8479 0 0 15147 12021 0 0 2959 2606 0 0 104569 10633 0 0 91821 12556 0 0 2959 0 0 848 785 889 7607 0 0 4.24865 4.24865 -161.712 -4.24865 0 0 828058. 2865.25 0.33 0.09 0.16 -1 -1 0.33 0.0286964 0.0255711 175 55 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 6.26 vpr 62.79 MiB 0.05 6824 -1 -1 1 0.03 -1 -1 30372 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64300 32 32 345 287 1 218 85 17 17 289 -1 unnamed_device 24.1 MiB 1.46 1094 62.8 MiB 0.11 0.00 3.42319 -121.693 -3.42319 3.42319 1.01 0.000520042 0.000476387 0.0396683 0.0364657 34 2688 22 6.89349e+06 295971 618332. 2139.56 1.71 0.169203 0.149269 25762 151098 -1 2166 20 1448 1603 106151 25612 0 0 106151 25612 1603 1511 0 0 6010 4877 0 0 8701 7020 0 0 1603 1522 0 0 44087 5619 0 0 44147 5063 0 0 1603 0 0 155 166 196 2492 0 0 3.4827 3.4827 -138.261 -3.4827 0 0 787024. 2723.27 0.20 0.04 0.09 -1 -1 0.20 0.0148321 0.0131475 141 55 32 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 6.81 vpr 62.82 MiB 0.02 6972 -1 -1 1 0.03 -1 -1 30324 -1 -1 22 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64332 31 32 353 302 1 231 85 17 17 289 -1 unnamed_device 24.1 MiB 1.90 1021 62.8 MiB 0.07 0.00 3.36019 -110.352 -3.36019 3.36019 1.00 0.000523018 0.000480764 0.0228381 0.0210064 34 2614 31 6.89349e+06 310065 618332. 2139.56 1.82 0.172792 0.15235 25762 151098 -1 1930 21 1374 1716 114000 27706 0 0 114000 27706 1716 1448 0 0 6455 5413 0 0 9170 7465 0 0 1716 1454 0 0 49307 5551 0 0 45636 6375 0 0 1716 0 0 342 353 196 3291 0 0 3.2725 3.2725 -124.625 -3.2725 0 0 787024. 2723.27 0.25 0.04 0.13 -1 -1 0.25 0.0170974 0.0151591 146 82 0 0 89 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 7.69 vpr 62.87 MiB 0.02 6972 -1 -1 1 0.03 -1 -1 30396 -1 -1 29 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64376 30 32 374 297 1 236 91 17 17 289 -1 unnamed_device 24.1 MiB 2.35 1201 62.9 MiB 0.18 0.00 3.073 -103.876 -3.073 3.073 1.03 0.000592876 0.000545195 0.0544507 0.050043 34 2949 47 6.89349e+06 408721 618332. 2139.56 1.88 0.209868 0.186061 25762 151098 -1 2341 23 1819 2737 188029 43331 0 0 188029 43331 2737 2247 0 0 9898 7952 0 0 15122 11748 0 0 2737 2391 0 0 81146 9182 0 0 76389 9811 0 0 2737 0 0 918 1730 1543 10628 0 0 3.21861 3.21861 -121.475 -3.21861 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0268269 0.0237792 164 52 60 30 57 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 6.27 vpr 62.48 MiB 0.03 7008 -1 -1 1 0.03 -1 -1 30340 -1 -1 27 28 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63980 28 32 332 260 1 203 87 17 17 289 -1 unnamed_device 23.8 MiB 1.30 1016 62.5 MiB 0.13 0.00 3.63875 -113.277 -3.63875 3.63875 1.03 0.000433393 0.000395979 0.0384343 0.035376 34 2411 21 6.89349e+06 380534 618332. 2139.56 1.70 0.162376 0.143367 25762 151098 -1 2015 19 1424 2013 140620 32162 0 0 140620 32162 2013 1659 0 0 7490 6221 0 0 11152 8922 0 0 2013 1724 0 0 63935 6102 0 0 54017 7534 0 0 2013 0 0 589 948 940 6786 0 0 3.74516 3.74516 -128.942 -3.74516 0 0 787024. 2723.27 0.30 0.04 0.15 -1 -1 0.30 0.0142147 0.0125901 145 20 84 28 28 28 -fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 7.48 vpr 62.53 MiB 0.03 6868 -1 -1 1 0.03 -1 -1 30020 -1 -1 21 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64032 30 32 325 273 1 208 83 17 17 289 -1 unnamed_device 23.8 MiB 2.39 1073 62.5 MiB 0.10 0.00 3.43529 -112.681 -3.43529 3.43529 0.89 0.000524337 0.000485005 0.0335465 0.030915 34 2758 43 6.89349e+06 295971 618332. 2139.56 1.92 0.15689 0.138152 25762 151098 -1 2228 23 1748 2379 184967 41366 0 0 184967 41366 2379 2038 0 0 8633 7237 0 0 13745 10562 0 0 2379 2191 0 0 79688 9535 0 0 78143 9803 0 0 2379 0 0 631 596 685 5639 0 0 3.83965 3.83965 -142.615 -3.83965 0 0 787024. 2723.27 0.32 0.08 0.13 -1 -1 0.32 0.0273921 0.0242394 136 58 30 30 60 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 7.17 vpr 62.93 MiB 0.02 6940 -1 -1 1 0.03 -1 -1 30184 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64444 32 32 361 308 1 245 85 17 17 289 -1 unnamed_device 24.2 MiB 2.15 1411 62.9 MiB 0.08 0.00 3.0132 -107.87 -3.0132 3.0132 0.93 0.000325176 0.000300068 0.0276153 0.0254911 36 3050 32 6.89349e+06 295971 648988. 2245.63 1.77 0.158177 0.138026 26050 158493 -1 2553 22 1693 2017 145534 31805 0 0 145534 31805 2017 1777 0 0 7164 5708 0 0 10176 8009 0 0 2017 1940 0 0 60492 7594 0 0 63668 6777 0 0 2017 0 0 324 358 274 3621 0 0 2.90821 2.90821 -123.353 -2.90821 0 0 828058. 2865.25 0.33 0.07 0.16 -1 -1 0.33 0.0261581 0.0231109 150 88 0 0 91 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 5.19 vpr 62.73 MiB 0.02 6952 -1 -1 1 0.03 -1 -1 30316 -1 -1 37 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64236 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 23.8 MiB 0.77 1065 62.7 MiB 0.10 0.00 3.42729 -118.406 -3.42729 3.42729 1.02 0.000550693 0.000504091 0.0276484 0.0253887 32 3122 43 6.89349e+06 521472 586450. 2029.24 1.12 0.109742 0.0969328 25474 144626 -1 2519 22 1949 3155 270494 59652 0 0 270494 59652 3155 2489 0 0 11841 9697 0 0 20483 15551 0 0 3155 2649 0 0 116380 14803 0 0 115480 14463 0 0 3155 0 0 1206 1362 1484 10679 0 0 3.8093 3.8093 -147.691 -3.8093 0 0 744469. 2576.02 0.29 0.10 0.14 -1 -1 0.29 0.0282451 0.0250791 151 -1 124 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 7.30 vpr 62.89 MiB 0.03 6996 -1 -1 1 0.03 -1 -1 30408 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64404 32 32 407 319 1 257 90 17 17 289 -1 unnamed_device 24.1 MiB 1.64 1317 62.9 MiB 0.17 0.00 4.01088 -138.915 -4.01088 4.01088 1.03 0.000635879 0.000580486 0.0555574 0.050849 34 3482 41 6.89349e+06 366440 618332. 2139.56 2.23 0.216679 0.191602 25762 151098 -1 2911 22 2051 2651 192471 44240 0 0 192471 44240 2651 2204 0 0 9786 7993 0 0 14593 11447 0 0 2651 2338 0 0 82896 9944 0 0 79894 10314 0 0 2651 0 0 600 493 809 5789 0 0 4.15649 4.15649 -160.193 -4.15649 0 0 787024. 2723.27 0.31 0.09 0.13 -1 -1 0.31 0.0288193 0.0253787 173 57 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 8.13 vpr 63.03 MiB 0.02 6992 -1 -1 1 0.03 -1 -1 30404 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64544 32 32 407 319 1 256 90 17 17 289 -1 unnamed_device 24.1 MiB 2.05 1430 63.0 MiB 0.10 0.00 3.97668 -139.51 -3.97668 3.97668 0.76 0.000354663 0.000326952 0.0324218 0.0299165 34 3648 27 6.89349e+06 366440 618332. 2139.56 2.87 0.194485 0.172176 25762 151098 -1 2925 21 2619 3693 335866 69299 0 0 335866 69299 3693 3105 0 0 13599 11283 0 0 21392 16447 0 0 3693 3260 0 0 149374 17967 0 0 144115 17237 0 0 3693 0 0 1074 1562 1676 10829 0 0 4.46955 4.46955 -173.325 -4.46955 0 0 787024. 2723.27 0.31 0.11 0.15 -1 -1 0.31 0.0292 0.0258307 171 62 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 7.36 vpr 63.05 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 30372 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64564 32 32 399 315 1 257 91 17 17 289 -1 unnamed_device 24.2 MiB 1.94 1379 63.1 MiB 0.08 0.00 3.38904 -118.803 -3.38904 3.38904 0.94 0.000355223 0.000327974 0.0243543 0.0224154 36 3272 22 6.89349e+06 380534 648988. 2245.63 2.07 0.149681 0.12982 26050 158493 -1 2737 20 1820 2627 204657 42537 0 0 204657 42537 2627 2194 0 0 9263 7308 0 0 13157 10343 0 0 2627 2368 0 0 93687 9356 0 0 83296 10968 0 0 2627 0 0 807 970 840 7334 0 0 3.60395 3.60395 -140.344 -3.60395 0 0 828058. 2865.25 0.32 0.09 0.15 -1 -1 0.32 0.0280016 0.0248847 172 62 60 30 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 7.18 vpr 62.21 MiB 0.02 6684 -1 -1 1 0.03 -1 -1 30244 -1 -1 19 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63708 30 32 296 244 1 185 81 17 17 289 -1 unnamed_device 23.7 MiB 2.12 960 62.2 MiB 0.11 0.00 3.0572 -103.07 -3.0572 3.0572 1.05 0.000475732 0.000438011 0.0386778 0.0356694 36 2406 21 6.89349e+06 267783 648988. 2245.63 1.64 0.131458 0.116179 26050 158493 -1 2008 23 1689 2479 204062 43426 0 0 204062 43426 2479 2009 0 0 8919 7354 0 0 13453 10443 0 0 2479 2148 0 0 87732 10801 0 0 89000 10671 0 0 2479 0 0 790 967 993 6861 0 0 3.21081 3.21081 -122.24 -3.21081 0 0 828058. 2865.25 0.33 0.09 0.16 -1 -1 0.33 0.0274656 0.0244454 122 29 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 8.19 vpr 62.80 MiB 0.02 7012 -1 -1 1 0.04 -1 -1 30216 -1 -1 26 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64304 30 32 383 303 1 241 88 17 17 289 -1 unnamed_device 24.0 MiB 2.86 1227 62.8 MiB 0.11 0.00 4.05614 -130.89 -4.05614 4.05614 1.01 0.000562304 0.000515399 0.0360548 0.0330596 34 3293 42 6.89349e+06 366440 618332. 2139.56 2.02 0.191254 0.168138 25762 151098 -1 2682 20 2124 2896 235182 51135 0 0 235182 51135 2896 2483 0 0 10698 8659 0 0 15264 12159 0 0 2896 2681 0 0 99089 13390 0 0 104339 11763 0 0 2896 0 0 772 911 717 6858 0 0 4.59728 4.59728 -160.51 -4.59728 0 0 787024. 2723.27 0.31 0.10 0.14 -1 -1 0.31 0.0301892 0.0269333 165 58 60 30 60 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 6.55 vpr 63.20 MiB 0.02 7188 -1 -1 1 0.03 -1 -1 30664 -1 -1 30 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64720 32 32 469 381 1 316 94 17 17 289 -1 unnamed_device 24.4 MiB 1.32 1525 63.2 MiB 0.16 0.00 3.78021 -128.627 -3.78021 3.78021 0.94 0.000579853 0.000530555 0.0548112 0.0502267 34 3800 32 6.89349e+06 422815 618332. 2139.56 1.93 0.24287 0.215169 25762 151098 -1 2907 20 1971 2051 155382 35390 0 0 155382 35390 2051 2008 0 0 7816 6312 0 0 11424 9260 0 0 2051 2017 0 0 66646 7977 0 0 65394 7816 0 0 2051 0 0 80 94 117 2502 0 0 4.09365 4.09365 -148.405 -4.09365 0 0 787024. 2723.27 0.31 0.08 0.15 -1 -1 0.31 0.0294259 0.025944 204 106 0 0 128 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 6.92 vpr 63.10 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 30196 -1 -1 29 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64612 31 32 425 341 1 280 92 17 17 289 -1 unnamed_device 24.4 MiB 1.78 1393 63.1 MiB 0.18 0.00 4.06524 -136.981 -4.06524 4.06524 0.86 0.000599046 0.000549411 0.0585528 0.0537865 34 3497 26 6.89349e+06 408721 618332. 2139.56 2.01 0.220862 0.196133 25762 151098 -1 2780 22 2392 3044 238092 52287 0 0 238092 52287 3044 2655 0 0 11240 9210 0 0 16799 13378 0 0 3044 2754 0 0 107371 11456 0 0 96594 12834 0 0 3044 0 0 652 821 766 7257 0 0 4.68905 4.68905 -166.958 -4.68905 0 0 787024. 2723.27 0.31 0.10 0.13 -1 -1 0.31 0.0333876 0.0297848 186 79 31 31 93 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 8.41 vpr 63.02 MiB 0.03 7172 -1 -1 1 0.03 -1 -1 30308 -1 -1 28 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64532 30 32 404 328 1 261 90 17 17 289 -1 unnamed_device 24.2 MiB 2.60 1290 63.0 MiB 0.15 0.00 3.43529 -109.863 -3.43529 3.43529 1.05 0.000346168 0.000318933 0.0481341 0.0442542 34 3727 27 6.89349e+06 394628 618332. 2139.56 2.29 0.226093 0.201989 25762 151098 -1 2630 21 2280 3202 212739 50124 0 0 212739 50124 3202 2667 0 0 11428 9386 0 0 17071 13268 0 0 3202 2819 0 0 88975 11275 0 0 88861 10709 0 0 3202 0 0 922 1136 1087 8837 0 0 4.0013 4.0013 -144.095 -4.0013 0 0 787024. 2723.27 0.31 0.09 0.14 -1 -1 0.31 0.027648 0.0243826 175 83 26 26 90 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 7.73 vpr 62.86 MiB 0.05 6988 -1 -1 1 0.04 -1 -1 30372 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64364 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 24.0 MiB 2.05 1360 62.9 MiB 0.13 0.00 4.26754 -148.225 -4.26754 4.26754 1.00 0.000676044 0.000625923 0.0396971 0.0363955 36 3079 31 6.89349e+06 366440 648988. 2245.63 2.28 0.225841 0.201196 26050 158493 -1 2654 19 2255 3171 213144 47221 0 0 213144 47221 3171 2459 0 0 11274 9006 0 0 16436 12818 0 0 3171 2597 0 0 95353 9357 0 0 83739 10984 0 0 3171 0 0 916 811 753 7537 0 0 4.53845 4.53845 -172.242 -4.53845 0 0 828058. 2865.25 0.32 0.09 0.13 -1 -1 0.32 0.0311777 0.0278661 177 58 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 7.60 vpr 62.86 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30268 -1 -1 30 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64372 29 32 387 316 1 251 91 17 17 289 -1 unnamed_device 24.2 MiB 2.39 1238 62.9 MiB 0.16 0.00 3.58265 -110.144 -3.58265 3.58265 1.03 0.000573577 0.000525922 0.0531115 0.0487627 34 2996 21 6.89349e+06 422815 618332. 2139.56 1.68 0.190093 0.168193 25762 151098 -1 2530 23 1954 2636 203941 45898 0 0 203941 45898 2636 2315 0 0 9793 8170 0 0 15262 12101 0 0 2636 2405 0 0 88660 10011 0 0 84954 10896 0 0 2636 0 0 682 786 727 6581 0 0 3.501 3.501 -122.616 -3.501 0 0 787024. 2723.27 0.31 0.09 0.15 -1 -1 0.31 0.0293495 0.0259426 170 81 26 26 85 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 5.48 vpr 62.23 MiB 0.03 6724 -1 -1 1 0.02 -1 -1 30172 -1 -1 16 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63728 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 23.8 MiB 0.56 904 62.2 MiB 0.12 0.00 3.037 -110.339 -3.037 3.037 1.02 0.000478323 0.000439829 0.0425407 0.0392001 34 2424 22 6.89349e+06 225501 618332. 2139.56 1.57 0.141962 0.125608 25762 151098 -1 1939 19 1397 2253 175870 39958 0 0 175870 39958 2253 1820 0 0 8384 6973 0 0 12923 10121 0 0 2253 1851 0 0 76213 9842 0 0 73844 9351 0 0 2253 0 0 856 785 780 6688 0 0 2.99626 2.99626 -126.518 -2.99626 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.02318 0.0206366 114 -1 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 6.10 vpr 63.15 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 30236 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64668 32 32 407 319 1 259 91 17 17 289 -1 unnamed_device 24.3 MiB 1.42 1357 63.2 MiB 0.10 0.00 4.17757 -143.737 -4.17757 4.17757 0.65 0.000344823 0.000317092 0.0300365 0.0276451 34 3626 35 6.89349e+06 380534 618332. 2139.56 2.10 0.187909 0.165963 25762 151098 -1 2679 20 2362 3272 221681 51945 0 0 221681 51945 3272 2656 0 0 12075 9881 0 0 18817 14435 0 0 3272 2867 0 0 92620 10934 0 0 91625 11172 0 0 3272 0 0 910 1067 1009 8626 0 0 4.48719 4.48719 -168.524 -4.48719 0 0 787024. 2723.27 0.30 0.10 0.12 -1 -1 0.30 0.0319713 0.0286903 174 62 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 8.64 vpr 62.82 MiB 0.02 7048 -1 -1 1 0.04 -1 -1 30304 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64328 32 32 407 319 1 263 89 17 17 289 -1 unnamed_device 24.0 MiB 2.98 1456 62.8 MiB 0.16 0.00 4.08298 -143.347 -4.08298 4.08298 1.00 0.00061344 0.00056406 0.050932 0.0467308 36 3426 30 6.89349e+06 352346 648988. 2245.63 2.28 0.185566 0.164739 26050 158493 -1 2905 22 2384 3394 281417 58994 0 0 281417 58994 3394 2831 0 0 11935 9574 0 0 17046 13430 0 0 3394 2985 0 0 124739 14745 0 0 120909 15429 0 0 3394 0 0 1010 1125 1085 8736 0 0 4.56085 4.56085 -172.023 -4.56085 0 0 828058. 2865.25 0.32 0.10 0.14 -1 -1 0.32 0.0327535 0.0290663 176 62 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 7.00 vpr 62.62 MiB 0.02 6812 -1 -1 1 0.03 -1 -1 30400 -1 -1 19 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64128 32 32 315 267 1 204 83 17 17 289 -1 unnamed_device 23.8 MiB 1.78 1019 62.6 MiB 0.11 0.00 2.7431 -96.9372 -2.7431 2.7431 1.01 0.000489262 0.000449193 0.0403254 0.0370811 36 2363 19 6.89349e+06 267783 648988. 2245.63 1.92 0.16466 0.145907 26050 158493 -1 1937 20 1306 1544 106837 24356 0 0 106837 24356 1544 1411 0 0 5539 4397 0 0 7806 6261 0 0 1544 1511 0 0 44479 5706 0 0 45925 5070 0 0 1544 0 0 238 237 207 2718 0 0 2.79796 2.79796 -111.63 -2.79796 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.023441 0.0208156 128 47 32 32 54 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 5.28 vpr 61.96 MiB 0.02 6828 -1 -1 1 0.03 -1 -1 30224 -1 -1 17 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63448 31 32 275 220 1 164 80 17 17 289 -1 unnamed_device 23.5 MiB 0.85 854 62.0 MiB 0.11 0.00 3.07 -108.66 -3.07 3.07 1.04 0.000456625 0.00042038 0.0366086 0.0337434 32 2382 41 6.89349e+06 239595 586450. 2029.24 1.18 0.121804 0.107941 25474 144626 -1 1890 19 1378 2173 191882 42335 0 0 191882 42335 2173 1775 0 0 8338 6913 0 0 13743 10511 0 0 2173 1850 0 0 84497 10872 0 0 80958 10414 0 0 2173 0 0 795 930 897 6819 0 0 3.24681 3.24681 -129.301 -3.24681 0 0 744469. 2576.02 0.31 0.08 0.14 -1 -1 0.31 0.0224824 0.0199889 112 -1 93 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 6.81 vpr 62.86 MiB 0.02 6964 -1 -1 1 0.03 -1 -1 30184 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64364 32 32 381 303 1 240 89 17 17 289 -1 unnamed_device 24.1 MiB 1.82 1154 62.9 MiB 0.15 0.00 3.44139 -117.05 -3.44139 3.44139 1.03 0.00057749 0.000530799 0.0524069 0.0481028 34 3013 32 6.89349e+06 352346 618332. 2139.56 1.58 0.168044 0.148125 25762 151098 -1 2283 21 1695 2164 158862 37193 0 0 158862 37193 2164 1799 0 0 8081 6728 0 0 12576 10058 0 0 2164 1875 0 0 67917 8530 0 0 65960 8203 0 0 2164 0 0 469 427 482 4508 0 0 3.7287 3.7287 -136.336 -3.7287 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.027853 0.0246058 158 56 60 32 58 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 7.11 vpr 62.88 MiB 0.03 7028 -1 -1 1 0.03 -1 -1 30196 -1 -1 26 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64384 32 32 406 330 1 261 90 17 17 289 -1 unnamed_device 24.1 MiB 1.76 1337 62.9 MiB 0.10 0.00 4.07324 -126.534 -4.07324 4.07324 0.97 0.000501554 0.000459773 0.033135 0.0302756 34 3111 43 6.89349e+06 366440 618332. 2139.56 2.10 0.2139 0.188325 25762 151098 -1 2360 25 1946 2331 146451 35898 0 0 146451 35898 2331 2051 0 0 8560 7056 0 0 12456 9876 0 0 2331 2077 0 0 60326 7465 0 0 60447 7373 0 0 2331 0 0 385 516 475 4801 0 0 4.58675 4.58675 -157.72 -4.58675 0 0 787024. 2723.27 0.32 0.08 0.13 -1 -1 0.32 0.0350177 0.0312023 170 81 28 28 88 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 5.37 vpr 62.87 MiB 0.02 7020 -1 -1 1 0.03 -1 -1 30420 -1 -1 41 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64376 32 32 399 285 1 232 105 17 17 289 -1 unnamed_device 24.1 MiB 0.91 1223 62.9 MiB 0.09 0.00 3.93858 -131.612 -3.93858 3.93858 0.85 0.000624189 0.000571903 0.0215904 0.0198349 30 3179 33 6.89349e+06 577847 556674. 1926.21 1.33 0.11932 0.10479 25186 138497 -1 2353 20 1832 3067 175945 41219 0 0 175945 41219 3067 2221 0 0 10557 8249 0 0 14428 11628 0 0 3067 2469 0 0 80759 7049 0 0 64067 9603 0 0 3067 0 0 1235 1458 1403 10797 0 0 4.63969 4.63969 -160.937 -4.63969 0 0 706193. 2443.58 0.29 0.08 0.13 -1 -1 0.29 0.0281454 0.0249217 183 -1 156 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 6.81 vpr 62.85 MiB 0.03 7152 -1 -1 1 0.03 -1 -1 30320 -1 -1 27 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64360 30 32 371 295 1 235 89 17 17 289 -1 unnamed_device 24.1 MiB 1.81 1196 62.9 MiB 0.15 0.00 3.1264 -105.487 -3.1264 3.1264 1.00 0.000538644 0.000494353 0.0500608 0.0461612 34 2837 20 6.89349e+06 380534 618332. 2139.56 1.74 0.185355 0.163541 25762 151098 -1 2399 22 1900 2662 208510 45529 0 0 208510 45529 2662 2154 0 0 9880 8058 0 0 14940 11659 0 0 2662 2305 0 0 92265 10273 0 0 86101 11080 0 0 2662 0 0 762 942 899 7229 0 0 3.38931 3.38931 -128.948 -3.38931 0 0 787024. 2723.27 0.32 0.10 0.15 -1 -1 0.32 0.0369423 0.0331437 160 47 60 30 56 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 5.36 vpr 62.18 MiB 0.02 6796 -1 -1 1 0.03 -1 -1 30408 -1 -1 22 27 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63672 27 32 269 226 1 168 81 17 17 289 -1 unnamed_device 23.7 MiB 1.48 723 62.2 MiB 0.06 0.00 3.46649 -97.3833 -3.46649 3.46649 0.90 0.000442815 0.000406735 0.0224434 0.020681 28 2103 27 6.89349e+06 310065 531479. 1839.03 1.15 0.0920073 0.0810095 24610 126494 -1 1636 20 1331 1853 125752 31657 0 0 125752 31657 1853 1543 0 0 6886 5584 0 0 10157 8217 0 0 1853 1561 0 0 49383 7427 0 0 55620 7325 0 0 1853 0 0 522 625 536 4816 0 0 3.5871 3.5871 -122.345 -3.5871 0 0 648988. 2245.63 0.17 0.04 0.07 -1 -1 0.17 0.0125297 0.0108736 112 26 54 27 27 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 10.31 vpr 63.26 MiB 0.03 7184 -1 -1 1 0.04 -1 -1 30424 -1 -1 32 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64776 32 32 493 378 1 322 96 17 17 289 -1 unnamed_device 24.5 MiB 2.11 1725 63.3 MiB 0.13 0.00 4.08424 -139.831 -4.08424 4.08424 0.90 0.000723372 0.000664306 0.0368623 0.0338672 36 4431 30 6.89349e+06 451003 648988. 2245.63 4.88 0.233261 0.206222 26050 158493 -1 3554 24 2731 3936 392146 100221 0 0 392146 100221 3936 3484 0 0 13856 11058 0 0 20226 15776 0 0 3936 3616 0 0 176824 32563 0 0 173368 33724 0 0 3936 0 0 1205 1420 1182 10363 0 0 4.48045 4.48045 -163.469 -4.48045 0 0 828058. 2865.25 0.32 0.14 0.15 -1 -1 0.32 0.0370713 0.0326346 219 85 62 31 95 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 8.64 vpr 62.68 MiB 0.06 7232 -1 -1 1 0.17 -1 -1 30232 -1 -1 31 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64184 31 32 455 371 1 306 94 17 17 289 -1 unnamed_device 23.8 MiB 2.45 1511 62.7 MiB 0.16 0.00 4.14544 -139.976 -4.14544 4.14544 0.99 0.00066333 0.000605912 0.05482 0.0501051 34 3807 29 6.89349e+06 436909 618332. 2139.56 2.39 0.241409 0.214485 25762 151098 -1 2963 21 2618 3068 227788 51532 0 0 227788 51532 3068 2832 0 0 11548 9317 0 0 16896 13395 0 0 3068 2900 0 0 97616 11857 0 0 95592 11231 0 0 3068 0 0 450 463 516 5549 0 0 4.30215 4.30215 -162.067 -4.30215 0 0 787024. 2723.27 0.30 0.09 0.15 -1 -1 0.30 0.0320441 0.0284169 201 105 0 0 124 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 6.03 vpr 62.05 MiB 0.05 6872 -1 -1 1 0.17 -1 -1 29872 -1 -1 22 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63540 32 32 355 304 1 245 86 17 17 289 -1 unnamed_device 23.2 MiB 1.69 1238 62.1 MiB 0.07 0.00 3.56679 -119.039 -3.56679 3.56679 0.64 0.000314907 0.000283268 0.0223212 0.0205246 34 3157 37 6.89349e+06 310065 618332. 2139.56 1.40 0.132557 0.115984 25762 151098 -1 2377 19 1618 1885 159323 35327 0 0 159323 35327 1885 1797 0 0 7224 5998 0 0 10672 8692 0 0 1885 1821 0 0 71066 8282 0 0 66591 8737 0 0 1885 0 0 267 249 251 3186 0 0 3.531 3.531 -134.463 -3.531 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0241946 0.0215128 150 86 0 0 89 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 7.88 vpr 62.06 MiB 0.06 6868 -1 -1 1 0.16 -1 -1 30156 -1 -1 23 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63548 32 32 364 282 1 225 87 17 17 289 -1 unnamed_device 23.1 MiB 2.18 1156 62.1 MiB 0.15 0.00 3.66075 -124.746 -3.66075 3.66075 0.98 0.000578126 0.000530958 0.0510943 0.0469826 34 3146 25 6.89349e+06 324158 618332. 2139.56 1.98 0.179889 0.159638 25762 151098 -1 2405 21 1816 2559 200724 46479 0 0 200724 46479 2559 2186 0 0 9491 7897 0 0 15003 11630 0 0 2559 2337 0 0 87847 11190 0 0 83265 11239 0 0 2559 0 0 743 649 618 6315 0 0 3.91896 3.91896 -146.978 -3.91896 0 0 787024. 2723.27 0.29 0.08 0.13 -1 -1 0.29 0.0278903 0.0247534 151 31 90 30 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 7.90 vpr 62.50 MiB 0.06 7156 -1 -1 1 0.17 -1 -1 30392 -1 -1 30 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64004 31 32 443 336 1 280 93 17 17 289 -1 unnamed_device 23.7 MiB 1.98 1364 62.5 MiB 0.20 0.00 3.68095 -125.048 -3.68095 3.68095 1.02 0.000683694 0.000629749 0.0662386 0.0611688 34 3533 24 6.89349e+06 422815 618332. 2139.56 1.98 0.225774 0.200474 25762 151098 -1 2825 21 2362 3337 248370 56906 0 0 248370 56906 3337 2973 0 0 12588 10119 0 0 18230 14754 0 0 3337 3066 0 0 106701 13221 0 0 104177 12773 0 0 3337 0 0 975 1766 1356 10520 0 0 4.16826 4.16826 -153.199 -4.16826 0 0 787024. 2723.27 0.32 0.11 0.13 -1 -1 0.32 0.0331652 0.0293394 193 50 87 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 8.78 vpr 62.25 MiB 0.06 7008 -1 -1 1 0.16 -1 -1 30000 -1 -1 28 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63748 30 32 373 297 1 235 90 17 17 289 -1 unnamed_device 23.3 MiB 2.04 1101 62.3 MiB 0.12 0.00 3.49306 -109.701 -3.49306 3.49306 1.07 0.000581036 0.000535686 0.0358603 0.0329498 36 2764 26 6.89349e+06 394628 648988. 2245.63 2.86 0.182056 0.161161 26050 158493 -1 2114 19 1348 1989 119965 30449 0 0 119965 30449 1989 1577 0 0 7046 5496 0 0 9882 7860 0 0 1989 1674 0 0 47417 7588 0 0 51642 6254 0 0 1989 0 0 641 710 756 5736 0 0 3.6503 3.6503 -128.752 -3.6503 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0260939 0.0232175 162 50 58 30 58 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 8.22 vpr 62.30 MiB 0.06 6936 -1 -1 1 0.17 -1 -1 30208 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63796 32 32 407 319 1 260 92 17 17 289 -1 unnamed_device 23.5 MiB 1.90 1334 62.3 MiB 0.10 0.00 4.01094 -138.881 -4.01094 4.01094 1.04 0.000693944 0.000640599 0.0296083 0.0272487 34 3558 37 6.89349e+06 394628 618332. 2139.56 2.57 0.193726 0.171541 25762 151098 -1 2831 20 2157 2900 196927 45580 0 0 196927 45580 2900 2331 0 0 10525 8662 0 0 16109 12417 0 0 2900 2481 0 0 82898 9627 0 0 81595 10062 0 0 2900 0 0 743 712 853 6784 0 0 4.29915 4.29915 -165.009 -4.29915 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.01869 0.0166521 173 61 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 8.04 vpr 62.28 MiB 0.06 6964 -1 -1 1 0.17 -1 -1 30088 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63772 32 32 405 318 1 260 91 17 17 289 -1 unnamed_device 23.3 MiB 2.19 1417 62.3 MiB 0.14 0.00 2.96065 -108.311 -2.96065 2.96065 1.06 0.000600956 0.000551873 0.04846 0.0444634 34 3261 44 6.89349e+06 380534 618332. 2139.56 1.92 0.226834 0.200318 25762 151098 -1 2690 20 2205 2986 212885 49112 0 0 212885 49112 2986 2577 0 0 11274 9415 0 0 16898 13490 0 0 2986 2753 0 0 88993 10752 0 0 89748 10125 0 0 2986 0 0 781 1146 996 7895 0 0 3.18176 3.18176 -129.63 -3.18176 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0299156 0.0267064 175 61 63 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 7.12 vpr 61.60 MiB 0.03 6820 -1 -1 1 0.16 -1 -1 30124 -1 -1 21 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63076 29 32 287 238 1 178 82 17 17 289 -1 unnamed_device 22.8 MiB 1.64 877 61.6 MiB 0.11 0.00 3.0572 -100.366 -3.0572 3.0572 1.03 0.000455135 0.000418663 0.0383859 0.0353031 34 2127 32 6.89349e+06 295971 618332. 2139.56 1.74 0.160408 0.141454 25762 151098 -1 1837 19 1429 1894 148403 33263 0 0 148403 33263 1894 1719 0 0 7007 5683 0 0 10832 8602 0 0 1894 1809 0 0 62325 8244 0 0 64451 7206 0 0 1894 0 0 465 520 366 4175 0 0 3.32086 3.32086 -124.01 -3.32086 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.020189 0.0177615 118 28 58 29 29 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 6.80 vpr 62.09 MiB 0.04 6980 -1 -1 1 0.16 -1 -1 29972 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63576 32 32 334 290 1 223 84 17 17 289 -1 unnamed_device 23.1 MiB 1.41 1158 62.1 MiB 0.07 0.00 3.60599 -112.846 -3.60599 3.60599 1.02 0.000545159 0.000502794 0.0208148 0.0191261 34 2873 24 6.89349e+06 281877 618332. 2139.56 1.67 0.164564 0.144735 25762 151098 -1 2305 21 1613 1935 141078 32787 0 0 141078 32787 1935 1772 0 0 7289 5937 0 0 10892 8489 0 0 1935 1804 0 0 60051 7442 0 0 58976 7343 0 0 1935 0 0 322 341 241 3483 0 0 3.6535 3.6535 -130.553 -3.6535 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0257818 0.022843 136 79 0 0 82 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 7.46 vpr 62.23 MiB 0.06 7004 -1 -1 1 0.17 -1 -1 30136 -1 -1 24 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63724 31 32 365 281 1 225 87 17 17 289 -1 unnamed_device 23.4 MiB 1.72 1174 62.2 MiB 0.16 0.00 3.68095 -125.338 -3.68095 3.68095 1.02 0.0024189 0.00235343 0.0555642 0.0512735 36 2691 22 6.89349e+06 338252 648988. 2245.63 2.03 0.200114 0.178295 26050 158493 -1 2142 22 1811 2615 164344 38467 0 0 164344 38467 2615 1995 0 0 9176 7585 0 0 13551 10689 0 0 2615 2074 0 0 67576 8377 0 0 68811 7747 0 0 2615 0 0 804 748 794 7157 0 0 3.86866 3.86866 -142.55 -3.86866 0 0 828058. 2865.25 0.30 0.05 0.14 -1 -1 0.30 0.017466 0.0153914 154 29 93 31 31 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 5.17 vpr 61.52 MiB 0.05 6864 -1 -1 1 0.17 -1 -1 30192 -1 -1 21 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62996 29 32 297 254 1 193 82 17 17 289 -1 unnamed_device 22.8 MiB 0.99 1005 61.5 MiB 0.05 0.00 2.7321 -87.7323 -2.7321 2.7321 0.64 0.000270721 0.000249305 0.0150212 0.0139154 34 2212 25 6.89349e+06 295971 618332. 2139.56 1.30 0.0987931 0.0856339 25762 151098 -1 1960 20 1404 1642 120094 27512 0 0 120094 27512 1642 1556 0 0 6067 4918 0 0 8828 6995 0 0 1642 1592 0 0 50922 6085 0 0 50993 6366 0 0 1642 0 0 238 196 263 2837 0 0 2.81196 2.81196 -106.616 -2.81196 0 0 787024. 2723.27 0.29 0.06 0.13 -1 -1 0.29 0.0223002 0.0197775 123 48 29 29 52 26 -fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 7.80 vpr 61.59 MiB 0.03 6812 -1 -1 1 0.17 -1 -1 29916 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63064 32 32 314 256 1 194 82 17 17 289 -1 unnamed_device 22.9 MiB 2.12 1023 61.6 MiB 0.11 0.00 3.0872 -111.679 -3.0872 3.0872 1.02 0.000506556 0.000464781 0.0372209 0.0342393 34 2677 48 6.89349e+06 253689 618332. 2139.56 1.99 0.187054 0.16529 25762 151098 -1 2150 22 1757 2472 203039 43221 0 0 203039 43221 2472 2073 0 0 8946 7370 0 0 13889 10563 0 0 2472 2155 0 0 86254 10867 0 0 89006 10193 0 0 2472 0 0 715 794 612 6073 0 0 3.27686 3.27686 -132.429 -3.27686 0 0 787024. 2723.27 0.28 0.08 0.14 -1 -1 0.28 0.025249 0.0223464 127 31 64 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 7.53 vpr 62.39 MiB 0.06 6880 -1 -1 1 0.16 -1 -1 29936 -1 -1 27 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63888 31 32 387 307 1 242 90 17 17 289 -1 unnamed_device 23.5 MiB 2.11 1273 62.4 MiB 0.12 0.00 3.36994 -116.693 -3.36994 3.36994 1.00 0.000580661 0.000533004 0.0367217 0.0337199 34 3112 31 6.89349e+06 380534 618332. 2139.56 1.88 0.194412 0.171862 25762 151098 -1 2506 24 2443 3344 261874 56374 0 0 261874 56374 3344 2890 0 0 12115 9857 0 0 18800 14432 0 0 3344 3017 0 0 115222 12571 0 0 109049 13607 0 0 3344 0 0 901 946 1062 8347 0 0 3.54685 3.54685 -142.27 -3.54685 0 0 787024. 2723.27 0.20 0.06 0.09 -1 -1 0.20 0.0203699 0.0180619 164 60 58 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 7.04 vpr 61.95 MiB 0.05 6936 -1 -1 1 0.17 -1 -1 29780 -1 -1 21 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63440 31 32 308 262 1 196 84 17 17 289 -1 unnamed_device 23.0 MiB 1.84 945 62.0 MiB 0.08 0.00 2.66772 -91.3445 -2.66772 2.66772 1.06 0.000429854 0.000393824 0.0251159 0.0230812 34 2505 23 6.89349e+06 295971 618332. 2139.56 1.39 0.118073 0.103491 25762 151098 -1 2009 20 1249 1547 131164 28651 0 0 131164 28651 1547 1453 0 0 5907 4742 0 0 8900 7109 0 0 1547 1476 0 0 59721 6333 0 0 53542 7538 0 0 1547 0 0 298 305 172 2918 0 0 2.69166 2.69166 -109.623 -2.69166 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0270081 0.024216 125 49 31 31 53 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 7.79 vpr 62.21 MiB 0.06 7072 -1 -1 1 0.17 -1 -1 30224 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63704 32 32 383 307 1 242 89 17 17 289 -1 unnamed_device 23.2 MiB 1.88 1271 62.2 MiB 0.14 0.00 3.42609 -117.933 -3.42609 3.42609 1.01 0.000501461 0.000458686 0.0464984 0.0426536 34 3059 30 6.89349e+06 352346 618332. 2139.56 2.18 0.21127 0.187605 25762 151098 -1 2463 19 1618 2325 167433 38455 0 0 167433 38455 2325 1928 0 0 8676 7197 0 0 12992 10416 0 0 2325 1996 0 0 75772 7575 0 0 65343 9343 0 0 2325 0 0 707 711 948 7247 0 0 3.3255 3.3255 -130.685 -3.3255 0 0 787024. 2723.27 0.29 0.05 0.14 -1 -1 0.29 0.0156881 0.0139348 162 56 52 26 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 8.03 vpr 62.32 MiB 0.06 7100 -1 -1 1 0.17 -1 -1 30012 -1 -1 31 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63812 31 32 422 339 1 277 94 17 17 289 -1 unnamed_device 23.6 MiB 2.14 1293 62.3 MiB 0.16 0.00 3.98812 -131.083 -3.98812 3.98812 1.00 0.000613933 0.00056288 0.0536536 0.0492956 34 3741 27 6.89349e+06 436909 618332. 2139.56 2.17 0.202266 0.178283 25762 151098 -1 2839 20 2139 3065 258629 63115 0 0 258629 63115 3065 2530 0 0 11567 9625 0 0 18312 14363 0 0 3065 2699 0 0 112926 16986 0 0 109694 16912 0 0 3065 0 0 926 1142 1159 8686 0 0 4.19213 4.19213 -154.251 -4.19213 0 0 787024. 2723.27 0.28 0.08 0.15 -1 -1 0.28 0.0241246 0.0216792 185 88 31 31 92 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 9.55 vpr 62.07 MiB 0.04 6868 -1 -1 1 0.17 -1 -1 29840 -1 -1 21 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63564 32 32 333 279 1 216 85 17 17 289 -1 unnamed_device 23.3 MiB 3.17 1061 62.1 MiB 0.13 0.00 2.87755 -100.445 -2.87755 2.87755 1.04 0.000520625 0.000478873 0.0453836 0.0416627 36 2845 23 6.89349e+06 295971 648988. 2245.63 2.53 0.17786 0.157792 26050 158493 -1 2191 20 1553 2132 179175 38996 0 0 179175 38996 2132 1704 0 0 7599 6008 0 0 10470 8315 0 0 2132 1955 0 0 76733 11109 0 0 80109 9905 0 0 2132 0 0 579 615 518 5026 0 0 2.90921 2.90921 -118.54 -2.90921 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0233367 0.0205894 137 54 32 32 60 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 7.37 vpr 61.54 MiB 0.03 6792 -1 -1 1 0.17 -1 -1 29844 -1 -1 20 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63020 32 32 339 283 1 218 84 17 17 289 -1 unnamed_device 23.0 MiB 1.51 1121 61.5 MiB 0.10 0.00 3.0652 -107.402 -3.0652 3.0652 1.02 0.000302979 0.000278902 0.0331501 0.0305572 36 2610 48 6.89349e+06 281877 648988. 2245.63 2.16 0.187495 0.165198 26050 158493 -1 2175 19 1490 1813 136020 29683 0 0 136020 29683 1813 1659 0 0 6375 5105 0 0 9084 7062 0 0 1813 1681 0 0 58430 7115 0 0 58505 7061 0 0 1813 0 0 323 351 333 3466 0 0 3.15976 3.15976 -123.785 -3.15976 0 0 828058. 2865.25 0.27 0.07 0.16 -1 -1 0.27 0.0249082 0.0221742 139 60 32 32 62 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 7.70 vpr 62.31 MiB 0.06 6960 -1 -1 1 0.17 -1 -1 30440 -1 -1 27 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63804 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 23.4 MiB 1.78 1243 62.3 MiB 0.11 0.00 3.72015 -131.957 -3.72015 3.72015 0.98 0.0006014 0.000551693 0.0326697 0.0301103 34 3236 26 6.89349e+06 380534 618332. 2139.56 2.01 0.188627 0.166948 25762 151098 -1 2591 21 2190 2750 185884 44327 0 0 185884 44327 2750 2320 0 0 10338 8472 0 0 15020 12218 0 0 2750 2409 0 0 77145 9661 0 0 77881 9247 0 0 2750 0 0 560 551 589 5628 0 0 4.17136 4.17136 -158.229 -4.17136 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.031948 0.0284961 178 49 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 7.57 vpr 62.29 MiB 0.04 7040 -1 -1 1 0.17 -1 -1 30192 -1 -1 26 29 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63784 29 32 367 293 1 231 87 17 17 289 -1 unnamed_device 23.3 MiB 2.05 1098 62.3 MiB 0.14 0.00 3.05925 -97.8551 -3.05925 3.05925 1.05 0.000555921 0.000510922 0.0469058 0.0431489 34 2494 23 6.89349e+06 366440 618332. 2139.56 1.66 0.18257 0.160822 25762 151098 -1 2050 20 1736 2254 150382 34841 0 0 150382 34841 2254 1933 0 0 8254 6676 0 0 12168 9789 0 0 2254 1997 0 0 64147 6915 0 0 61305 7531 0 0 2254 0 0 518 838 806 6220 0 0 3.12301 3.12301 -114.272 -3.12301 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0281846 0.0252055 157 54 56 29 58 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 10.32 vpr 62.56 MiB 0.04 7072 -1 -1 1 0.16 -1 -1 29972 -1 -1 29 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64060 32 32 469 381 1 315 93 17 17 289 -1 unnamed_device 23.5 MiB 1.64 1538 62.6 MiB 0.16 0.00 3.96268 -136.842 -3.96268 3.96268 1.05 0.000918243 0.00084638 0.0518794 0.047718 34 3976 48 6.89349e+06 408721 618332. 2139.56 4.78 0.35451 0.311389 25762 151098 -1 3319 21 2813 3174 256866 56768 0 0 256866 56768 3174 2949 0 0 11800 9788 0 0 17461 13825 0 0 3174 3000 0 0 116709 12321 0 0 104548 14885 0 0 3174 0 0 361 269 349 4875 0 0 4.55769 4.55769 -167.494 -4.55769 0 0 787024. 2723.27 0.31 0.10 0.14 -1 -1 0.31 0.0318451 0.0281036 203 117 0 0 128 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 5.39 vpr 61.30 MiB 0.06 6636 -1 -1 1 0.17 -1 -1 30000 -1 -1 16 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62768 31 32 259 212 1 155 79 17 17 289 -1 unnamed_device 22.8 MiB 0.74 829 61.3 MiB 0.10 0.00 2.34777 -84.544 -2.34777 2.34777 1.04 0.000439865 0.000402067 0.0332813 0.0306283 32 2258 28 6.89349e+06 225501 586450. 2029.24 0.86 0.0842548 0.0743573 25474 144626 -1 1812 22 1201 1946 151140 34265 0 0 151140 34265 1946 1570 0 0 7333 6030 0 0 12317 9393 0 0 1946 1756 0 0 64558 7675 0 0 63040 7841 0 0 1946 0 0 745 850 809 6076 0 0 2.86191 2.86191 -111.746 -2.86191 0 0 744469. 2576.02 0.30 0.07 0.14 -1 -1 0.30 0.023595 0.0208854 104 -1 85 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 8.31 vpr 62.36 MiB 0.06 7092 -1 -1 1 0.17 -1 -1 29792 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63860 32 32 418 338 1 273 92 17 17 289 -1 unnamed_device 23.6 MiB 2.03 1482 62.4 MiB 0.18 0.00 4.46413 -148.864 -4.46413 4.46413 1.04 0.000624646 0.000573311 0.0556373 0.0511348 36 3138 22 6.89349e+06 394628 648988. 2245.63 2.29 0.227044 0.202792 26050 158493 -1 2715 21 2292 3018 239180 51052 0 0 239180 51052 3018 2573 0 0 10863 8833 0 0 15879 12315 0 0 3018 2666 0 0 106009 12291 0 0 100393 12374 0 0 3018 0 0 726 825 806 7191 0 0 4.93104 4.93104 -174.637 -4.93104 0 0 828058. 2865.25 0.34 0.11 0.17 -1 -1 0.34 0.0372796 0.0334982 179 89 28 28 92 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 8.41 vpr 62.46 MiB 0.06 6884 -1 -1 1 0.17 -1 -1 29828 -1 -1 24 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63964 32 32 376 318 1 259 88 17 17 289 -1 unnamed_device 23.5 MiB 2.50 1421 62.5 MiB 0.16 0.00 3.82948 -135.438 -3.82948 3.82948 0.89 0.000535002 0.000490982 0.0520024 0.047767 34 3482 25 6.89349e+06 338252 618332. 2139.56 2.15 0.169104 0.149855 25762 151098 -1 2881 24 2698 3351 292259 62358 0 0 292259 62358 3351 3045 0 0 12059 10049 0 0 18724 14396 0 0 3351 3128 0 0 128171 15666 0 0 126603 16074 0 0 3351 0 0 653 725 768 6803 0 0 4.49544 4.49544 -167.033 -4.49544 0 0 787024. 2723.27 0.29 0.11 0.12 -1 -1 0.29 0.0301725 0.0266736 161 93 0 0 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 7.73 vpr 62.13 MiB 0.04 6968 -1 -1 1 0.17 -1 -1 30108 -1 -1 25 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63620 32 32 401 316 1 253 89 17 17 289 -1 unnamed_device 23.3 MiB 1.94 1287 62.1 MiB 0.14 0.00 3.00785 -109.329 -3.00785 3.00785 1.00 0.000534926 0.000488119 0.0470838 0.0431595 34 3250 26 6.89349e+06 352346 618332. 2139.56 1.94 0.209038 0.18525 25762 151098 -1 2583 23 1943 2574 190725 43768 0 0 190725 43768 2574 2218 0 0 9714 7890 0 0 14449 11485 0 0 2574 2320 0 0 81095 10169 0 0 80319 9686 0 0 2574 0 0 631 1043 1113 7619 0 0 3.18466 3.18466 -131.402 -3.18466 0 0 787024. 2723.27 0.31 0.12 0.15 -1 -1 0.31 0.0370238 0.0330223 170 59 61 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 10.39 vpr 62.71 MiB 0.04 7172 -1 -1 1 0.16 -1 -1 30260 -1 -1 33 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64216 32 32 500 382 1 323 97 17 17 289 -1 unnamed_device 23.8 MiB 2.05 1662 62.7 MiB 0.22 0.00 4.36294 -148.909 -4.36294 4.36294 1.04 0.00074577 0.000685707 0.0717977 0.0660241 36 3994 29 6.89349e+06 465097 648988. 2245.63 4.57 0.371356 0.330585 26050 158493 -1 3347 23 2863 3408 289449 61146 0 0 289449 61146 3408 3155 0 0 12320 10038 0 0 17971 14223 0 0 3408 3194 0 0 129597 14407 0 0 122745 16129 0 0 3408 0 0 545 619 721 6383 0 0 5.0944 5.0944 -181.362 -5.0944 0 0 828058. 2865.25 0.21 0.10 0.09 -1 -1 0.21 0.033948 0.0300134 224 81 64 32 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 6.36 vpr 61.30 MiB 0.05 6716 -1 -1 1 0.17 -1 -1 29916 -1 -1 16 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62768 30 32 246 229 1 160 78 17 17 289 -1 unnamed_device 22.8 MiB 1.36 829 61.3 MiB 0.09 0.00 2.44266 -78.3619 -2.44266 2.44266 0.86 0.000397981 0.000365233 0.0336522 0.0308858 34 1851 24 6.89349e+06 225501 618332. 2139.56 1.54 0.127746 0.111548 25762 151098 -1 1610 15 658 671 55785 12705 0 0 55785 12705 671 659 0 0 2633 2141 0 0 3777 3109 0 0 671 660 0 0 22526 3459 0 0 25507 2677 0 0 671 0 0 13 15 6 731 0 0 2.19676 2.19676 -89.8788 -2.19676 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.015366 0.0136908 93 51 0 0 53 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 5.76 vpr 61.59 MiB 0.05 6676 -1 -1 1 0.17 -1 -1 29756 -1 -1 21 30 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63064 30 32 296 244 1 181 83 17 17 289 -1 unnamed_device 22.9 MiB 1.27 900 61.6 MiB 0.09 0.00 3.34479 -112.271 -3.34479 3.34479 0.95 0.000411557 0.000377251 0.0280982 0.0257846 28 2115 32 6.89349e+06 295971 531479. 1839.03 1.00 0.110764 0.0979259 24610 126494 -1 1891 19 1426 2051 136460 32463 0 0 136460 32463 2051 1752 0 0 7440 6006 0 0 10871 8787 0 0 2051 1788 0 0 57166 7204 0 0 56881 6926 0 0 2051 0 0 625 782 632 5582 0 0 3.5578 3.5578 -137.064 -3.5578 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0212947 0.0188022 124 29 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 8.82 vpr 61.69 MiB 0.03 6752 -1 -1 1 0.17 -1 -1 29756 -1 -1 18 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63172 32 32 314 256 1 199 82 17 17 289 -1 unnamed_device 23.1 MiB 2.64 1004 61.7 MiB 0.11 0.00 3.53249 -123.335 -3.53249 3.53249 0.99 0.000539038 0.000497017 0.0332352 0.0305048 36 2802 25 6.89349e+06 253689 648988. 2245.63 2.40 0.178814 0.159127 26050 158493 -1 2355 21 1633 2794 188963 43346 0 0 188963 43346 2794 2212 0 0 9639 7818 0 0 14234 11023 0 0 2794 2354 0 0 82014 9539 0 0 77488 10400 0 0 2794 0 0 1161 1351 1218 9558 0 0 3.82235 3.82235 -149.893 -3.82235 0 0 828058. 2865.25 0.32 0.08 0.15 -1 -1 0.32 0.0248256 0.0219778 129 31 64 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 6.77 vpr 61.27 MiB 0.06 6796 -1 -1 1 0.16 -1 -1 29928 -1 -1 24 25 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 62740 25 32 251 214 1 162 81 17 17 289 -1 unnamed_device 22.8 MiB 1.54 727 61.3 MiB 0.10 0.00 3.0352 -80.976 -3.0352 3.0352 1.03 0.000360416 0.000330707 0.034619 0.0318221 34 1794 21 6.89349e+06 338252 618332. 2139.56 1.52 0.1291 0.112683 25762 151098 -1 1557 18 1000 1395 99530 23407 0 0 99530 23407 1395 1175 0 0 5156 4128 0 0 7494 5986 0 0 1395 1200 0 0 45733 4787 0 0 38357 6131 0 0 1395 0 0 395 547 464 3959 0 0 3.17161 3.17161 -103.263 -3.17161 0 0 787024. 2723.27 0.31 0.05 0.15 -1 -1 0.31 0.0177641 0.0157319 107 19 50 25 25 25 -fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 8.87 vpr 62.52 MiB 0.04 7068 -1 -1 1 0.17 -1 -1 30144 -1 -1 28 32 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 64016 32 32 432 346 1 288 92 17 17 289 -1 unnamed_device 23.7 MiB 3.16 1453 62.5 MiB 0.15 0.00 3.78745 -130.236 -3.78745 3.78745 1.02 0.000676551 0.000623585 0.0489712 0.0450045 40 2862 24 6.89349e+06 394628 706193. 2443.58 1.75 0.172949 0.152104 26914 176310 -1 2768 20 2320 3315 249689 56728 0 0 249689 56728 3315 2567 0 0 12517 10475 0 0 19454 15321 0 0 3315 2822 0 0 111402 12190 0 0 99686 13353 0 0 3315 0 0 995 1043 796 8199 0 0 3.90506 3.90506 -150.387 -3.90506 0 0 926341. 3205.33 0.32 0.09 0.17 -1 -1 0.32 0.0289565 0.0256072 190 84 32 32 94 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 8.09 vpr 62.41 MiB 0.04 7108 -1 -1 1 0.17 -1 -1 30016 -1 -1 27 31 0 0 success v8.0.0-8589-ge322fb7cf release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-09-27T22:52:07 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/update/CAS/navid/vtr-verilog-to-routing/vtr_flow/tasks 63912 31 32 421 339 1 274 90 17 17 289 -1 unnamed_device 23.6 MiB 2.39 1265 62.4 MiB 0.12 0.00 3.80129 -124.578 -3.80129 3.80129 0.74 0.000668408 0.000615279 0.0393099 0.0360974 34 3682 38 6.89349e+06 380534 618332. 2139.56 2.29 0.179358 0.156852 25762 151098 -1 2806 22 2355 3313 243272 57691 0 0 243272 57691 3313 3018 0 0 12077 10166 0 0 18968 14645 0 0 3313 3110 0 0 103726 13640 0 0 101875 13112 0 0 3313 0 0 958 842 873 8362 0 0 4.49849 4.49849 -162.206 -4.49849 0 0 787024. 2723.27 0.22 0.11 0.14 -1 -1 0.22 0.0330531 0.0296138 183 88 29 29 93 31 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 10.85 vpr 64.83 MiB 0.03 7104 -1 -1 14 0.37 -1 -1 36492 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 280 312 1 205 90 17 17 289 -1 unnamed_device 26.3 MiB 0.34 1364 8130 1912 5160 1058 64.8 MiB 0.09 0.00 6.5171 -132.639 -6.5171 6.5171 1.05 0.000820975 0.000710805 0.0345161 0.0311345 28 3707 48 6.55708e+06 313430 500653. 1732.36 6.81 0.267198 0.233215 21310 115450 -1 3091 22 1479 4603 269119 61238 7.0443 7.0443 -160.189 -7.0443 0 0 612192. 2118.31 0.27 0.10 0.11 -1 -1 0.27 0.0371316 0.0331266 186 185 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 16.74 vpr 64.50 MiB 0.02 7044 -1 -1 14 0.40 -1 -1 36708 -1 -1 30 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 30 32 277 309 1 215 92 17 17 289 -1 unnamed_device 26.0 MiB 0.56 1296 12926 3334 7463 2129 64.5 MiB 0.12 0.00 6.98624 -139.787 -6.98624 6.98624 1.08 0.000678634 0.000618743 0.0513579 0.0467903 28 4048 39 6.55708e+06 361650 500653. 1732.36 12.37 0.281918 0.248451 21310 115450 -1 3230 19 1616 4478 283360 65480 7.22664 7.22664 -161.149 -7.22664 0 0 612192. 2118.31 0.26 0.10 0.11 -1 -1 0.26 0.0318915 0.028608 189 186 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 10.50 vpr 64.70 MiB 0.02 7048 -1 -1 11 0.29 -1 -1 36408 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 274 306 1 208 89 17 17 289 -1 unnamed_device 26.3 MiB 0.46 1279 11375 2946 6710 1719 64.7 MiB 0.11 0.00 5.48872 -115.921 -5.48872 5.48872 1.08 0.000611369 0.000549558 0.042507 0.0382682 36 3725 43 6.55708e+06 301375 612192. 2118.31 6.26 0.245927 0.216713 22750 144809 -1 3092 21 1441 4729 285602 64439 5.92066 5.92066 -139.809 -5.92066 0 0 782063. 2706.10 0.34 0.10 0.13 -1 -1 0.34 0.035526 0.0319501 180 179 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 9.09 vpr 64.68 MiB 0.02 6988 -1 -1 12 0.46 -1 -1 36472 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66236 29 32 269 301 1 203 90 17 17 289 -1 unnamed_device 26.2 MiB 0.42 1285 8331 1992 5747 592 64.7 MiB 0.09 0.00 6.34804 -118.848 -6.34804 6.34804 1.09 0.00102443 0.000956792 0.0382183 0.0346192 36 3199 34 6.55708e+06 349595 612192. 2118.31 4.80 0.313769 0.275444 22750 144809 -1 2723 17 1272 4078 209914 49862 6.82884 6.82884 -137.442 -6.82884 0 0 782063. 2706.10 0.35 0.09 0.15 -1 -1 0.35 0.0331315 0.0298292 185 180 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 6.33 vpr 65.36 MiB 0.02 7180 -1 -1 13 0.42 -1 -1 37172 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 32 32 317 349 1 246 96 17 17 289 -1 unnamed_device 26.6 MiB 0.54 1585 10170 2430 6837 903 65.4 MiB 0.11 0.00 6.46824 -138.353 -6.46824 6.46824 1.10 0.000780043 0.000710863 0.0461686 0.0418677 30 4184 26 6.55708e+06 385760 526063. 1820.29 1.90 0.19465 0.174133 21886 126133 -1 3490 23 1634 4690 316977 103916 6.7183 6.7183 -155.078 -6.7183 0 0 666494. 2306.21 0.32 0.14 0.12 -1 -1 0.32 0.0461458 0.0417573 223 222 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 11.41 vpr 64.78 MiB 0.02 7012 -1 -1 12 0.37 -1 -1 36440 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 299 331 1 232 98 17 17 289 -1 unnamed_device 26.2 MiB 0.59 1486 10223 2593 6423 1207 64.8 MiB 0.11 0.00 6.19064 -124.909 -6.19064 6.19064 1.07 0.000898861 0.000808883 0.0415832 0.0378933 36 3515 27 6.55708e+06 409870 612192. 2118.31 7.01 0.389435 0.343647 22750 144809 -1 3074 16 1264 3905 195661 46585 6.43104 6.43104 -144.363 -6.43104 0 0 782063. 2706.10 0.35 0.08 0.14 -1 -1 0.35 0.0330956 0.0301337 209 204 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 8.20 vpr 64.04 MiB 0.02 6952 -1 -1 12 0.24 -1 -1 36008 -1 -1 27 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 27 32 210 242 1 167 86 17 17 289 -1 unnamed_device 25.5 MiB 0.33 1093 9536 2423 5647 1466 64.0 MiB 0.08 0.00 5.77658 -104.791 -5.77658 5.77658 1.00 0.00051138 0.000454279 0.0308619 0.0281442 28 3057 26 6.55708e+06 325485 500653. 1732.36 4.46 0.214398 0.187714 21310 115450 -1 2681 18 1212 3479 246451 53156 6.16872 6.16872 -126.305 -6.16872 0 0 612192. 2118.31 0.29 0.09 0.12 -1 -1 0.29 0.0254658 0.0226848 136 125 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 15.28 vpr 64.59 MiB 0.02 6936 -1 -1 11 0.21 -1 -1 36584 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 31 32 264 296 1 199 91 17 17 289 -1 unnamed_device 25.9 MiB 0.29 1254 11311 2910 6958 1443 64.6 MiB 0.09 0.00 5.18418 -108.446 -5.18418 5.18418 0.95 0.000620966 0.000564645 0.0366936 0.03332 32 3690 49 6.55708e+06 337540 554710. 1919.41 11.71 0.355544 0.314313 22174 131602 -1 3053 17 1334 3983 283664 66737 5.45412 5.45412 -130.954 -5.45412 0 0 701300. 2426.64 0.29 0.10 0.12 -1 -1 0.29 0.0300536 0.0272528 175 171 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 7.55 vpr 64.41 MiB 0.02 7108 -1 -1 12 0.23 -1 -1 36052 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65952 31 32 234 266 1 190 88 17 17 289 -1 unnamed_device 26.0 MiB 0.41 1197 8668 2295 5233 1140 64.4 MiB 0.07 0.00 5.61718 -124.3 -5.61718 5.61718 1.01 0.000712188 0.00065629 0.0287459 0.0259891 28 3174 18 6.55708e+06 301375 500653. 1732.36 3.69 0.192207 0.168815 21310 115450 -1 2654 14 1047 2630 162799 37677 6.05878 6.05878 -142.536 -6.05878 0 0 612192. 2118.31 0.31 0.07 0.11 -1 -1 0.31 0.0278838 0.0254017 145 141 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 11.00 vpr 64.38 MiB 0.02 6956 -1 -1 13 0.22 -1 -1 36148 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 32 32 253 285 1 194 89 17 17 289 -1 unnamed_device 25.9 MiB 0.45 1231 12761 3479 7153 2129 64.4 MiB 0.10 0.00 6.22784 -137.083 -6.22784 6.22784 0.94 0.000648352 0.000593464 0.0421714 0.0384895 28 3284 30 6.55708e+06 301375 500653. 1732.36 7.26 0.31152 0.274943 21310 115450 -1 2907 17 1225 3374 198112 46724 6.27164 6.27164 -156.349 -6.27164 0 0 612192. 2118.31 0.30 0.09 0.11 -1 -1 0.30 0.0302242 0.0272635 162 158 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 11.83 vpr 64.03 MiB 0.02 7084 -1 -1 12 0.24 -1 -1 36432 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65564 30 32 217 249 1 169 84 17 17 289 -1 unnamed_device 25.5 MiB 0.40 1079 10515 2657 5844 2014 64.0 MiB 0.09 0.00 5.98944 -123.803 -5.98944 5.98944 1.05 0.000515087 0.000468323 0.0346985 0.0316132 26 3384 49 6.55708e+06 265210 477104. 1650.88 7.89 0.238974 0.209644 21022 109990 -1 2435 21 1105 2659 279918 102620 6.47024 6.47024 -147.717 -6.47024 0 0 585099. 2024.56 0.30 0.12 0.11 -1 -1 0.30 0.0314922 0.0283418 132 126 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 6.39 vpr 64.19 MiB 0.02 6848 -1 -1 12 0.19 -1 -1 36044 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65732 32 32 227 259 1 176 85 17 17 289 -1 unnamed_device 25.6 MiB 0.23 1093 12547 4184 6119 2244 64.2 MiB 0.09 0.00 5.51886 -121.204 -5.51886 5.51886 0.99 0.000512843 0.000464295 0.0372017 0.0336322 28 3407 47 6.55708e+06 253155 500653. 1732.36 2.86 0.142678 0.125456 21310 115450 -1 2726 21 1241 3504 285189 73429 5.75926 5.75926 -141.41 -5.75926 0 0 612192. 2118.31 0.27 0.11 0.11 -1 -1 0.27 0.031467 0.0283521 138 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 5.92 vpr 65.06 MiB 0.03 7228 -1 -1 13 0.36 -1 -1 36532 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 32 32 306 338 1 235 94 17 17 289 -1 unnamed_device 26.4 MiB 0.41 1415 5845 939 4748 158 65.1 MiB 0.07 0.00 6.5609 -131.521 -6.5609 6.5609 1.04 0.000731746 0.00066309 0.0261193 0.0238266 28 4118 29 6.55708e+06 361650 500653. 1732.36 1.81 0.149372 0.132133 21310 115450 -1 3293 23 1748 5296 314827 71779 7.03204 7.03204 -154.655 -7.03204 0 0 612192. 2118.31 0.30 0.12 0.11 -1 -1 0.30 0.042485 0.0380722 212 211 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 9.31 vpr 65.00 MiB 0.02 7092 -1 -1 14 0.42 -1 -1 36684 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 302 334 1 235 93 17 17 289 -1 unnamed_device 26.4 MiB 0.59 1512 12903 3453 7659 1791 65.0 MiB 0.13 0.00 7.41762 -151.614 -7.41762 7.41762 1.04 0.000788301 0.000715766 0.0526359 0.0476661 36 3714 23 6.55708e+06 349595 612192. 2118.31 4.91 0.312656 0.274248 22750 144809 -1 3212 16 1333 3774 202213 47705 8.09702 8.09702 -178.936 -8.09702 0 0 782063. 2706.10 0.34 0.09 0.15 -1 -1 0.34 0.0334351 0.0301401 208 207 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 8.12 vpr 64.25 MiB 0.02 6792 -1 -1 11 0.22 -1 -1 36120 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65788 29 32 238 270 1 186 90 17 17 289 -1 unnamed_device 25.8 MiB 0.26 1092 12150 3323 7251 1576 64.2 MiB 0.10 0.00 5.15566 -106.737 -5.15566 5.15566 1.03 0.000591203 0.000538796 0.0384036 0.0345208 34 2633 23 6.55708e+06 349595 585099. 2024.56 4.35 0.289673 0.25473 22462 138074 -1 2341 19 1263 3546 183124 43480 5.49132 5.49132 -120.048 -5.49132 0 0 742403. 2568.87 0.34 0.08 0.13 -1 -1 0.34 0.0303947 0.0271708 160 149 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 7.30 vpr 65.01 MiB 0.02 6996 -1 -1 12 0.35 -1 -1 36768 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 306 338 1 235 98 17 17 289 -1 unnamed_device 26.4 MiB 0.67 1620 7523 1547 5215 761 65.0 MiB 0.08 0.00 6.6001 -134.71 -6.6001 6.6001 1.06 0.000792987 0.000717068 0.0297805 0.0270427 30 4162 28 6.55708e+06 409870 526063. 1820.29 2.95 0.157751 0.139968 21886 126133 -1 3599 17 1592 4975 263557 59691 6.6419 6.6419 -154.495 -6.6419 0 0 666494. 2306.21 0.31 0.11 0.12 -1 -1 0.31 0.0370093 0.03356 213 211 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 7.70 vpr 65.12 MiB 0.02 7216 -1 -1 13 0.34 -1 -1 36668 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 311 343 1 239 96 17 17 289 -1 unnamed_device 26.5 MiB 0.35 1448 13236 3314 7774 2148 65.1 MiB 0.12 0.00 6.5961 -137.919 -6.5961 6.5961 1.03 0.000737609 0.000666798 0.0502653 0.0453926 28 4621 38 6.55708e+06 385760 500653. 1732.36 3.65 0.188735 0.167387 21310 115450 -1 3453 31 1624 4846 398288 116969 6.9567 6.9567 -160.962 -6.9567 0 0 612192. 2118.31 0.28 0.17 0.11 -1 -1 0.28 0.0546194 0.0489231 217 216 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 13.97 vpr 64.17 MiB 0.02 7048 -1 -1 12 0.20 -1 -1 36132 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65712 32 32 230 262 1 182 86 17 17 289 -1 unnamed_device 25.8 MiB 0.59 1085 5000 922 3954 124 64.2 MiB 0.05 0.00 6.1219 -131.656 -6.1219 6.1219 1.03 0.000525308 0.000474845 0.0173682 0.0158342 28 3124 37 6.55708e+06 265210 500653. 1732.36 9.95 0.222277 0.194386 21310 115450 -1 2458 14 954 2750 159970 38424 6.6027 6.6027 -155.559 -6.6027 0 0 612192. 2118.31 0.32 0.08 0.12 -1 -1 0.32 0.0255593 0.0229804 139 135 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 4.10 vpr 63.79 MiB 0.02 6776 -1 -1 10 0.13 -1 -1 36276 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65320 30 32 176 208 1 139 82 17 17 289 -1 unnamed_device 25.2 MiB 0.13 813 6312 1391 4624 297 63.8 MiB 0.04 0.00 4.44306 -99.6509 -4.44306 4.44306 0.92 0.00037634 0.000341622 0.0154846 0.0140757 30 1993 19 6.55708e+06 241100 526063. 1820.29 0.96 0.0754393 0.0666798 21886 126133 -1 1732 14 679 1648 87712 21025 4.76446 4.76446 -115.823 -4.76446 0 0 666494. 2306.21 0.30 0.04 0.11 -1 -1 0.30 0.0163028 0.0145613 96 85 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 5.03 vpr 64.10 MiB 0.02 6932 -1 -1 13 0.19 -1 -1 36232 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65636 31 32 226 258 1 176 87 17 17 289 -1 unnamed_device 25.7 MiB 0.35 1120 6615 1416 4619 580 64.1 MiB 0.05 0.00 6.22784 -130.123 -6.22784 6.22784 0.88 0.000499541 0.000452326 0.020525 0.0186851 28 2987 35 6.55708e+06 289320 500653. 1732.36 1.60 0.12113 0.107585 21310 115450 -1 2519 33 1440 4301 379415 151491 6.45598 6.45598 -150.67 -6.45598 0 0 612192. 2118.31 0.28 0.16 0.10 -1 -1 0.28 0.0436152 0.038919 139 133 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 5.73 vpr 64.57 MiB 0.02 7008 -1 -1 13 0.37 -1 -1 36804 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 32 32 302 334 1 228 95 17 17 289 -1 unnamed_device 26.0 MiB 0.43 1465 10247 2563 6807 877 64.6 MiB 0.09 0.00 6.22984 -126.721 -6.22984 6.22984 0.96 0.000709114 0.000644382 0.0371961 0.0336967 30 3689 34 6.55708e+06 373705 526063. 1820.29 1.66 0.15792 0.139456 21886 126133 -1 3138 29 2264 8037 515268 175198 6.71064 6.71064 -152.021 -6.71064 0 0 666494. 2306.21 0.31 0.19 0.12 -1 -1 0.31 0.0514275 0.0456014 208 207 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 8.90 vpr 64.77 MiB 0.02 7288 -1 -1 13 0.38 -1 -1 36448 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 299 331 1 237 98 17 17 289 -1 unnamed_device 26.2 MiB 0.55 1616 10448 2843 6873 732 64.8 MiB 0.09 0.00 6.5191 -137.159 -6.5191 6.5191 0.94 0.000639185 0.000578175 0.0355604 0.0320796 38 3922 24 6.55708e+06 409870 638502. 2209.35 4.74 0.224546 0.19864 23326 155178 -1 3295 16 1379 4612 240732 54056 6.90724 6.90724 -154.036 -6.90724 0 0 851065. 2944.86 0.38 0.10 0.16 -1 -1 0.38 0.0351585 0.0320403 207 204 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 4.70 vpr 63.53 MiB 0.02 6900 -1 -1 9 0.11 -1 -1 35976 -1 -1 21 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65056 26 32 149 181 1 119 79 17 17 289 -1 unnamed_device 25.0 MiB 0.35 696 7346 2031 4662 653 63.5 MiB 0.05 0.00 3.89854 -77.4529 -3.89854 3.89854 0.96 0.000372234 0.000339455 0.0171886 0.0156181 26 1836 26 6.55708e+06 253155 477104. 1650.88 1.41 0.0755844 0.066352 21022 109990 -1 1705 18 671 1767 117589 27163 4.20768 4.20768 -93.02 -4.20768 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0155791 0.0138667 83 66 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 4.85 vpr 64.95 MiB 0.02 7156 -1 -1 13 0.36 -1 -1 36504 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 32 32 304 336 1 228 94 17 17 289 -1 unnamed_device 26.5 MiB 0.22 1515 4780 716 3796 268 64.9 MiB 0.05 0.00 6.8405 -130.754 -6.8405 6.8405 0.89 0.000650177 0.000591311 0.0188775 0.0172432 30 3404 26 6.55708e+06 361650 526063. 1820.29 1.44 0.140845 0.126161 21886 126133 -1 3040 20 1381 3878 184947 43711 7.0809 7.0809 -150.111 -7.0809 0 0 666494. 2306.21 0.28 0.08 0.11 -1 -1 0.28 0.0342696 0.0308551 211 209 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 4.88 vpr 63.63 MiB 0.02 6648 -1 -1 8 0.10 -1 -1 36268 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65160 32 32 155 187 1 113 81 17 17 289 -1 unnamed_device 25.2 MiB 0.22 432 4631 1024 2964 643 63.6 MiB 0.03 0.00 3.72586 -71.6208 -3.72586 3.72586 0.89 0.000328257 0.000298496 0.0105743 0.00958378 34 1334 50 6.55708e+06 204935 585099. 2024.56 1.81 0.120052 0.105351 22462 138074 -1 1067 29 565 1146 143089 77876 3.9958 3.9958 -87.775 -3.9958 0 0 742403. 2568.87 0.30 0.08 0.12 -1 -1 0.30 0.0219164 0.0193422 77 60 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 8.08 vpr 64.25 MiB 0.02 7108 -1 -1 15 0.30 -1 -1 36532 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65788 32 32 253 285 1 192 89 17 17 289 -1 unnamed_device 25.8 MiB 0.26 1122 12167 3931 6268 1968 64.2 MiB 0.09 0.00 7.12896 -136.985 -7.12896 7.12896 0.96 0.000594358 0.0005397 0.0395573 0.0359098 38 2709 27 6.55708e+06 301375 638502. 2209.35 4.36 0.261677 0.231315 23326 155178 -1 2201 28 976 2888 231665 88843 7.36935 7.36935 -153.025 -7.36935 0 0 851065. 2944.86 0.35 0.11 0.14 -1 -1 0.35 0.0384189 0.0342664 161 158 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 6.61 vpr 65.04 MiB 0.02 6940 -1 -1 12 0.31 -1 -1 36736 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 309 341 1 232 95 17 17 289 -1 unnamed_device 26.4 MiB 0.26 1431 15215 4212 8295 2708 65.0 MiB 0.12 0.00 5.73938 -123.875 -5.73938 5.73938 0.90 0.000633361 0.000566249 0.0501008 0.0447619 34 4130 30 6.55708e+06 373705 585099. 2024.56 2.99 0.202305 0.178521 22462 138074 -1 3179 16 1504 4711 271633 62518 6.01898 6.01898 -141.53 -6.01898 0 0 742403. 2568.87 0.31 0.09 0.12 -1 -1 0.31 0.0315468 0.0285511 218 214 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 9.03 vpr 64.91 MiB 0.02 7228 -1 -1 13 0.35 -1 -1 36708 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 289 321 1 218 92 17 17 289 -1 unnamed_device 26.5 MiB 0.40 1446 8786 2118 5866 802 64.9 MiB 0.08 0.00 5.98944 -130.404 -5.98944 5.98944 0.96 0.00066407 0.000593268 0.0329391 0.0297564 34 3612 48 6.55708e+06 337540 585099. 2024.56 5.16 0.329086 0.288563 22462 138074 -1 3123 17 1412 4170 225204 52230 6.59044 6.59044 -151.967 -6.59044 0 0 742403. 2568.87 0.31 0.08 0.13 -1 -1 0.31 0.0309097 0.0279475 196 194 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 9.20 vpr 64.38 MiB 0.02 7012 -1 -1 12 0.21 -1 -1 36148 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 32 32 239 271 1 188 86 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1170 8213 1877 5734 602 64.4 MiB 0.07 0.00 5.35486 -120.577 -5.35486 5.35486 0.95 0.000544535 0.000492368 0.0281382 0.025485 28 3154 20 6.55708e+06 265210 500653. 1732.36 5.75 0.187597 0.16384 21310 115450 -1 2713 17 1130 2960 178270 41586 5.80812 5.80812 -139.881 -5.80812 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.024145 0.0216168 146 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 11.08 vpr 64.14 MiB 0.02 6976 -1 -1 11 0.20 -1 -1 36564 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65684 30 32 213 245 1 162 85 17 17 289 -1 unnamed_device 25.6 MiB 0.22 1033 12175 3693 6499 1983 64.1 MiB 0.08 0.00 5.08892 -110.458 -5.08892 5.08892 0.96 0.000508696 0.000461677 0.0348155 0.0314919 26 3180 48 6.55708e+06 277265 477104. 1650.88 7.61 0.207062 0.180965 21022 109990 -1 2482 18 1088 2899 187706 42496 5.36346 5.36346 -128.724 -5.36346 0 0 585099. 2024.56 0.28 0.08 0.11 -1 -1 0.28 0.0268743 0.0240563 128 122 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 4.81 vpr 64.29 MiB 0.02 7048 -1 -1 11 0.18 -1 -1 36988 -1 -1 27 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65836 28 32 221 253 1 183 87 17 17 289 -1 unnamed_device 25.9 MiB 0.27 1194 6807 1572 4701 534 64.3 MiB 0.05 0.00 5.38078 -108.16 -5.38078 5.38078 0.88 0.000488173 0.000442886 0.0203499 0.0185305 30 2896 24 6.55708e+06 325485 526063. 1820.29 1.59 0.107034 0.0951805 21886 126133 -1 2367 16 959 2812 136965 32097 5.71746 5.71746 -125.995 -5.71746 0 0 666494. 2306.21 0.27 0.06 0.10 -1 -1 0.27 0.0227381 0.0205272 142 134 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 5.93 vpr 64.99 MiB 0.02 6996 -1 -1 12 0.24 -1 -1 35956 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 273 305 1 210 92 17 17 289 -1 unnamed_device 26.2 MiB 0.25 1310 7130 1637 4768 725 65.0 MiB 0.06 0.00 5.77598 -133.314 -5.77598 5.77598 0.98 0.00059782 0.000541751 0.0242006 0.0219228 28 3666 37 6.55708e+06 337540 500653. 1732.36 2.34 0.136349 0.120374 21310 115450 -1 3176 24 1590 4162 325677 94052 6.37698 6.37698 -160.733 -6.37698 0 0 612192. 2118.31 0.27 0.12 0.11 -1 -1 0.27 0.0370314 0.0331718 180 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 6.90 vpr 64.38 MiB 0.02 7056 -1 -1 11 0.21 -1 -1 35952 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 31 32 238 270 1 182 86 17 17 289 -1 unnamed_device 26.0 MiB 0.32 1025 4622 820 3663 139 64.4 MiB 0.04 0.00 5.53052 -114.027 -5.53052 5.53052 0.97 0.000549172 0.000495433 0.016829 0.0153362 30 2523 23 6.55708e+06 277265 526063. 1820.29 3.34 0.191923 0.167707 21886 126133 -1 2094 16 1014 2830 121810 30859 5.67566 5.67566 -127.68 -5.67566 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.023942 0.0214461 147 145 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 4.70 vpr 64.20 MiB 0.02 6980 -1 -1 10 0.18 -1 -1 36340 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65736 29 32 221 253 1 165 85 17 17 289 -1 unnamed_device 25.6 MiB 0.27 909 11989 3939 5614 2436 64.2 MiB 0.08 0.00 5.05172 -101.001 -5.05172 5.05172 0.97 0.000489618 0.000442616 0.0357111 0.0323568 30 2497 25 6.55708e+06 289320 526063. 1820.29 1.23 0.122337 0.108624 21886 126133 -1 1837 15 830 2464 114600 28220 5.39806 5.39806 -113.948 -5.39806 0 0 666494. 2306.21 0.30 0.05 0.11 -1 -1 0.30 0.0221422 0.0200053 138 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 9.01 vpr 65.20 MiB 0.02 7172 -1 -1 13 0.39 -1 -1 37288 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 333 365 1 249 97 17 17 289 -1 unnamed_device 26.8 MiB 0.35 1576 6535 1304 4458 773 65.2 MiB 0.07 0.00 6.0409 -126.834 -6.0409 6.0409 0.94 0.000745372 0.000673403 0.0276181 0.0250043 38 3561 28 6.55708e+06 397815 638502. 2209.35 5.16 0.318839 0.279516 23326 155178 -1 3019 16 1324 4483 217817 49468 6.4407 6.4407 -144.605 -6.4407 0 0 851065. 2944.86 0.35 0.09 0.15 -1 -1 0.35 0.0352355 0.0319972 239 238 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 6.14 vpr 64.98 MiB 0.02 7116 -1 -1 13 0.37 -1 -1 36904 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66540 32 32 297 329 1 227 93 17 17 289 -1 unnamed_device 26.4 MiB 0.44 1472 7443 1563 4972 908 65.0 MiB 0.07 0.00 6.46824 -141.83 -6.46824 6.46824 0.90 0.000651053 0.000590234 0.0265674 0.0239899 36 3852 29 6.55708e+06 349595 612192. 2118.31 2.37 0.183843 0.163161 22750 144809 -1 3245 17 1482 4744 278914 62896 6.7601 6.7601 -158.997 -6.7601 0 0 782063. 2706.10 0.31 0.10 0.12 -1 -1 0.31 0.035303 0.0322676 203 202 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 5.65 vpr 64.28 MiB 0.02 6884 -1 -1 12 0.19 -1 -1 36748 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 31 32 234 266 1 181 88 17 17 289 -1 unnamed_device 25.9 MiB 0.36 1186 7888 1780 4882 1226 64.3 MiB 0.06 0.00 5.38078 -116.722 -5.38078 5.38078 0.92 0.000535447 0.00047053 0.0239666 0.0217189 28 3185 26 6.55708e+06 301375 500653. 1732.36 2.11 0.107037 0.0941192 21310 115450 -1 2729 18 1186 3299 215428 47755 6.14378 6.14378 -145.081 -6.14378 0 0 612192. 2118.31 0.28 0.08 0.11 -1 -1 0.28 0.0266551 0.0240157 150 141 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 5.39 vpr 64.82 MiB 0.02 7008 -1 -1 12 0.30 -1 -1 36700 -1 -1 34 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 31 32 310 342 1 234 97 17 17 289 -1 unnamed_device 26.2 MiB 0.24 1486 14305 3786 8101 2418 64.8 MiB 0.12 0.00 6.3969 -132.406 -6.3969 6.3969 0.89 0.000668777 0.000606659 0.0466354 0.0422409 30 3753 37 6.55708e+06 409870 526063. 1820.29 1.96 0.17449 0.154943 21886 126133 -1 2985 16 1460 4439 203322 49656 6.5955 6.5955 -148.716 -6.5955 0 0 666494. 2306.21 0.27 0.08 0.10 -1 -1 0.27 0.0320939 0.0292273 219 217 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 6.93 vpr 64.71 MiB 0.02 7280 -1 -1 14 0.44 -1 -1 36648 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 31 32 284 316 1 221 91 17 17 289 -1 unnamed_device 26.3 MiB 0.26 1494 12331 3548 7305 1478 64.7 MiB 0.11 0.00 6.5543 -132.531 -6.5543 6.5543 0.97 0.000729481 0.000662663 0.0476328 0.04304 28 4409 27 6.55708e+06 337540 500653. 1732.36 3.09 0.153667 0.135907 21310 115450 -1 3339 24 1713 5207 380523 105320 7.3565 7.3565 -158.988 -7.3565 0 0 612192. 2118.31 0.28 0.14 0.11 -1 -1 0.28 0.0413091 0.0371482 194 191 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 6.17 vpr 64.55 MiB 0.02 7184 -1 -1 13 0.33 -1 -1 36916 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 31 32 271 303 1 212 91 17 17 289 -1 unnamed_device 26.2 MiB 0.31 1357 8659 1997 5313 1349 64.5 MiB 0.08 0.00 6.74784 -138.35 -6.74784 6.74784 0.96 0.000636712 0.00056598 0.031022 0.0280754 34 3619 22 6.55708e+06 337540 585099. 2024.56 2.38 0.158575 0.140775 22462 138074 -1 3007 20 1410 3930 231792 53727 7.10844 7.10844 -158.849 -7.10844 0 0 742403. 2568.87 0.32 0.09 0.13 -1 -1 0.32 0.0328823 0.029614 181 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 8.09 vpr 64.42 MiB 0.02 7060 -1 -1 12 0.30 -1 -1 36612 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65964 31 32 280 312 1 211 93 17 17 289 -1 unnamed_device 26.0 MiB 0.57 1398 13113 3430 7650 2033 64.4 MiB 0.11 0.00 5.59164 -120.742 -5.59164 5.59164 0.93 0.000627099 0.00056616 0.0422853 0.0380502 36 3526 42 6.55708e+06 361650 612192. 2118.31 4.16 0.296326 0.260236 22750 144809 -1 2908 17 1211 3996 218534 48809 6.19264 6.19264 -139.316 -6.19264 0 0 782063. 2706.10 0.32 0.08 0.12 -1 -1 0.32 0.0312114 0.0282055 189 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 5.80 vpr 64.43 MiB 0.02 7260 -1 -1 12 0.24 -1 -1 36404 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 32 32 264 296 1 194 88 17 17 289 -1 unnamed_device 25.9 MiB 0.32 1307 9643 2451 5890 1302 64.4 MiB 0.08 0.00 5.8025 -120.324 -5.8025 5.8025 0.96 0.000605337 0.000551758 0.032977 0.029885 28 3392 20 6.55708e+06 289320 500653. 1732.36 2.14 0.123589 0.109625 21310 115450 -1 2909 20 1410 4395 274460 61376 6.4035 6.4035 -147.869 -6.4035 0 0 612192. 2118.31 0.27 0.10 0.11 -1 -1 0.27 0.0314224 0.0283117 172 169 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 9.20 vpr 65.07 MiB 0.02 7412 -1 -1 14 0.59 -1 -1 36944 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 339 371 1 259 98 17 17 289 -1 unnamed_device 26.9 MiB 0.43 1718 8198 1879 5662 657 65.1 MiB 0.08 0.00 6.5197 -139.307 -6.5197 6.5197 0.94 0.000744255 0.000662475 0.0316536 0.02853 38 4098 28 6.55708e+06 409870 638502. 2209.35 4.97 0.306116 0.268366 23326 155178 -1 3490 17 1519 5181 260546 58755 6.9613 6.9613 -158.842 -6.9613 0 0 851065. 2944.86 0.35 0.10 0.15 -1 -1 0.35 0.0357314 0.0322914 245 244 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 7.38 vpr 64.45 MiB 0.02 7032 -1 -1 11 0.25 -1 -1 36316 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65992 31 32 246 278 1 188 89 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1203 14345 3942 8112 2291 64.4 MiB 0.11 0.00 5.30238 -114.4 -5.30238 5.30238 0.93 0.000572381 0.000517391 0.044337 0.0401073 38 2862 16 6.55708e+06 313430 638502. 2209.35 3.75 0.242503 0.212742 23326 155178 -1 2379 16 977 2727 136983 31587 5.66298 5.66298 -130.432 -5.66298 0 0 851065. 2944.86 0.35 0.06 0.14 -1 -1 0.35 0.0255826 0.023099 160 153 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 10.49 vpr 64.82 MiB 0.02 7288 -1 -1 13 0.36 -1 -1 36736 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 31 32 268 300 1 203 90 17 17 289 -1 unnamed_device 26.4 MiB 0.49 1363 5919 1272 4039 608 64.8 MiB 0.06 0.00 6.33076 -127.785 -6.33076 6.33076 0.99 0.000688462 0.000625256 0.0232093 0.0211395 30 3708 41 6.55708e+06 325485 526063. 1820.29 6.55 0.279659 0.245342 21886 126133 -1 2850 17 1262 4250 212026 48390 6.6007 6.6007 -145.975 -6.6007 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0299399 0.027067 177 175 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 5.61 vpr 64.79 MiB 0.02 7112 -1 -1 12 0.32 -1 -1 36612 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 318 350 1 229 98 17 17 289 -1 unnamed_device 26.1 MiB 0.40 1554 7973 1709 5467 797 64.8 MiB 0.07 0.00 6.01898 -130.646 -6.01898 6.01898 0.89 0.000702971 0.000632966 0.0288828 0.0260057 30 4018 23 6.55708e+06 409870 526063. 1820.29 1.98 0.151654 0.135421 21886 126133 -1 3283 20 1428 5122 241778 56454 6.14118 6.14118 -145.415 -6.14118 0 0 666494. 2306.21 0.27 0.09 0.10 -1 -1 0.27 0.0359492 0.0323598 227 223 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 7.78 vpr 64.75 MiB 0.02 7088 -1 -1 13 0.29 -1 -1 36312 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 273 305 1 205 92 17 17 289 -1 unnamed_device 26.4 MiB 0.20 1234 14168 3976 7538 2654 64.7 MiB 0.11 0.00 6.30884 -130.584 -6.30884 6.30884 0.91 0.000592696 0.000530103 0.045798 0.0412899 36 3255 21 6.55708e+06 337540 612192. 2118.31 4.32 0.271135 0.239144 22750 144809 -1 2678 18 1221 3468 195751 45701 6.63024 6.63024 -149.501 -6.63024 0 0 782063. 2706.10 0.31 0.08 0.12 -1 -1 0.31 0.0309088 0.0278121 184 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 6.67 vpr 64.75 MiB 0.02 7020 -1 -1 13 0.28 -1 -1 36460 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 269 301 1 197 89 17 17 289 -1 unnamed_device 26.2 MiB 0.37 1223 14741 4322 8043 2376 64.8 MiB 0.11 0.00 6.1219 -132.483 -6.1219 6.1219 0.98 0.000596708 0.00053805 0.0489449 0.0441109 28 3613 43 6.55708e+06 301375 500653. 1732.36 2.88 0.170852 0.150953 21310 115450 -1 3056 16 1286 3789 255391 58519 6.3643 6.3643 -153.511 -6.3643 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0272013 0.0244739 175 174 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 6.76 vpr 64.90 MiB 0.02 7044 -1 -1 12 0.36 -1 -1 36624 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 298 330 1 223 95 17 17 289 -1 unnamed_device 26.4 MiB 0.83 1434 8303 1877 5532 894 64.9 MiB 0.08 0.00 5.63344 -124.299 -5.63344 5.63344 1.00 0.000721691 0.000642208 0.0311605 0.0280053 30 3602 50 6.55708e+06 373705 526063. 1820.29 2.42 0.179366 0.158477 21886 126133 -1 3007 18 1305 4660 219168 50777 5.87384 5.87384 -142.241 -5.87384 0 0 666494. 2306.21 0.29 0.09 0.12 -1 -1 0.29 0.0339718 0.0307679 205 203 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 6.19 vpr 64.90 MiB 0.02 7020 -1 -1 13 0.37 -1 -1 37208 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 299 331 1 235 93 17 17 289 -1 unnamed_device 26.4 MiB 0.39 1543 7233 1537 4958 738 64.9 MiB 0.07 0.00 6.2813 -128.6 -6.2813 6.2813 0.96 0.000675938 0.000611815 0.0276868 0.0249918 30 4007 46 6.55708e+06 349595 526063. 1820.29 2.35 0.17118 0.151445 21886 126133 -1 3158 18 1479 4524 215908 50563 6.6393 6.6393 -148.92 -6.6393 0 0 666494. 2306.21 0.29 0.09 0.11 -1 -1 0.29 0.0333434 0.0299737 205 204 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 8.20 vpr 64.54 MiB 0.02 7084 -1 -1 14 0.36 -1 -1 36492 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 32 32 259 291 1 193 89 17 17 289 -1 unnamed_device 26.0 MiB 0.50 1261 10583 2868 6692 1023 64.5 MiB 0.09 0.00 6.5151 -134.739 -6.5151 6.5151 1.02 0.000614861 0.000555366 0.0366413 0.0331625 28 3556 47 6.55708e+06 301375 500653. 1732.36 4.08 0.260663 0.228308 21310 115450 -1 3000 17 1349 4292 274216 61717 7.1227 7.1227 -156.513 -7.1227 0 0 612192. 2118.31 0.28 0.10 0.11 -1 -1 0.28 0.0308775 0.0280364 167 164 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 5.73 vpr 64.71 MiB 0.02 7064 -1 -1 13 0.35 -1 -1 36720 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 293 325 1 226 94 17 17 289 -1 unnamed_device 26.3 MiB 0.64 1449 7762 1637 5531 594 64.7 MiB 0.07 0.00 6.52936 -137.992 -6.52936 6.52936 0.98 0.000670583 0.000610583 0.0293202 0.0264279 30 3459 19 6.55708e+06 361650 526063. 1820.29 1.61 0.126246 0.111016 21886 126133 -1 2830 15 1347 3796 180147 43042 7.09116 7.09116 -155.022 -7.09116 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0279541 0.0252865 199 198 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 6.08 vpr 65.19 MiB 0.02 7128 -1 -1 13 0.37 -1 -1 36764 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 31 32 311 343 1 231 95 17 17 289 -1 unnamed_device 26.5 MiB 0.40 1538 12191 3186 7658 1347 65.2 MiB 0.11 0.00 6.88536 -140.416 -6.88536 6.88536 1.04 0.000728438 0.000657873 0.0477055 0.0428907 30 3793 41 6.55708e+06 385760 526063. 1820.29 1.96 0.192715 0.171297 21886 126133 -1 3119 17 1280 4117 200869 46061 7.56736 7.56736 -161.114 -7.56736 0 0 666494. 2306.21 0.30 0.08 0.12 -1 -1 0.30 0.0332452 0.0300419 221 218 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 9.25 vpr 65.15 MiB 0.02 7124 -1 -1 12 0.41 -1 -1 36312 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 324 356 1 240 96 17 17 289 -1 unnamed_device 26.5 MiB 0.49 1611 10170 2431 6417 1322 65.2 MiB 0.10 0.00 6.31084 -138.51 -6.31084 6.31084 0.93 0.000735455 0.000663289 0.0402363 0.0363731 36 4062 34 6.55708e+06 385760 612192. 2118.31 4.86 0.24658 0.217691 22750 144809 -1 3333 56 1579 5427 1005994 592375 6.79164 6.79164 -157.329 -6.79164 0 0 782063. 2706.10 0.32 0.42 0.14 -1 -1 0.32 0.0853103 0.0753109 231 229 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 7.06 vpr 64.22 MiB 0.02 7016 -1 -1 11 0.17 -1 -1 36684 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65764 32 32 216 248 1 165 83 17 17 289 -1 unnamed_device 25.7 MiB 0.26 1077 11423 3236 6140 2047 64.2 MiB 0.09 0.00 4.97132 -113.985 -4.97132 4.97132 1.03 0.000499746 0.000442267 0.0355051 0.0322644 30 2495 18 6.55708e+06 229045 526063. 1820.29 3.42 0.207589 0.182053 21886 126133 -1 2115 15 893 2439 114038 27197 5.09152 5.09152 -129.064 -5.09152 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.0223956 0.020341 127 121 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 6.62 vpr 64.62 MiB 0.02 6920 -1 -1 13 0.23 -1 -1 36600 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 245 277 1 195 91 17 17 289 -1 unnamed_device 26.2 MiB 0.54 1303 4783 804 3630 349 64.6 MiB 0.05 0.00 6.82684 -145.66 -6.82684 6.82684 1.02 0.000606254 0.000547786 0.0175553 0.015952 28 3660 31 6.55708e+06 325485 500653. 1732.36 2.74 0.122161 0.107525 21310 115450 -1 2964 29 1190 3442 351883 131863 7.06724 7.06724 -162.105 -7.06724 0 0 612192. 2118.31 0.27 0.15 0.10 -1 -1 0.27 0.0441797 0.0395984 156 150 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 9.82 vpr 65.24 MiB 0.03 7320 -1 -1 14 0.56 -1 -1 36564 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 361 393 1 263 100 17 17 289 -1 unnamed_device 26.9 MiB 0.51 1725 7988 1528 5764 696 65.2 MiB 0.09 0.00 7.24596 -154.761 -7.24596 7.24596 1.04 0.00106892 0.000967086 0.0387639 0.0351402 38 3956 19 6.55708e+06 433980 638502. 2209.35 5.30 0.364098 0.320531 23326 155178 -1 3352 17 1511 4769 224617 51838 7.60916 7.60916 -172.361 -7.60916 0 0 851065. 2944.86 0.37 0.10 0.15 -1 -1 0.37 0.0400434 0.0364923 267 266 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 6.68 vpr 64.94 MiB 0.02 7072 -1 -1 13 0.45 -1 -1 37052 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 318 350 1 241 95 17 17 289 -1 unnamed_device 26.4 MiB 0.63 1465 11759 2984 7444 1331 64.9 MiB 0.12 0.00 6.59044 -139.011 -6.59044 6.59044 1.11 0.000836774 0.0007587 0.0523207 0.0474369 28 3886 21 6.55708e+06 373705 500653. 1732.36 2.01 0.17475 0.155433 21310 115450 -1 3462 20 1732 5204 297597 68173 7.0417 7.0417 -162.024 -7.0417 0 0 612192. 2118.31 0.30 0.12 0.12 -1 -1 0.30 0.0428485 0.0387518 224 223 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 10.66 vpr 64.21 MiB 0.02 6968 -1 -1 11 0.21 -1 -1 36600 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65748 30 32 223 255 1 165 85 17 17 289 -1 unnamed_device 25.6 MiB 0.24 975 9199 2680 4891 1628 64.2 MiB 0.08 0.00 5.42198 -108.929 -5.42198 5.42198 0.97 0.000522845 0.000471738 0.0298407 0.0269654 28 2730 32 6.55708e+06 277265 500653. 1732.36 7.21 0.22462 0.197621 21310 115450 -1 2254 18 973 2923 171623 39968 5.66238 5.66238 -128.82 -5.66238 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.0262714 0.0236218 137 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 10.00 vpr 65.24 MiB 0.03 7384 -1 -1 15 0.62 -1 -1 37304 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 335 367 1 253 97 17 17 289 -1 unnamed_device 26.8 MiB 0.45 1747 6979 1368 4970 641 65.2 MiB 0.10 0.00 7.16555 -148.955 -7.16555 7.16555 1.10 0.000943104 0.000856976 0.0398906 0.0363581 36 4348 21 6.55708e+06 397815 612192. 2118.31 5.31 0.355256 0.313668 22750 144809 -1 3696 20 1797 6129 338145 76058 7.68555 7.68555 -172.086 -7.68555 0 0 782063. 2706.10 0.37 0.13 0.15 -1 -1 0.37 0.0453148 0.040459 241 240 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 8.08 vpr 64.84 MiB 0.02 7100 -1 -1 13 0.43 -1 -1 36656 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 32 32 301 333 1 226 93 17 17 289 -1 unnamed_device 26.3 MiB 0.47 1460 16473 4845 9025 2603 64.8 MiB 0.15 0.00 6.4015 -131.383 -6.4015 6.4015 1.06 0.000757499 0.000687565 0.0652631 0.0590086 36 4038 27 6.55708e+06 349595 612192. 2118.31 3.62 0.261461 0.230554 22750 144809 -1 3126 18 1451 4071 225697 52784 6.7621 6.7621 -153.301 -6.7621 0 0 782063. 2706.10 0.34 0.10 0.14 -1 -1 0.34 0.0389627 0.0352222 207 206 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 5.54 vpr 64.20 MiB 0.02 6844 -1 -1 11 0.18 -1 -1 36656 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65740 32 32 238 270 1 183 88 17 17 289 -1 unnamed_device 25.8 MiB 0.28 1185 6133 1245 4433 455 64.2 MiB 0.06 0.00 5.37818 -115.152 -5.37818 5.37818 1.09 0.00056026 0.000512069 0.021849 0.0198351 28 2967 37 6.55708e+06 289320 500653. 1732.36 1.75 0.126624 0.111831 21310 115450 -1 2542 13 1044 2809 159571 37701 5.73878 5.73878 -135.398 -5.73878 0 0 612192. 2118.31 0.29 0.07 0.12 -1 -1 0.29 0.0234896 0.0213206 149 143 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 9.25 vpr 65.05 MiB 0.03 7220 -1 -1 12 0.40 -1 -1 36504 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 32 32 308 340 1 230 95 17 17 289 -1 unnamed_device 26.6 MiB 0.44 1475 7223 1427 5252 544 65.1 MiB 0.08 0.00 6.01898 -125.784 -6.01898 6.01898 1.06 0.000694995 0.000615858 0.0300244 0.027057 44 3257 20 6.55708e+06 373705 742403. 2568.87 4.84 0.347898 0.304926 24478 177802 -1 2838 18 1255 4322 214737 48906 6.13918 6.13918 -138.875 -6.13918 0 0 937218. 3242.97 0.44 0.10 0.18 -1 -1 0.44 0.0378171 0.0341869 217 213 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 11.78 vpr 64.50 MiB 0.02 6824 -1 -1 12 0.26 -1 -1 36308 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 32 32 253 285 1 192 90 17 17 289 -1 unnamed_device 26.0 MiB 0.30 1249 9336 2327 6152 857 64.5 MiB 0.08 0.00 6.2421 -127.001 -6.2421 6.2421 1.03 0.000609999 0.00055393 0.0327313 0.0296729 28 3537 37 6.55708e+06 313430 500653. 1732.36 7.97 0.247636 0.217777 21310 115450 -1 2891 25 1592 4643 391881 128750 6.62964 6.62964 -152.214 -6.62964 0 0 612192. 2118.31 0.28 0.16 0.10 -1 -1 0.28 0.0402917 0.0361809 164 158 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 5.38 vpr 64.46 MiB 0.02 6900 -1 -1 12 0.25 -1 -1 36548 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 30 32 227 259 1 163 83 17 17 289 -1 unnamed_device 26.1 MiB 0.27 996 7283 1637 4962 684 64.5 MiB 0.06 0.00 6.02864 -123.283 -6.02864 6.02864 1.09 0.000510354 0.000457005 0.0258803 0.0235609 24 2938 39 6.55708e+06 253155 448715. 1552.65 1.62 0.142924 0.127491 20734 103517 -1 2277 18 1000 2814 166754 39174 6.50944 6.50944 -143.516 -6.50944 0 0 554710. 1919.41 0.24 0.08 0.09 -1 -1 0.24 0.0304421 0.0275269 139 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 7.48 vpr 64.86 MiB 0.02 7132 -1 -1 12 0.39 -1 -1 36512 -1 -1 32 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 29 32 292 324 1 222 93 17 17 289 -1 unnamed_device 26.4 MiB 0.36 1365 8283 1979 5372 932 64.9 MiB 0.09 0.00 5.93798 -112.647 -5.93798 5.93798 1.12 0.000797091 0.000726435 0.0369889 0.0335731 28 4231 44 6.55708e+06 385760 500653. 1732.36 3.11 0.192713 0.170868 21310 115450 -1 3392 23 1702 5572 474496 153065 6.46258 6.46258 -134.064 -6.46258 0 0 612192. 2118.31 0.30 0.19 0.12 -1 -1 0.30 0.0452691 0.040589 208 203 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 6.39 vpr 65.01 MiB 0.02 7128 -1 -1 14 0.45 -1 -1 36768 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 316 348 1 253 96 17 17 289 -1 unnamed_device 26.6 MiB 0.65 1500 8418 1799 6158 461 65.0 MiB 0.10 0.00 6.85076 -144.99 -6.85076 6.85076 1.11 0.0011526 0.00106622 0.0387667 0.0354061 30 3832 22 6.55708e+06 385760 526063. 1820.29 1.68 0.170088 0.151371 21886 126133 -1 3272 18 1607 4551 212076 50858 7.1579 7.1579 -163.825 -7.1579 0 0 666494. 2306.21 0.34 0.12 0.13 -1 -1 0.34 0.0447408 0.040588 227 221 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 19.22 vpr 64.71 MiB 0.02 7256 -1 -1 12 0.29 -1 -1 36936 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 286 318 1 212 91 17 17 289 -1 unnamed_device 26.3 MiB 0.48 1468 9679 2510 6148 1021 64.7 MiB 0.09 0.00 6.07044 -130.174 -6.07044 6.07044 1.05 0.000665638 0.000605476 0.0386711 0.0349029 26 4918 48 6.55708e+06 325485 477104. 1650.88 15.04 0.317491 0.27907 21022 109990 -1 3755 33 2199 7122 985607 351731 6.74984 6.74984 -156.935 -6.74984 0 0 585099. 2024.56 0.25 0.31 0.10 -1 -1 0.25 0.0554646 0.0496759 192 191 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 10.87 vpr 64.28 MiB 0.02 6908 -1 -1 12 0.18 -1 -1 36744 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 32 32 221 253 1 168 87 17 17 289 -1 unnamed_device 25.7 MiB 0.50 1147 6423 1324 4273 826 64.3 MiB 0.06 0.00 5.37878 -117.138 -5.37878 5.37878 1.08 0.000596713 0.000532968 0.0222257 0.0202101 28 2852 49 6.55708e+06 277265 500653. 1732.36 6.91 0.213756 0.187156 21310 115450 -1 2455 16 893 2692 174987 39470 5.73938 5.73938 -135.211 -5.73938 0 0 612192. 2118.31 0.30 0.07 0.11 -1 -1 0.30 0.0258459 0.0234052 133 126 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 13.57 vpr 64.36 MiB 0.02 7120 -1 -1 12 0.26 -1 -1 36112 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 31 32 261 293 1 199 88 17 17 289 -1 unnamed_device 25.8 MiB 0.28 1122 14518 3967 7759 2792 64.4 MiB 0.11 0.00 6.1611 -118.405 -6.1611 6.1611 0.93 0.000562355 0.000501966 0.0474074 0.0427428 28 3363 32 6.55708e+06 301375 500653. 1732.36 10.03 0.256881 0.227618 21310 115450 -1 2632 18 1247 3415 187148 46602 6.50178 6.50178 -142.447 -6.50178 0 0 612192. 2118.31 0.28 0.08 0.10 -1 -1 0.28 0.0321137 0.028982 170 168 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 5.68 vpr 64.50 MiB 0.02 7120 -1 -1 11 0.26 -1 -1 36392 -1 -1 28 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 30 32 277 309 1 208 90 17 17 289 -1 unnamed_device 26.1 MiB 0.29 1278 10341 2819 6494 1028 64.5 MiB 0.09 0.00 5.13472 -109.701 -5.13472 5.13472 1.01 0.000683937 0.00061383 0.0370717 0.0334533 30 3371 25 6.55708e+06 337540 526063. 1820.29 1.69 0.144844 0.128 21886 126133 -1 2710 28 1660 6357 491744 188825 5.33332 5.33332 -126.882 -5.33332 0 0 666494. 2306.21 0.33 0.21 0.13 -1 -1 0.33 0.0501412 0.0450437 189 186 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 5.94 vpr 64.50 MiB 0.03 7084 -1 -1 11 0.26 -1 -1 36496 -1 -1 28 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 28 32 251 283 1 194 88 17 17 289 -1 unnamed_device 25.9 MiB 0.40 1218 9643 2530 5885 1228 64.5 MiB 0.08 0.00 5.38078 -98.2735 -5.38078 5.38078 0.98 0.000643943 0.000580537 0.0301477 0.0271989 30 3249 35 6.55708e+06 337540 526063. 1820.29 2.14 0.146693 0.130039 21886 126133 -1 2668 16 1185 3899 200551 46161 5.38078 5.38078 -113.577 -5.38078 0 0 666494. 2306.21 0.31 0.09 0.12 -1 -1 0.31 0.030266 0.0272337 171 164 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 7.89 vpr 64.11 MiB 0.02 7084 -1 -1 13 0.25 -1 -1 36576 -1 -1 25 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65644 30 32 223 255 1 182 87 17 17 289 -1 unnamed_device 25.7 MiB 0.57 1085 5463 1160 3699 604 64.1 MiB 0.05 0.00 6.3185 -124.03 -6.3185 6.3185 1.01 0.000529445 0.000482064 0.0191164 0.017462 34 2687 44 6.55708e+06 301375 585099. 2024.56 3.81 0.235907 0.207829 22462 138074 -1 2345 17 961 2479 141721 33371 6.6399 6.6399 -141.264 -6.6399 0 0 742403. 2568.87 0.34 0.07 0.14 -1 -1 0.34 0.0288175 0.0262 142 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 5.98 vpr 64.84 MiB 0.02 6876 -1 -1 12 0.26 -1 -1 36332 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 269 301 1 211 91 17 17 289 -1 unnamed_device 26.1 MiB 0.46 1341 7639 1544 5419 676 64.8 MiB 0.07 0.00 6.06784 -131.714 -6.06784 6.06784 1.07 0.000927774 0.000864605 0.0297708 0.0268857 28 3612 31 6.55708e+06 325485 500653. 1732.36 1.89 0.142189 0.125664 21310 115450 -1 3045 18 1350 3649 211139 49041 6.30824 6.30824 -151.624 -6.30824 0 0 612192. 2118.31 0.28 0.10 0.12 -1 -1 0.28 0.0341285 0.0307521 180 174 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 7.17 vpr 64.87 MiB 0.02 6952 -1 -1 13 0.39 -1 -1 36768 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 31 32 283 315 1 212 93 17 17 289 -1 unnamed_device 26.4 MiB 0.44 1207 16473 5014 8912 2547 64.9 MiB 0.15 0.00 6.5609 -125.433 -6.5609 6.5609 1.06 0.000697061 0.000619529 0.0626733 0.056609 34 3848 42 6.55708e+06 361650 585099. 2024.56 2.77 0.231003 0.204822 22462 138074 -1 2875 21 1722 5188 308192 71193 7.1227 7.1227 -147.717 -7.1227 0 0 742403. 2568.87 0.34 0.11 0.13 -1 -1 0.34 0.0371029 0.0333742 195 190 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 7.71 vpr 65.09 MiB 0.02 7040 -1 -1 14 0.40 -1 -1 36708 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 308 340 1 227 95 17 17 289 -1 unnamed_device 26.5 MiB 0.39 1402 11327 3034 7146 1147 65.1 MiB 0.12 0.00 6.9587 -139.321 -6.9587 6.9587 1.10 0.00077422 0.000686705 0.047783 0.0432944 28 4101 37 6.55708e+06 373705 500653. 1732.36 3.34 0.188887 0.167796 21310 115450 -1 3577 30 1722 5457 534733 178845 7.1991 7.1991 -161.421 -7.1991 0 0 612192. 2118.31 0.29 0.21 0.11 -1 -1 0.29 0.056858 0.0511269 215 213 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 8.16 vpr 64.77 MiB 0.02 7096 -1 -1 14 0.35 -1 -1 36780 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 277 309 1 210 91 17 17 289 -1 unnamed_device 26.4 MiB 0.52 1362 8455 1835 6208 412 64.8 MiB 0.08 0.00 6.49016 -128.354 -6.49016 6.49016 1.04 0.000759227 0.000686238 0.0342114 0.0311216 36 3574 27 6.55708e+06 325485 612192. 2118.31 3.90 0.218519 0.193093 22750 144809 -1 2888 17 1213 3875 223981 50186 6.6817 6.6817 -142.445 -6.6817 0 0 782063. 2706.10 0.35 0.09 0.13 -1 -1 0.35 0.0320333 0.0290593 183 182 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 15.07 vpr 64.76 MiB 0.03 7068 -1 -1 13 0.48 -1 -1 36892 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 288 320 1 218 91 17 17 289 -1 unnamed_device 26.3 MiB 0.51 1392 14575 3709 9145 1721 64.8 MiB 0.13 0.00 6.60776 -134.289 -6.60776 6.60776 1.07 0.000861812 0.00077409 0.0570507 0.051209 28 3797 42 6.55708e+06 325485 500653. 1732.36 10.53 0.293442 0.257757 21310 115450 -1 3313 24 1401 4175 370158 121395 7.20876 7.20876 -156.485 -7.20876 0 0 612192. 2118.31 0.31 0.16 0.12 -1 -1 0.31 0.0491536 0.0442635 195 193 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 5.88 vpr 64.48 MiB 0.02 6992 -1 -1 13 0.24 -1 -1 36348 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66032 30 32 230 262 1 183 86 17 17 289 -1 unnamed_device 26.1 MiB 0.34 1165 6890 1494 4473 923 64.5 MiB 0.06 0.00 6.5569 -135.001 -6.5569 6.5569 1.10 0.000562159 0.000506584 0.0248256 0.0224614 34 2969 42 6.55708e+06 289320 585099. 2024.56 1.93 0.15836 0.139899 22462 138074 -1 2496 15 976 2501 156265 35611 7.1187 7.1187 -152.222 -7.1187 0 0 742403. 2568.87 0.33 0.07 0.13 -1 -1 0.33 0.0277431 0.025362 146 139 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 7.62 vpr 64.82 MiB 0.03 7152 -1 -1 13 0.60 -1 -1 36584 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 30 32 294 326 1 230 93 17 17 289 -1 unnamed_device 26.3 MiB 0.39 1381 10173 2574 6337 1262 64.8 MiB 0.11 0.00 6.69136 -133.069 -6.69136 6.69136 1.08 0.000921919 0.000823171 0.0441228 0.0397651 30 4175 29 6.55708e+06 373705 526063. 1820.29 3.10 0.177662 0.157608 21886 126133 -1 3101 19 1604 4690 233323 54792 7.01016 7.01016 -155.411 -7.01016 0 0 666494. 2306.21 0.33 0.11 0.13 -1 -1 0.33 0.040022 0.0362471 208 203 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 5.98 vpr 64.76 MiB 0.02 7168 -1 -1 14 0.38 -1 -1 36392 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 276 308 1 206 94 17 17 289 -1 unnamed_device 26.3 MiB 0.40 1380 8401 2060 5770 571 64.8 MiB 0.09 0.00 6.25538 -134.831 -6.25538 6.25538 1.09 0.000985502 0.000913229 0.0355181 0.0320308 30 3338 34 6.55708e+06 361650 526063. 1820.29 1.76 0.1682 0.149704 21886 126133 -1 2816 18 1298 4243 198240 45980 6.49578 6.49578 -151.673 -6.49578 0 0 666494. 2306.21 0.32 0.09 0.12 -1 -1 0.32 0.0343646 0.0310378 184 181 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 8.16 vpr 64.97 MiB 0.02 7020 -1 -1 12 0.33 -1 -1 36976 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 31 32 293 325 1 227 95 17 17 289 -1 unnamed_device 26.5 MiB 0.28 1495 6359 1229 4710 420 65.0 MiB 0.07 0.00 6.6373 -134.482 -6.6373 6.6373 0.99 0.000719325 0.000639683 0.0259977 0.023539 44 3298 15 6.55708e+06 385760 742403. 2568.87 4.27 0.284361 0.252861 24478 177802 -1 2843 15 1120 3461 182054 41380 7.2775 7.2775 -150.636 -7.2775 0 0 937218. 3242.97 0.41 0.08 0.16 -1 -1 0.41 0.0318866 0.0291181 203 200 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 19.81 vpr 64.78 MiB 0.02 7184 -1 -1 13 0.32 -1 -1 36772 -1 -1 28 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 30 32 273 305 1 212 90 17 17 289 -1 unnamed_device 26.2 MiB 0.31 1278 5517 970 4312 235 64.8 MiB 0.06 0.00 6.23244 -112.435 -6.23244 6.23244 0.96 0.000826508 0.000759083 0.0243956 0.0221707 28 4100 49 6.55708e+06 337540 500653. 1732.36 15.81 0.28537 0.252622 21310 115450 -1 3336 31 1819 5827 659283 203934 6.55324 6.55324 -135.222 -6.55324 0 0 612192. 2118.31 0.30 0.24 0.11 -1 -1 0.30 0.0539558 0.0486452 186 182 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 6.59 vpr 64.90 MiB 0.02 7060 -1 -1 14 0.47 -1 -1 37140 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 310 342 1 239 96 17 17 289 -1 unnamed_device 26.4 MiB 0.62 1591 11484 2892 7640 952 64.9 MiB 0.12 0.00 7.25822 -142.86 -7.25822 7.25822 1.02 0.000782023 0.000707972 0.0482444 0.0436401 30 4101 26 6.55708e+06 385760 526063. 1820.29 2.13 0.167328 0.148222 21886 126133 -1 3367 17 1520 4486 222444 52006 7.64835 7.64835 -163.353 -7.64835 0 0 666494. 2306.21 0.31 0.09 0.13 -1 -1 0.31 0.0352714 0.0318986 220 215 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 8.61 vpr 64.73 MiB 0.03 7256 -1 -1 11 0.39 -1 -1 36652 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 29 32 259 291 1 190 90 17 17 289 -1 unnamed_device 26.2 MiB 0.43 1169 5919 1198 4273 448 64.7 MiB 0.06 0.00 5.54984 -107.818 -5.54984 5.54984 1.05 0.000654502 0.000590199 0.0223486 0.0203016 34 3057 20 6.55708e+06 349595 585099. 2024.56 4.35 0.268238 0.236828 22462 138074 -1 2656 14 1164 3803 207904 47894 5.94458 5.94458 -124.121 -5.94458 0 0 742403. 2568.87 0.36 0.09 0.14 -1 -1 0.36 0.0323291 0.0293356 174 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 6.83 vpr 64.23 MiB 0.02 6864 -1 -1 13 0.19 -1 -1 36328 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65776 32 32 225 257 1 185 87 17 17 289 -1 unnamed_device 25.7 MiB 0.32 1128 7767 1722 5232 813 64.2 MiB 0.06 0.00 6.2793 -138.533 -6.2793 6.2793 1.03 0.000572615 0.000522598 0.0254513 0.0231843 28 2909 22 6.55708e+06 277265 500653. 1732.36 3.24 0.201547 0.17811 21310 115450 -1 2549 17 1108 2683 158689 37871 6.58844 6.58844 -156.902 -6.58844 0 0 612192. 2118.31 0.29 0.08 0.11 -1 -1 0.29 0.029584 0.0267948 142 130 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 8.76 vpr 64.59 MiB 0.02 7060 -1 -1 14 0.31 -1 -1 36632 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 32 32 273 305 1 209 91 17 17 289 -1 unnamed_device 26.0 MiB 0.30 1329 7231 1423 5159 649 64.6 MiB 0.07 0.00 6.57116 -133.256 -6.57116 6.57116 1.07 0.00068259 0.000615686 0.0279677 0.0254497 34 3369 39 6.55708e+06 325485 585099. 2024.56 4.63 0.292411 0.256153 22462 138074 -1 2842 24 1120 3311 312981 121474 7.09116 7.09116 -153.627 -7.09116 0 0 742403. 2568.87 0.38 0.15 0.14 -1 -1 0.38 0.0434701 0.0390735 183 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 9.21 vpr 65.09 MiB 0.02 6968 -1 -1 15 0.49 -1 -1 36704 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 32 32 322 354 1 251 96 17 17 289 -1 unnamed_device 26.7 MiB 0.68 1605 7980 1886 5333 761 65.1 MiB 0.09 0.00 7.73501 -162.833 -7.73501 7.73501 1.05 0.00106597 0.000976198 0.0374205 0.0341538 34 4154 29 6.55708e+06 385760 585099. 2024.56 4.58 0.349948 0.309585 22462 138074 -1 3599 18 1584 4323 243556 57076 8.09561 8.09561 -184.694 -8.09561 0 0 742403. 2568.87 0.36 0.11 0.13 -1 -1 0.36 0.0417672 0.0379808 228 227 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 13.44 vpr 64.37 MiB 0.02 6888 -1 -1 11 0.22 -1 -1 36324 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65916 32 32 218 250 1 164 86 17 17 289 -1 unnamed_device 25.8 MiB 0.76 1049 7457 1698 5403 356 64.4 MiB 0.07 0.00 5.47144 -114.161 -5.47144 5.47144 1.02 0.00054099 0.000491051 0.0243516 0.0220681 28 2896 40 6.55708e+06 265210 500653. 1732.36 8.69 0.221701 0.193479 21310 115450 -1 2452 31 1412 4445 434362 169343 5.59164 5.59164 -132.217 -5.59164 0 0 612192. 2118.31 0.31 0.20 0.12 -1 -1 0.31 0.0451978 0.040471 126 123 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 7.49 vpr 64.17 MiB 0.02 6848 -1 -1 12 0.24 -1 -1 36192 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65708 31 32 244 276 1 192 89 17 17 289 -1 unnamed_device 25.7 MiB 0.40 1224 13355 3696 7957 1702 64.2 MiB 0.10 0.00 5.98944 -127.755 -5.98944 5.98944 0.94 0.00054784 0.000500015 0.0408663 0.0372123 34 3037 27 6.55708e+06 313430 585099. 2024.56 3.74 0.241701 0.215124 22462 138074 -1 2675 19 1303 3739 215715 51535 6.07044 6.07044 -142.543 -6.07044 0 0 742403. 2568.87 0.32 0.09 0.12 -1 -1 0.32 0.0341516 0.0310935 157 151 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 8.33 vpr 65.16 MiB 0.02 7184 -1 -1 12 0.38 -1 -1 36540 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 32 32 301 333 1 231 95 17 17 289 -1 unnamed_device 26.5 MiB 0.75 1502 10031 2256 6452 1323 65.2 MiB 0.10 0.00 6.2029 -136.791 -6.2029 6.2029 1.02 0.000806114 0.000736746 0.0394671 0.0358051 30 3811 22 6.55708e+06 373705 526063. 1820.29 3.89 0.295065 0.259151 21886 126133 -1 3095 17 1346 4067 194415 45696 6.3231 6.3231 -153.412 -6.3231 0 0 666494. 2306.21 0.32 0.09 0.12 -1 -1 0.32 0.036157 0.0329156 209 206 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 6.41 vpr 64.92 MiB 0.02 7064 -1 -1 12 0.30 -1 -1 36424 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 278 310 1 215 92 17 17 289 -1 unnamed_device 26.4 MiB 0.53 1436 14996 4243 8240 2513 64.9 MiB 0.12 0.00 6.42844 -135.086 -6.42844 6.42844 0.94 0.000621942 0.0005645 0.0516469 0.0467359 34 3842 29 6.55708e+06 337540 585099. 2024.56 2.43 0.189526 0.168326 22462 138074 -1 3289 18 1429 4361 265045 60837 6.74984 6.74984 -155.307 -6.74984 0 0 742403. 2568.87 0.32 0.10 0.12 -1 -1 0.32 0.0346047 0.0315427 186 183 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 7.99 vpr 65.03 MiB 0.03 7148 -1 -1 14 0.62 -1 -1 36640 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 32 32 333 365 1 247 99 17 17 289 -1 unnamed_device 26.6 MiB 0.36 1587 8307 2005 5613 689 65.0 MiB 0.09 0.00 7.16496 -146.142 -7.16496 7.16496 1.06 0.000831203 0.000749036 0.0365585 0.0331626 36 4187 32 6.55708e+06 421925 612192. 2118.31 3.47 0.249203 0.219729 22750 144809 -1 3443 20 1790 5454 290474 67982 7.60655 7.60655 -166.619 -7.60655 0 0 782063. 2706.10 0.37 0.11 0.14 -1 -1 0.37 0.0435095 0.0393378 241 238 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 8.38 vpr 64.52 MiB 0.02 7200 -1 -1 11 0.30 -1 -1 36656 -1 -1 27 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66072 30 32 261 293 1 202 89 17 17 289 -1 unnamed_device 25.9 MiB 0.58 1224 14543 4374 7793 2376 64.5 MiB 0.12 0.00 5.26258 -106.392 -5.26258 5.26258 1.03 0.000641394 0.000579607 0.050646 0.0457083 34 3427 25 6.55708e+06 325485 585099. 2024.56 4.14 0.280496 0.246288 22462 138074 -1 2769 16 1184 3572 220151 49663 6.07444 6.07444 -127.938 -6.07444 0 0 742403. 2568.87 0.34 0.08 0.14 -1 -1 0.34 0.0299574 0.0271957 176 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 5.33 vpr 63.91 MiB 0.02 7068 -1 -1 11 0.23 -1 -1 36168 -1 -1 25 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65448 27 32 217 249 1 157 84 17 17 289 -1 unnamed_device 25.3 MiB 0.28 932 5940 1291 4255 394 63.9 MiB 0.05 0.00 5.50038 -100.346 -5.50038 5.50038 1.04 0.000553194 0.000504707 0.0209485 0.0190589 26 2423 24 6.55708e+06 301375 477104. 1650.88 1.68 0.109533 0.0967251 21022 109990 -1 2204 18 919 2563 139398 34416 5.98118 5.98118 -120.294 -5.98118 0 0 585099. 2024.56 0.27 0.07 0.11 -1 -1 0.27 0.0265279 0.0237568 138 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 10.01 vpr 65.16 MiB 0.04 7264 -1 -1 13 0.52 -1 -1 36720 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 32 32 373 405 1 274 104 17 17 289 -1 unnamed_device 27.1 MiB 0.32 1902 12548 3200 8135 1213 65.2 MiB 0.14 0.00 6.42904 -133.695 -6.42904 6.42904 1.04 0.00101166 0.000923259 0.0570129 0.0518253 36 5195 28 6.55708e+06 482200 612192. 2118.31 5.76 0.401862 0.355659 22750 144809 -1 4103 18 1848 6395 359951 80332 6.9633 6.9633 -156.441 -6.9633 0 0 782063. 2706.10 0.33 0.13 0.12 -1 -1 0.33 0.047135 0.0430761 280 278 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 15.89 vpr 64.89 MiB 0.02 7076 -1 -1 14 0.32 -1 -1 36436 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 31 32 269 301 1 201 89 17 17 289 -1 unnamed_device 26.5 MiB 0.35 1320 12761 3202 7623 1936 64.9 MiB 0.11 0.00 6.88536 -138.325 -6.88536 6.88536 1.05 0.000730132 0.000668618 0.0439789 0.0397995 28 4156 41 6.55708e+06 313430 500653. 1732.36 11.82 0.288434 0.253828 21310 115450 -1 3342 29 1900 5738 549742 171446 7.89076 7.89076 -166.88 -7.89076 0 0 612192. 2118.31 0.27 0.20 0.10 -1 -1 0.27 0.0484144 0.0436482 178 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 8.71 vpr 64.39 MiB 0.02 7064 -1 -1 12 0.21 -1 -1 36372 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 228 260 1 185 91 17 17 289 -1 unnamed_device 26.0 MiB 0.47 1161 14575 4032 8510 2033 64.4 MiB 0.12 0.00 6.25538 -137.354 -6.25538 6.25538 1.03 0.000977684 0.000877052 0.0441541 0.039784 36 2962 22 6.55708e+06 325485 612192. 2118.31 4.60 0.232951 0.204019 22750 144809 -1 2583 15 1066 3023 177441 39191 6.37558 6.37558 -150.498 -6.37558 0 0 782063. 2706.10 0.37 0.08 0.14 -1 -1 0.37 0.0276194 0.025099 144 133 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 8.75 vpr 64.59 MiB 0.02 7040 -1 -1 13 0.36 -1 -1 36368 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 32 32 265 297 1 201 89 17 17 289 -1 unnamed_device 26.0 MiB 0.52 1207 6029 1286 4160 583 64.6 MiB 0.07 0.00 6.7203 -130.556 -6.7203 6.7203 1.06 0.000657879 0.000596473 0.026585 0.024223 36 3116 18 6.55708e+06 301375 612192. 2118.31 4.52 0.295126 0.26165 22750 144809 -1 2623 17 1134 3416 184762 43373 7.0417 7.0417 -146.983 -7.0417 0 0 782063. 2706.10 0.33 0.08 0.12 -1 -1 0.33 0.0325991 0.0296081 172 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 15.10 vpr 65.33 MiB 0.02 7360 -1 -1 13 0.37 -1 -1 36904 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 31 32 325 357 1 256 98 17 17 289 -1 unnamed_device 26.9 MiB 0.39 1673 7298 1424 5307 567 65.3 MiB 0.09 0.00 6.5197 -134.644 -6.5197 6.5197 1.05 0.000973422 0.000895103 0.0364458 0.0333753 28 4813 39 6.55708e+06 421925 500653. 1732.36 11.05 0.340222 0.30252 21310 115450 -1 3876 20 1731 5172 343094 83160 6.7601 6.7601 -156.345 -6.7601 0 0 612192. 2118.31 0.27 0.14 0.10 -1 -1 0.27 0.0482283 0.0441055 235 232 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 6.21 vpr 64.73 MiB 0.02 7088 -1 -1 11 0.32 -1 -1 36588 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 30 32 287 319 1 210 94 17 17 289 -1 unnamed_device 26.3 MiB 0.53 1426 12661 3632 7779 1250 64.7 MiB 0.11 0.00 5.91044 -118.756 -5.91044 5.91044 1.01 0.000687226 0.00061318 0.042156 0.0380067 30 3562 30 6.55708e+06 385760 526063. 1820.29 2.11 0.172835 0.154517 21886 126133 -1 3019 18 1331 4655 224810 51702 6.15284 6.15284 -134.823 -6.15284 0 0 666494. 2306.21 0.29 0.09 0.11 -1 -1 0.29 0.0364377 0.0329029 199 196 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 7.78 vpr 64.77 MiB 0.02 7144 -1 -1 15 0.41 -1 -1 36588 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 297 329 1 230 93 17 17 289 -1 unnamed_device 26.2 MiB 0.37 1410 14373 3536 8116 2721 64.8 MiB 0.12 0.00 7.33722 -149.469 -7.33722 7.33722 1.02 0.000872525 0.00078849 0.0525008 0.0474639 36 3927 37 6.55708e+06 349595 612192. 2118.31 3.63 0.270212 0.239795 22750 144809 -1 3105 16 1433 4635 241152 56710 7.85922 7.85922 -167.529 -7.85922 0 0 782063. 2706.10 0.35 0.10 0.13 -1 -1 0.35 0.0332599 0.03008 203 202 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 5.55 vpr 65.26 MiB 0.02 7112 -1 -1 13 0.42 -1 -1 36652 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 32 32 311 343 1 238 96 17 17 289 -1 unnamed_device 26.5 MiB 0.29 1562 8418 1908 5621 889 65.3 MiB 0.08 0.00 6.49216 -137.708 -6.49216 6.49216 1.06 0.000796867 0.000721949 0.0344097 0.0312141 30 3797 31 6.55708e+06 385760 526063. 1820.29 1.47 0.175714 0.156723 21886 126133 -1 3141 17 1518 4617 224564 52366 6.85276 6.85276 -158.38 -6.85276 0 0 666494. 2306.21 0.31 0.10 0.12 -1 -1 0.31 0.0373376 0.0339647 217 216 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 8.12 vpr 64.05 MiB 0.02 6888 -1 -1 12 0.26 -1 -1 35960 -1 -1 29 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65592 29 32 236 268 1 192 90 17 17 289 -1 unnamed_device 25.6 MiB 0.58 1153 8130 1879 5254 997 64.1 MiB 0.07 0.00 5.81778 -125.088 -5.81778 5.81778 1.07 0.000561217 0.000506823 0.0284073 0.0258108 32 3111 43 6.55708e+06 349595 554710. 1919.41 3.94 0.261925 0.230196 22174 131602 -1 2587 17 1108 2777 170877 40576 6.20792 6.20792 -143.725 -6.20792 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0289535 0.0261502 159 147 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 5.93 vpr 64.25 MiB 0.02 6976 -1 -1 11 0.18 -1 -1 36268 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 32 32 231 263 1 179 86 17 17 289 -1 unnamed_device 25.9 MiB 0.45 1194 5756 1131 4178 447 64.3 MiB 0.05 0.00 5.65838 -120.723 -5.65838 5.65838 0.95 0.000569302 0.00051908 0.0193752 0.0176863 28 3301 50 6.55708e+06 265210 500653. 1732.36 2.08 0.144623 0.128738 21310 115450 -1 2916 55 2199 6648 796759 382303 6.09998 6.09998 -149.73 -6.09998 0 0 612192. 2118.31 0.28 0.34 0.10 -1 -1 0.28 0.0715585 0.063942 138 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 6.00 vpr 65.09 MiB 0.02 7156 -1 -1 13 0.39 -1 -1 36904 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 31 32 294 326 1 224 94 17 17 289 -1 unnamed_device 26.5 MiB 0.45 1539 8188 1770 5607 811 65.1 MiB 0.09 0.00 6.74584 -137.864 -6.74584 6.74584 1.06 0.00078339 0.000712948 0.0349962 0.0317359 30 3805 23 6.55708e+06 373705 526063. 1820.29 1.79 0.169511 0.151852 21886 126133 -1 3180 19 1376 4470 226632 52207 7.02284 7.02284 -153.473 -7.02284 0 0 666494. 2306.21 0.33 0.10 0.12 -1 -1 0.33 0.041459 0.0374987 204 201 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 7.19 vpr 64.12 MiB 0.02 7092 -1 -1 10 0.23 -1 -1 36188 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65656 29 32 221 253 1 166 85 17 17 289 -1 unnamed_device 25.5 MiB 0.25 1049 11803 3196 6655 1952 64.1 MiB 0.09 0.00 5.00992 -101.498 -5.00992 5.00992 1.03 0.000681818 0.00062098 0.0362228 0.0327968 28 2672 20 6.55708e+06 289320 500653. 1732.36 3.48 0.201732 0.178339 21310 115450 -1 2253 15 937 2651 152522 35565 5.54418 5.54418 -121.23 -5.54418 0 0 612192. 2118.31 0.30 0.07 0.11 -1 -1 0.30 0.0262574 0.0238593 138 132 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 16.00 vpr 64.11 MiB 0.02 6840 -1 -1 14 0.25 -1 -1 36556 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65648 32 32 240 272 1 178 88 17 17 289 -1 unnamed_device 25.7 MiB 0.56 1103 12373 3722 6373 2278 64.1 MiB 0.10 0.00 6.3185 -130.338 -6.3185 6.3185 1.03 0.000542262 0.00048853 0.0400863 0.0362553 28 3219 22 6.55708e+06 289320 500653. 1732.36 11.88 0.221265 0.194156 21310 115450 -1 2686 20 1241 3705 278341 73975 6.7993 6.7993 -155.291 -6.7993 0 0 612192. 2118.31 0.30 0.11 0.11 -1 -1 0.30 0.0322029 0.0290224 149 145 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 6.97 vpr 64.72 MiB 0.02 7236 -1 -1 12 0.42 -1 -1 36396 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 31 32 292 324 1 211 92 17 17 289 -1 unnamed_device 26.3 MiB 0.41 1349 14789 3999 8146 2644 64.7 MiB 0.14 0.00 6.2787 -129.822 -6.2787 6.2787 1.04 0.000657445 0.000589227 0.0563041 0.0510264 34 3807 34 6.55708e+06 349595 585099. 2024.56 2.71 0.231972 0.204583 22462 138074 -1 3148 15 1300 4244 273503 61275 6.8797 6.8797 -156.46 -6.8797 0 0 742403. 2568.87 0.35 0.10 0.14 -1 -1 0.35 0.0307338 0.0278525 201 199 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 5.99 vpr 64.38 MiB 0.02 7020 -1 -1 12 0.19 -1 -1 36048 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65928 31 32 229 261 1 182 86 17 17 289 -1 unnamed_device 26.0 MiB 0.37 1060 5945 1165 4580 200 64.4 MiB 0.05 0.00 5.49898 -119.703 -5.49898 5.49898 1.00 0.000615425 0.000560735 0.0204603 0.0184515 28 2984 27 6.55708e+06 277265 500653. 1732.36 2.33 0.113044 0.100061 21310 115450 -1 2519 15 985 2611 157981 37681 5.85958 5.85958 -139.819 -5.85958 0 0 612192. 2118.31 0.29 0.07 0.10 -1 -1 0.29 0.0243017 0.0218877 141 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 7.22 vpr 64.72 MiB 0.02 7068 -1 -1 12 0.24 -1 -1 36272 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 282 314 1 205 91 17 17 289 -1 unnamed_device 26.1 MiB 0.32 1369 9067 2139 5794 1134 64.7 MiB 0.08 0.00 5.75364 -126.276 -5.75364 5.75364 0.96 0.00060939 0.000548063 0.0319925 0.0290281 26 3846 46 6.55708e+06 325485 477104. 1650.88 3.16 0.179547 0.159408 21022 109990 -1 3220 55 2086 8359 1529906 813223 6.23444 6.23444 -151.526 -6.23444 0 0 585099. 2024.56 0.25 0.57 0.09 -1 -1 0.25 0.0829466 0.0741223 188 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 8.30 vpr 64.76 MiB 0.02 7244 -1 -1 13 0.34 -1 -1 37016 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 31 32 269 301 1 216 92 17 17 289 -1 unnamed_device 26.3 MiB 0.34 1404 7751 1757 4862 1132 64.8 MiB 0.07 0.00 6.3995 -136.53 -6.3995 6.3995 0.96 0.000653005 0.000597608 0.0290427 0.0264667 38 3322 18 6.55708e+06 349595 638502. 2209.35 4.48 0.272858 0.242485 23326 155178 -1 2855 14 1143 3400 177267 39696 6.8803 6.8803 -155.506 -6.8803 0 0 851065. 2944.86 0.35 0.07 0.14 -1 -1 0.35 0.0288038 0.0262569 179 176 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 5.77 vpr 64.35 MiB 0.02 6904 -1 -1 11 0.22 -1 -1 36024 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65892 32 32 237 269 1 184 91 17 17 289 -1 unnamed_device 25.9 MiB 0.31 1228 9067 2214 5839 1014 64.3 MiB 0.08 0.00 5.47144 -121.882 -5.47144 5.47144 1.00 0.000578394 0.000523209 0.0301025 0.0271958 28 3507 29 6.55708e+06 325485 500653. 1732.36 2.07 0.124833 0.109908 21310 115450 -1 2896 21 1175 3596 232505 58048 5.95224 5.95224 -142.036 -5.95224 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.029684 0.0264548 148 142 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 11.86 vpr 64.69 MiB 0.02 6796 -1 -1 13 0.26 -1 -1 36228 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 259 291 1 202 91 17 17 289 -1 unnamed_device 26.1 MiB 0.33 1340 12739 3442 7718 1579 64.7 MiB 0.11 0.00 6.26504 -134.276 -6.26504 6.26504 1.05 0.000645841 0.00058887 0.0451993 0.0406834 28 3432 49 6.55708e+06 325485 500653. 1732.36 7.91 0.282512 0.248783 21310 115450 -1 2929 18 1285 3629 222862 51115 6.5589 6.5589 -154.404 -6.5589 0 0 612192. 2118.31 0.30 0.09 0.11 -1 -1 0.30 0.0316918 0.0285489 167 164 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 8.44 vpr 64.88 MiB 0.02 7232 -1 -1 13 0.35 -1 -1 36832 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 277 309 1 220 91 17 17 289 -1 unnamed_device 26.4 MiB 0.24 1237 12739 3496 7349 1894 64.9 MiB 0.12 0.00 6.65156 -130.528 -6.65156 6.65156 1.02 0.000713764 0.00065071 0.0466227 0.0421489 36 3421 24 6.55708e+06 325485 612192. 2118.31 4.44 0.255844 0.224342 22750 144809 -1 2958 18 1332 3911 210425 49933 6.97296 6.97296 -149.969 -6.97296 0 0 782063. 2706.10 0.37 0.10 0.13 -1 -1 0.37 0.0367144 0.0333936 184 182 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 9.25 vpr 64.51 MiB 0.02 7192 -1 -1 11 0.26 -1 -1 36084 -1 -1 28 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66060 29 32 245 277 1 189 89 17 17 289 -1 unnamed_device 26.0 MiB 0.43 1126 8207 1959 5095 1153 64.5 MiB 0.07 0.00 5.53052 -104.852 -5.53052 5.53052 1.07 0.000597561 0.000541156 0.0297243 0.0268676 36 2945 48 6.55708e+06 337540 612192. 2118.31 5.14 0.309855 0.271176 22750 144809 -1 2414 15 962 3046 175607 39719 5.65072 5.65072 -116.392 -5.65072 0 0 782063. 2706.10 0.37 0.08 0.14 -1 -1 0.37 0.0280471 0.0255179 162 156 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 18.42 vpr 65.24 MiB 0.02 7140 -1 -1 14 0.39 -1 -1 37268 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 316 348 1 250 96 17 17 289 -1 unnamed_device 26.6 MiB 0.45 1614 10170 2578 6728 864 65.2 MiB 0.11 0.00 6.86302 -148.285 -6.86302 6.86302 1.07 0.00109169 0.000998568 0.0463335 0.0421245 32 5017 47 6.55708e+06 385760 554710. 1919.41 13.98 0.428115 0.376507 22174 131602 -1 4036 31 2241 6829 805046 289787 8.01156 8.01156 -182.237 -8.01156 0 0 701300. 2426.64 0.34 0.31 0.13 -1 -1 0.34 0.0638841 0.0573087 225 221 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 8.20 vpr 64.35 MiB 0.02 6980 -1 -1 12 0.22 -1 -1 36104 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65892 31 32 230 262 1 186 91 17 17 289 -1 unnamed_device 26.0 MiB 0.53 1161 13351 3360 8030 1961 64.3 MiB 0.10 0.00 5.43224 -115.756 -5.43224 5.43224 1.04 0.000522532 0.000466772 0.039479 0.0357583 36 2596 19 6.55708e+06 337540 612192. 2118.31 4.07 0.248969 0.218517 22750 144809 -1 2275 14 910 2413 126898 29929 5.67264 5.67264 -128.338 -5.67264 0 0 782063. 2706.10 0.37 0.06 0.14 -1 -1 0.37 0.0226774 0.0205699 145 137 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 5.28 vpr 64.74 MiB 0.02 7044 -1 -1 13 0.36 -1 -1 37008 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 282 314 1 213 91 17 17 289 -1 unnamed_device 26.2 MiB 0.47 1403 5803 1175 4057 571 64.7 MiB 0.06 0.00 6.4407 -128.884 -6.4407 6.4407 0.99 0.000767553 0.000697259 0.0247027 0.0224729 30 3411 21 6.55708e+06 325485 526063. 1820.29 1.27 0.130493 0.11545 21886 126133 -1 2834 17 1218 3769 178862 41311 6.5609 6.5609 -143.227 -6.5609 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0328403 0.029749 189 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 7.86 vpr 64.39 MiB 0.02 6988 -1 -1 13 0.23 -1 -1 36096 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 235 267 1 180 89 17 17 289 -1 unnamed_device 26.0 MiB 0.45 1093 11375 2882 6245 2248 64.4 MiB 0.09 0.00 6.18864 -134.458 -6.18864 6.18864 1.00 0.000665516 0.000606241 0.0348088 0.0314302 34 2770 22 6.55708e+06 301375 585099. 2024.56 3.96 0.234994 0.206314 22462 138074 -1 2429 18 1102 2854 156233 37644 6.66944 6.66944 -152.689 -6.66944 0 0 742403. 2568.87 0.33 0.07 0.13 -1 -1 0.33 0.0274313 0.0245367 146 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 5.77 vpr 64.52 MiB 0.02 7244 -1 -1 12 0.26 -1 -1 36684 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 32 32 265 297 1 193 90 17 17 289 -1 unnamed_device 26.0 MiB 0.37 1197 4914 839 3816 259 64.5 MiB 0.05 0.00 5.9619 -125.936 -5.9619 5.9619 0.98 0.000584706 0.000532073 0.0190691 0.017402 28 3179 22 6.55708e+06 313430 500653. 1732.36 2.10 0.127338 0.113293 21310 115450 -1 2787 18 1152 3912 216430 50263 6.46258 6.46258 -145.937 -6.46258 0 0 612192. 2118.31 0.27 0.09 0.10 -1 -1 0.27 0.0338095 0.0306796 172 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 9.73 vpr 65.14 MiB 0.03 7456 -1 -1 15 0.67 -1 -1 36732 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 32 32 344 376 1 259 98 17 17 289 -1 unnamed_device 26.9 MiB 0.42 1757 8648 1956 5929 763 65.1 MiB 0.10 0.00 7.33922 -148.898 -7.33922 7.33922 1.06 0.000975947 0.000886492 0.0406477 0.0367721 36 4393 21 6.55708e+06 409870 612192. 2118.31 5.04 0.250132 0.219886 22750 144809 -1 3900 21 2106 7030 407896 89865 7.80775 7.80775 -166.67 -7.80775 0 0 782063. 2706.10 0.35 0.14 0.15 -1 -1 0.35 0.0449746 0.0404367 250 249 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 4.78 vpr 63.79 MiB 0.02 6784 -1 -1 10 0.13 -1 -1 35812 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65324 30 32 173 205 1 127 78 17 17 289 -1 unnamed_device 25.3 MiB 0.37 713 7216 1660 5270 286 63.8 MiB 0.05 0.00 4.40126 -99.1045 -4.40126 4.40126 1.05 0.000391269 0.000353048 0.0204609 0.0185782 28 1825 26 6.55708e+06 192880 500653. 1732.36 1.11 0.0900805 0.0797525 21310 115450 -1 1608 17 678 1639 89586 22826 4.48226 4.48226 -113.2 -4.48226 0 0 612192. 2118.31 0.29 0.05 0.11 -1 -1 0.29 0.0190617 0.0170907 92 82 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 7.44 vpr 64.13 MiB 0.02 6804 -1 -1 13 0.24 -1 -1 36312 -1 -1 29 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65668 30 32 229 261 1 172 91 17 17 289 -1 unnamed_device 25.7 MiB 0.27 1066 6619 1342 4448 829 64.1 MiB 0.06 0.00 6.3577 -127.046 -6.3577 6.3577 1.10 0.000555977 0.000503229 0.0217167 0.0198121 30 2585 31 6.55708e+06 349595 526063. 1820.29 3.56 0.20958 0.184297 21886 126133 -1 2182 16 928 2729 125971 30676 6.7183 6.7183 -145.227 -6.7183 0 0 666494. 2306.21 0.32 0.06 0.12 -1 -1 0.32 0.0268878 0.0242881 150 138 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 6.75 vpr 64.34 MiB 0.02 7020 -1 -1 12 0.24 -1 -1 36372 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 32 32 261 293 1 201 87 17 17 289 -1 unnamed_device 25.8 MiB 0.37 1311 5079 1013 3448 618 64.3 MiB 0.06 0.00 5.74138 -125.798 -5.74138 5.74138 1.04 0.00061373 0.000539256 0.022426 0.0203398 36 3130 30 6.55708e+06 277265 612192. 2118.31 2.84 0.195602 0.173015 22750 144809 -1 2647 16 1030 2996 161326 37265 6.22218 6.22218 -146.832 -6.22218 0 0 782063. 2706.10 0.36 0.07 0.14 -1 -1 0.36 0.0276073 0.0247698 167 166 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 5.62 vpr 63.91 MiB 0.02 6892 -1 -1 9 0.17 -1 -1 36088 -1 -1 25 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65440 25 32 184 216 1 141 82 17 17 289 -1 unnamed_device 25.5 MiB 0.22 809 8270 2029 5236 1005 63.9 MiB 0.06 0.00 4.79906 -87.247 -4.79906 4.79906 1.07 0.000465651 0.00042409 0.0239883 0.0218494 26 2306 26 6.55708e+06 301375 477104. 1650.88 1.97 0.103382 0.0912777 21022 109990 -1 1927 16 869 2467 146143 34007 5.15966 5.15966 -105.415 -5.15966 0 0 585099. 2024.56 0.30 0.06 0.11 -1 -1 0.30 0.0224764 0.0201587 112 103 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 9.02 vpr 64.23 MiB 0.02 7260 -1 -1 12 0.36 -1 -1 36396 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65768 32 32 302 334 1 239 98 17 17 289 -1 unnamed_device 25.7 MiB 0.75 1661 5048 829 3928 291 64.2 MiB 0.06 0.00 6.47024 -139.776 -6.47024 6.47024 1.04 0.000794264 0.000721763 0.0223536 0.0203891 36 4200 25 6.55708e+06 409870 612192. 2118.31 4.56 0.216047 0.191412 22750 144809 -1 3541 17 1615 4655 266942 59960 6.67144 6.67144 -161.013 -6.67144 0 0 782063. 2706.10 0.33 0.10 0.12 -1 -1 0.33 0.0367232 0.0335037 209 207 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 7.65 vpr 64.59 MiB 0.02 7200 -1 -1 14 0.38 -1 -1 36764 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 31 32 295 327 1 219 92 17 17 289 -1 unnamed_device 26.0 MiB 0.50 1275 9821 2569 5868 1384 64.6 MiB 0.10 0.00 6.62002 -132.776 -6.62002 6.62002 1.00 0.000700361 0.000632421 0.0404252 0.0366335 34 3787 39 6.55708e+06 349595 585099. 2024.56 3.37 0.263637 0.233479 22462 138074 -1 2979 20 1526 4562 256083 63118 7.28976 7.28976 -160.63 -7.28976 0 0 742403. 2568.87 0.36 0.11 0.14 -1 -1 0.36 0.0419693 0.0381251 204 202 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.45 vpr 64.84 MiB 0.02 7500 -1 -1 1 0.04 -1 -1 34284 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 438 350 1 194 100 17 17 289 -1 unnamed_device 26.6 MiB 0.12 962 13788 3481 8832 1475 64.8 MiB 0.13 0.00 3.40616 -115.043 -3.40616 3.40616 0.99 0.000760912 0.000700207 0.04202 0.0383053 32 2687 25 6.64007e+06 452088 554710. 1919.41 1.06 0.137983 0.122228 22834 132086 -1 2192 21 1786 3004 200821 46925 3.61223 3.61223 -138.265 -3.61223 0 0 701300. 2426.64 0.31 0.09 0.12 -1 -1 0.31 0.0339277 0.030515 153 80 32 32 96 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.61 vpr 65.11 MiB 0.02 7520 -1 -1 1 0.04 -1 -1 33912 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 30 32 409 330 1 186 85 17 17 289 -1 unnamed_device 26.4 MiB 0.20 877 14221 4303 7638 2280 65.1 MiB 0.13 0.00 3.60576 -107.965 -3.60576 3.60576 1.05 0.000495756 0.000443148 0.0471393 0.0427347 32 2340 22 6.64007e+06 288834 554710. 1919.41 1.04 0.131447 0.116264 22834 132086 -1 1975 17 1496 2467 158885 37859 4.05543 4.05543 -137.708 -4.05543 0 0 701300. 2426.64 0.33 0.07 0.12 -1 -1 0.33 0.0275919 0.0246136 142 78 30 30 89 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 5.49 vpr 64.94 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 34084 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 387 309 1 186 99 17 17 289 -1 unnamed_device 26.2 MiB 0.10 902 8763 1778 6595 390 64.9 MiB 0.09 0.00 3.13925 -105.673 -3.13925 3.13925 1.03 0.000568263 0.000521327 0.0273617 0.0249843 28 2735 34 6.64007e+06 439530 500653. 1732.36 2.11 0.131255 0.116431 21970 115934 -1 2230 22 1512 2499 208904 46122 3.77883 3.77883 -138.853 -3.77883 0 0 612192. 2118.31 0.28 0.09 0.11 -1 -1 0.28 0.0308398 0.0273917 142 50 54 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.69 vpr 64.95 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 33764 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66508 29 32 343 267 1 184 85 17 17 289 -1 unnamed_device 26.3 MiB 0.08 909 11803 3544 7112 1147 64.9 MiB 0.12 0.00 3.70576 -107.366 -3.70576 3.70576 1.08 0.000498622 0.000452142 0.0363861 0.033092 32 2313 21 6.64007e+06 301392 554710. 1919.41 1.10 0.112717 0.0995135 22834 132086 -1 1968 22 1705 2912 194415 45321 3.73963 3.73963 -131.057 -3.73963 0 0 701300. 2426.64 0.32 0.09 0.14 -1 -1 0.32 0.0291541 0.0258052 138 25 87 29 29 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.72 vpr 65.15 MiB 0.02 7320 -1 -1 1 0.04 -1 -1 33812 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 32 32 376 288 1 195 86 17 17 289 -1 unnamed_device 26.4 MiB 0.11 1082 12938 3934 7730 1274 65.2 MiB 0.13 0.00 3.30796 -118.656 -3.30796 3.30796 1.08 0.000588149 0.000538269 0.041294 0.0376091 32 2490 20 6.64007e+06 276276 554710. 1919.41 1.09 0.120481 0.106492 22834 132086 -1 2246 22 1984 3634 241305 52921 3.72443 3.72443 -144.935 -3.72443 0 0 701300. 2426.64 0.35 0.10 0.14 -1 -1 0.35 0.0338882 0.0303471 153 31 96 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.57 vpr 65.46 MiB 0.03 7148 -1 -1 1 0.04 -1 -1 33912 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67036 32 32 402 316 1 199 103 17 17 289 -1 unnamed_device 26.9 MiB 0.11 1088 18901 5111 11447 2343 65.5 MiB 0.17 0.00 2.7819 -100.102 -2.7819 2.7819 1.02 0.000673465 0.000619133 0.0564738 0.0516838 32 2396 18 6.64007e+06 489762 554710. 1919.41 1.05 0.13694 0.121903 22834 132086 -1 2111 20 1357 2177 167600 35630 2.71677 2.71677 -114.835 -2.71677 0 0 701300. 2426.64 0.32 0.08 0.13 -1 -1 0.32 0.03117 0.027975 156 61 63 32 63 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.46 vpr 64.08 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 34332 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65616 27 32 269 226 1 135 79 17 17 289 -1 unnamed_device 25.7 MiB 0.10 727 13261 4280 6742 2239 64.1 MiB 0.10 0.00 3.0775 -84.7733 -3.0775 3.0775 1.13 0.000618212 0.000569464 0.0365952 0.0333263 30 1478 21 6.64007e+06 251160 526063. 1820.29 1.01 0.0962981 0.0851546 22546 126617 -1 1347 20 703 1255 69168 16178 2.72477 2.72477 -94.5921 -2.72477 0 0 666494. 2306.21 0.30 0.05 0.11 -1 -1 0.30 0.0203709 0.0181828 96 26 54 27 27 27 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.58 vpr 64.94 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34272 -1 -1 34 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 31 32 317 242 1 185 97 17 17 289 -1 unnamed_device 26.3 MiB 0.08 1048 16303 5056 8818 2429 64.9 MiB 0.13 0.00 2.9483 -95.6493 -2.9483 2.9483 1.04 0.000538134 0.000487835 0.0402206 0.0365748 28 2496 21 6.64007e+06 426972 500653. 1732.36 1.13 0.119245 0.106131 21970 115934 -1 2058 15 971 1723 132098 27675 2.76417 2.76417 -108.217 -2.76417 0 0 612192. 2118.31 0.31 0.06 0.11 -1 -1 0.31 0.0219314 0.0198242 140 -1 115 31 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.68 vpr 64.88 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 33816 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 31 32 338 292 1 147 80 17 17 289 -1 unnamed_device 26.1 MiB 0.16 810 6100 1410 3634 1056 64.9 MiB 0.06 0.00 2.69519 -86.3861 -2.69519 2.69519 1.13 0.000485572 0.000443395 0.021637 0.0197996 32 1818 20 6.64007e+06 213486 554710. 1919.41 1.03 0.0914439 0.0803753 22834 132086 -1 1622 17 894 1456 94397 22288 2.77577 2.77577 -101.968 -2.77577 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0242554 0.0214212 106 81 0 0 84 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.46 vpr 64.86 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 33996 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 314 256 1 162 81 17 17 289 -1 unnamed_device 26.1 MiB 0.18 945 13731 4635 7137 1959 64.9 MiB 0.11 0.00 2.7849 -102.339 -2.7849 2.7849 1.01 0.000557704 0.000511283 0.0391711 0.0358891 32 2200 27 6.64007e+06 213486 554710. 1919.41 1.04 0.1148 0.102317 22834 132086 -1 1950 21 1432 2216 168969 37194 2.89097 2.89097 -121.184 -2.89097 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0274326 0.0245948 121 31 64 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.46 vpr 64.86 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33656 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 30 32 325 273 1 151 80 17 17 289 -1 unnamed_device 26.1 MiB 0.15 665 9884 2798 5725 1361 64.9 MiB 0.09 0.00 2.80139 -88.6898 -2.80139 2.80139 1.10 0.000496525 0.000451941 0.0323979 0.0295424 28 1568 22 6.64007e+06 226044 500653. 1732.36 0.95 0.101653 0.0897573 21970 115934 -1 1374 16 957 1385 76594 19878 2.97617 2.97617 -107.745 -2.97617 0 0 612192. 2118.31 0.30 0.05 0.10 -1 -1 0.30 0.0223455 0.0201538 110 58 30 30 60 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.78 vpr 64.85 MiB 0.03 7224 -1 -1 1 0.04 -1 -1 34036 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66404 32 32 331 280 1 156 93 17 17 289 -1 unnamed_device 26.0 MiB 0.11 899 15003 4027 9024 1952 64.8 MiB 0.13 0.00 2.6877 -93.3875 -2.6877 2.6877 1.13 0.000470627 0.000423359 0.0412338 0.0374982 32 1994 24 6.64007e+06 364182 554710. 1919.41 1.09 0.115353 0.101859 22834 132086 -1 1851 19 1165 1847 133294 29677 2.86077 2.86077 -112.857 -2.86077 0 0 701300. 2426.64 0.33 0.07 0.14 -1 -1 0.33 0.0239123 0.0212391 114 57 25 25 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.96 vpr 65.21 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 33796 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 32 32 386 305 1 188 98 17 17 289 -1 unnamed_device 26.4 MiB 0.21 841 17423 5563 8405 3455 65.2 MiB 0.16 0.00 2.8299 -97.2128 -2.8299 2.8299 1.08 0.000685348 0.00062552 0.0517816 0.0472452 32 2492 26 6.64007e+06 426972 554710. 1919.41 1.19 0.149276 0.132977 22834 132086 -1 1952 20 1706 2815 175493 42799 3.11637 3.11637 -118.835 -3.11637 0 0 701300. 2426.64 0.34 0.08 0.13 -1 -1 0.34 0.0303246 0.0271738 145 55 64 32 57 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.96 vpr 65.29 MiB 0.02 7356 -1 -1 1 0.04 -1 -1 33936 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66860 32 32 407 319 1 200 100 17 17 289 -1 unnamed_device 26.8 MiB 0.15 1027 16804 4495 9925 2384 65.3 MiB 0.16 0.00 3.38416 -118.804 -3.38416 3.38416 1.11 0.00070386 0.000640952 0.0500308 0.0454838 32 2550 20 6.64007e+06 452088 554710. 1919.41 1.14 0.138942 0.123096 22834 132086 -1 2206 22 2007 3143 230778 49128 3.65443 3.65443 -142.732 -3.65443 0 0 701300. 2426.64 0.35 0.10 0.14 -1 -1 0.35 0.0360013 0.0324395 158 60 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.35 vpr 64.27 MiB 0.02 7056 -1 -1 1 0.04 -1 -1 34116 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65812 29 32 272 228 1 145 80 17 17 289 -1 unnamed_device 25.8 MiB 0.10 829 13668 4281 7724 1663 64.3 MiB 0.10 0.00 2.7049 -86.3628 -2.7049 2.7049 1.03 0.000445435 0.000404677 0.0348332 0.0316613 32 1805 21 6.64007e+06 238602 554710. 1919.41 0.99 0.0959966 0.0847965 22834 132086 -1 1676 20 1075 1807 129316 29375 2.72157 2.72157 -102.322 -2.72157 0 0 701300. 2426.64 0.34 0.06 0.12 -1 -1 0.34 0.0215342 0.0191313 108 21 58 29 24 24 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.60 vpr 64.99 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 34052 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 401 315 1 192 86 17 17 289 -1 unnamed_device 26.2 MiB 0.17 1121 14261 4528 7474 2259 65.0 MiB 0.14 0.00 2.7929 -101.487 -2.7929 2.7929 1.03 0.000578117 0.000518225 0.0494455 0.0454615 32 2729 22 6.64007e+06 276276 554710. 1919.41 1.08 0.141486 0.126588 22834 132086 -1 2307 19 1739 2964 200212 44498 3.11037 3.11037 -125.204 -3.11037 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0319988 0.0289186 147 60 64 32 62 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 7.36 vpr 65.28 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 33992 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 383 303 1 185 100 17 17 289 -1 unnamed_device 26.5 MiB 0.16 975 17500 5427 9602 2471 65.3 MiB 0.15 0.00 2.9051 -104.077 -2.9051 2.9051 1.02 0.000644749 0.000587358 0.0474626 0.0432176 34 2272 48 6.64007e+06 452088 585099. 2024.56 3.83 0.262375 0.231127 23122 138558 -1 1925 16 1230 1792 116166 26964 2.99097 2.99097 -120.322 -2.99097 0 0 742403. 2568.87 0.34 0.06 0.13 -1 -1 0.34 0.0219711 0.0197372 144 54 64 32 56 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.76 vpr 64.90 MiB 0.02 7228 -1 -1 1 0.04 -1 -1 33800 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 339 284 1 162 95 17 17 289 -1 unnamed_device 26.1 MiB 0.13 768 12407 4011 5872 2524 64.9 MiB 0.11 0.00 2.29764 -80.8776 -2.29764 2.29764 1.12 0.000554095 0.000496844 0.0359247 0.0324577 32 2002 26 6.64007e+06 389298 554710. 1919.41 1.10 0.11901 0.105228 22834 132086 -1 1549 17 1099 1710 106174 26116 2.27071 2.27071 -96.4443 -2.27071 0 0 701300. 2426.64 0.34 0.06 0.14 -1 -1 0.34 0.0251623 0.0225552 119 62 29 29 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.00 vpr 64.11 MiB 0.02 7060 -1 -1 1 0.03 -1 -1 33868 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65648 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 25.6 MiB 0.04 554 7738 1823 4997 918 64.1 MiB 0.05 0.00 2.36284 -73.7482 -2.36284 2.36284 0.98 0.000369406 0.000338302 0.0183845 0.0168346 32 1363 20 6.64007e+06 188370 554710. 1919.41 0.90 0.066402 0.0584885 22834 132086 -1 1225 21 745 1090 79317 19441 1.85991 1.85991 -78.5754 -1.85991 0 0 701300. 2426.64 0.32 0.05 0.12 -1 -1 0.32 0.0191519 0.0169675 85 29 24 24 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.69 vpr 64.93 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34212 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 31 32 335 280 1 154 80 17 17 289 -1 unnamed_device 26.1 MiB 0.14 657 12636 5260 6585 791 64.9 MiB 0.12 0.00 3.41785 -99.3078 -3.41785 3.41785 1.07 0.000483809 0.000440571 0.0440165 0.0402869 32 2069 33 6.64007e+06 213486 554710. 1919.41 1.09 0.123212 0.108744 22834 132086 -1 1553 20 841 1229 86788 21527 3.60083 3.60083 -119.861 -3.60083 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0255367 0.0228001 113 55 31 31 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 6.23 vpr 64.98 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 34008 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 366 283 1 192 100 17 17 289 -1 unnamed_device 26.2 MiB 0.10 843 17732 4593 8777 4362 65.0 MiB 0.13 0.00 3.36336 -109.359 -3.36336 3.36336 1.06 0.000537514 0.000488863 0.0474984 0.0433654 32 2559 31 6.64007e+06 452088 554710. 1919.41 2.60 0.195368 0.17238 22834 132086 -1 1904 21 1681 2368 200981 51367 4.51903 4.51903 -139.421 -4.51903 0 0 701300. 2426.64 0.35 0.09 0.13 -1 -1 0.35 0.0313041 0.027901 147 31 91 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.83 vpr 65.17 MiB 0.03 7540 -1 -1 1 0.04 -1 -1 34040 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 460 375 1 196 102 17 17 289 -1 unnamed_device 26.8 MiB 0.22 953 11288 2784 7344 1160 65.2 MiB 0.12 0.00 3.06225 -100.942 -3.06225 3.06225 1.07 0.000870576 0.000794135 0.0370959 0.0338316 32 2723 20 6.64007e+06 477204 554710. 1919.41 1.10 0.127939 0.113383 22834 132086 -1 2087 22 1481 2246 150684 35205 3.61343 3.61343 -123.253 -3.61343 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0347868 0.0309986 150 108 0 0 125 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.43 vpr 63.83 MiB 0.02 6788 -1 -1 1 0.03 -1 -1 34332 -1 -1 17 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65364 26 32 198 186 1 109 75 17 17 289 -1 unnamed_device 25.5 MiB 0.10 586 11293 3389 6712 1192 63.8 MiB 0.07 0.00 2.13964 -62.899 -2.13964 2.13964 1.09 0.00033847 0.000305272 0.0259608 0.023602 32 1267 19 6.64007e+06 213486 554710. 1919.41 0.97 0.0717375 0.063135 22834 132086 -1 1157 15 438 710 50491 11297 1.86611 1.86611 -70.7317 -1.86611 0 0 701300. 2426.64 0.34 0.03 0.14 -1 -1 0.34 0.0139172 0.0124416 77 21 26 26 22 22 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.40 vpr 64.78 MiB 0.02 7288 -1 -1 1 0.04 -1 -1 33960 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 32 32 333 251 1 187 86 17 17 289 -1 unnamed_device 26.3 MiB 0.07 1193 11993 3448 7342 1203 64.8 MiB 0.12 0.00 3.76596 -121.69 -3.76596 3.76596 1.01 0.000628119 0.000576747 0.0369316 0.0338972 32 2579 20 6.64007e+06 276276 554710. 1919.41 1.05 0.117444 0.104995 22834 132086 -1 2318 22 1707 3004 208400 46413 4.00203 4.00203 -145.654 -4.00203 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0305558 0.0274259 138 -1 122 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.44 vpr 64.11 MiB 0.02 6988 -1 -1 1 0.03 -1 -1 33692 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65644 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 25.7 MiB 0.04 775 11650 3871 6221 1558 64.1 MiB 0.08 0.00 1.86653 -70.0919 -1.86653 1.86653 1.11 0.000342473 0.000311206 0.0279452 0.0254473 32 1481 17 6.64007e+06 163254 554710. 1919.41 0.98 0.0753103 0.0668174 22834 132086 -1 1344 15 608 820 57592 13107 2.01231 2.01231 -86.1872 -2.01231 0 0 701300. 2426.64 0.34 0.04 0.14 -1 -1 0.34 0.0139107 0.0124838 81 -1 53 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.52 vpr 64.96 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34264 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 376 288 1 194 99 17 17 289 -1 unnamed_device 26.2 MiB 0.08 999 20391 7010 10345 3036 65.0 MiB 0.17 0.00 3.44536 -118.941 -3.44536 3.44536 1.00 0.000553283 0.000494674 0.0529794 0.0483255 32 2438 23 6.64007e+06 439530 554710. 1919.41 1.08 0.142003 0.126943 22834 132086 -1 2115 21 1925 2989 210391 47807 3.63543 3.63543 -140.18 -3.63543 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0295243 0.026459 153 21 96 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.97 vpr 64.56 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 34028 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66108 32 32 337 253 1 196 101 17 17 289 -1 unnamed_device 25.8 MiB 0.09 1024 10676 2621 7554 501 64.6 MiB 0.10 0.00 2.8691 -100.143 -2.8691 2.8691 1.02 0.000608481 0.000553926 0.0273425 0.0249362 26 2784 45 6.64007e+06 464646 477104. 1650.88 1.63 0.132007 0.116622 21682 110474 -1 2216 18 1424 2245 142909 34725 2.97997 2.97997 -119.821 -2.97997 0 0 585099. 2024.56 0.28 0.07 0.10 -1 -1 0.28 0.0243907 0.0217943 152 -1 124 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.49 vpr 65.23 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33920 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 407 319 1 197 101 17 17 289 -1 unnamed_device 26.5 MiB 0.08 985 13261 3795 8080 1386 65.2 MiB 0.13 0.00 3.43916 -114.862 -3.43916 3.43916 0.98 0.000718516 0.000667679 0.0389878 0.0358049 32 2809 26 6.64007e+06 464646 554710. 1919.41 1.13 0.133669 0.118813 22834 132086 -1 2218 21 1862 3229 237233 52314 3.78863 3.78863 -139.476 -3.78863 0 0 701300. 2426.64 0.31 0.09 0.12 -1 -1 0.31 0.0319119 0.0286563 155 54 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.50 vpr 64.34 MiB 0.02 6924 -1 -1 1 0.03 -1 -1 33932 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 32 32 294 246 1 149 80 17 17 289 -1 unnamed_device 25.8 MiB 0.07 825 6616 1872 3777 967 64.3 MiB 0.06 0.00 2.45379 -87.7356 -2.45379 2.45379 1.07 0.00043649 0.000399256 0.0209908 0.0191806 32 1985 21 6.64007e+06 200928 554710. 1919.41 1.05 0.0906656 0.0794295 22834 132086 -1 1722 19 1097 1797 126927 28292 2.76097 2.76097 -107.181 -2.76097 0 0 701300. 2426.64 0.34 0.06 0.14 -1 -1 0.34 0.0231014 0.0205953 107 31 54 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.55 vpr 64.42 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33876 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 30 32 296 244 1 154 81 17 17 289 -1 unnamed_device 25.9 MiB 0.08 830 12681 3760 7170 1751 64.4 MiB 0.10 0.00 2.7739 -94.2438 -2.7739 2.7739 1.07 0.000474882 0.000434124 0.0368699 0.0336833 32 1834 19 6.64007e+06 238602 554710. 1919.41 1.05 0.101177 0.0893646 22834 132086 -1 1629 20 1203 1757 119551 27465 2.91397 2.91397 -111.862 -2.91397 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0225646 0.0199781 115 29 60 30 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.65 vpr 64.53 MiB 0.09 7108 -1 -1 1 0.04 -1 -1 33672 -1 -1 20 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66076 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 26.0 MiB 0.10 733 10056 2641 5787 1628 64.5 MiB 0.09 0.00 2.7097 -84.1332 -2.7097 2.7097 1.10 0.000414885 0.000377301 0.0279294 0.0255096 32 1819 21 6.64007e+06 251160 554710. 1919.41 1.05 0.0937805 0.0827491 22834 132086 -1 1616 20 1205 1988 135884 31843 2.89677 2.89677 -103.074 -2.89677 0 0 701300. 2426.64 0.33 0.06 0.14 -1 -1 0.33 0.0227781 0.0201625 107 27 56 28 28 28 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.65 vpr 64.65 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 33904 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 283 225 1 166 82 17 17 289 -1 unnamed_device 25.8 MiB 0.09 818 10940 3479 6415 1046 64.6 MiB 0.11 0.00 2.8039 -97.5727 -2.8039 2.8039 1.10 0.000450716 0.000408944 0.0339994 0.030911 32 2083 22 6.64007e+06 226044 554710. 1919.41 1.06 0.102919 0.0910907 22834 132086 -1 1748 21 1433 2213 147252 34120 3.04997 3.04997 -121.29 -3.04997 0 0 701300. 2426.64 0.34 0.07 0.14 -1 -1 0.34 0.0256884 0.0230518 125 -1 96 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.32 vpr 64.61 MiB 0.02 6976 -1 -1 1 0.04 -1 -1 34096 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 31 32 303 249 1 162 94 17 17 289 -1 unnamed_device 26.2 MiB 0.06 869 16495 4995 9142 2358 64.6 MiB 0.12 0.00 2.7427 -95.3065 -2.7427 2.7427 1.00 0.000484542 0.0004428 0.0387393 0.0354574 32 2103 19 6.64007e+06 389298 554710. 1919.41 0.99 0.104519 0.0925844 22834 132086 -1 1841 21 1315 2029 135730 31796 2.79497 2.79497 -111.838 -2.79497 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.0247709 0.0219009 119 26 61 31 31 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.32 vpr 65.00 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 33572 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 29 32 312 264 1 154 92 17 17 289 -1 unnamed_device 26.3 MiB 0.13 764 10442 2334 7462 646 65.0 MiB 0.09 0.00 2.24264 -73.5213 -2.24264 2.24264 1.03 0.00054975 0.00049606 0.026517 0.0239878 30 1569 18 6.64007e+06 389298 526063. 1820.29 0.94 0.0876803 0.0768861 22546 126617 -1 1330 14 806 1299 59240 14586 1.99731 1.99731 -80.3616 -1.99731 0 0 666494. 2306.21 0.31 0.04 0.12 -1 -1 0.31 0.0175113 0.0157232 110 55 29 29 57 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.51 vpr 65.31 MiB 0.02 7284 -1 -1 1 0.04 -1 -1 34092 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 32 32 423 310 1 229 105 17 17 289 -1 unnamed_device 27.1 MiB 0.16 1295 11467 2941 7739 787 65.3 MiB 0.12 0.00 3.41716 -121.564 -3.41716 3.41716 0.99 0.000819322 0.000751604 0.0387284 0.0357342 32 2972 21 6.64007e+06 514878 554710. 1919.41 1.07 0.131644 0.117985 22834 132086 -1 2606 17 1736 2801 182072 40366 3.79883 3.79883 -146.49 -3.79883 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0306627 0.0277692 181 26 128 32 27 27 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.93 vpr 65.28 MiB 0.03 7384 -1 -1 1 0.04 -1 -1 33956 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 403 317 1 198 101 17 17 289 -1 unnamed_device 26.6 MiB 0.16 947 16551 4738 8951 2862 65.3 MiB 0.16 0.00 2.8801 -101.513 -2.8801 2.8801 1.11 0.000693093 0.000623884 0.0507638 0.0463397 32 2332 24 6.64007e+06 464646 554710. 1919.41 1.15 0.145941 0.13005 22834 132086 -1 1931 21 1922 2992 186155 43594 2.95797 2.95797 -117.091 -2.95797 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0327249 0.0291556 154 62 62 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.92 vpr 64.89 MiB 0.02 7208 -1 -1 1 0.04 -1 -1 34272 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 31 32 353 302 1 156 92 17 17 289 -1 unnamed_device 26.1 MiB 0.21 731 8579 1945 6283 351 64.9 MiB 0.08 0.00 2.9621 -91.1584 -2.9621 2.9621 1.07 0.000526867 0.000479154 0.0257975 0.0235496 26 2289 37 6.64007e+06 364182 477104. 1650.88 1.39 0.125344 0.110977 21682 110474 -1 1710 21 1245 1859 123436 29727 3.00597 3.00597 -110.635 -3.00597 0 0 585099. 2024.56 0.27 0.07 0.10 -1 -1 0.27 0.027209 0.0241458 114 77 0 0 89 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.95 vpr 65.03 MiB 0.03 7204 -1 -1 1 0.04 -1 -1 33868 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 31 32 391 309 1 194 87 17 17 289 -1 unnamed_device 26.4 MiB 0.14 1074 13335 4173 6672 2490 65.0 MiB 0.13 0.00 2.9211 -98.485 -2.9211 2.9211 1.13 0.000647809 0.000586523 0.0446641 0.0407048 32 2531 23 6.64007e+06 301392 554710. 1919.41 1.13 0.133075 0.11737 22834 132086 -1 2143 23 1830 3134 207984 47737 2.96097 2.96097 -116.839 -2.96097 0 0 701300. 2426.64 0.35 0.10 0.13 -1 -1 0.35 0.0329113 0.0292535 149 59 60 30 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 5.07 vpr 65.45 MiB 0.03 7344 -1 -1 1 0.04 -1 -1 33880 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 31 32 455 371 1 193 86 17 17 289 -1 unnamed_device 26.8 MiB 0.37 1075 10859 2964 6681 1214 65.5 MiB 0.12 0.00 4.21121 -122.888 -4.21121 4.21121 1.11 0.000648877 0.00059224 0.0425522 0.038744 28 2596 20 6.64007e+06 288834 500653. 1732.36 1.26 0.13959 0.123769 21970 115934 -1 2310 20 997 1601 125027 27109 4.04469 4.04469 -143.456 -4.04469 0 0 612192. 2118.31 0.28 0.07 0.10 -1 -1 0.28 0.0309457 0.0275819 150 111 0 0 124 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.77 vpr 65.14 MiB 0.02 7400 -1 -1 1 0.04 -1 -1 34048 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66708 31 32 413 333 1 188 86 17 17 289 -1 unnamed_device 26.4 MiB 0.20 1015 12749 3873 7799 1077 65.1 MiB 0.13 0.00 4.04401 -114.423 -4.04401 4.04401 1.09 0.000836167 0.000765326 0.0468758 0.0427632 32 2374 21 6.64007e+06 288834 554710. 1919.41 1.08 0.131559 0.11641 22834 132086 -1 2098 19 1298 2166 154073 35476 3.88948 3.88948 -136.923 -3.88948 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0306344 0.0273136 144 86 31 31 89 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.72 vpr 65.14 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 33720 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 31 32 391 309 1 193 98 17 17 289 -1 unnamed_device 26.4 MiB 0.14 877 12473 3169 8349 955 65.1 MiB 0.13 0.00 2.7859 -92.6822 -2.7859 2.7859 1.07 0.000642577 0.000580718 0.0396742 0.0362552 32 2402 24 6.64007e+06 439530 554710. 1919.41 1.10 0.130531 0.115952 22834 132086 -1 1924 22 1694 2933 169026 41386 2.86197 2.86197 -112.246 -2.86197 0 0 701300. 2426.64 0.35 0.09 0.14 -1 -1 0.35 0.0346333 0.0308422 148 58 60 31 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.64 vpr 65.27 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 33856 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66840 32 32 407 319 1 198 101 17 17 289 -1 unnamed_device 26.4 MiB 0.11 1110 15846 4422 9952 1472 65.3 MiB 0.14 0.00 3.31896 -119.55 -3.31896 3.31896 1.03 0.000653774 0.000594298 0.041723 0.0380208 28 2618 22 6.64007e+06 464646 500653. 1732.36 1.20 0.137208 0.122406 21970 115934 -1 2347 21 1865 2995 220629 47521 3.73543 3.73543 -146.525 -3.73543 0 0 612192. 2118.31 0.28 0.09 0.10 -1 -1 0.28 0.0317477 0.0283496 156 42 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.72 vpr 65.44 MiB 0.03 7592 -1 -1 1 0.04 -1 -1 34016 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 32 32 496 380 1 232 106 17 17 289 -1 unnamed_device 27.2 MiB 0.17 1269 15106 3945 9674 1487 65.4 MiB 0.18 0.00 3.57956 -123.56 -3.57956 3.57956 1.02 0.000783155 0.000718403 0.0512173 0.0467223 30 2942 21 6.64007e+06 527436 526063. 1820.29 1.13 0.157544 0.140431 22546 126617 -1 2527 20 1871 3107 168644 38916 3.55842 3.55842 -141.763 -3.55842 0 0 666494. 2306.21 0.33 0.09 0.12 -1 -1 0.33 0.0365598 0.0327469 186 91 62 32 96 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.49 vpr 64.81 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 33788 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 31 32 305 250 1 158 81 17 17 289 -1 unnamed_device 26.0 MiB 0.10 740 13906 5010 6411 2485 64.8 MiB 0.12 0.00 3.0453 -97.4397 -3.0453 3.0453 1.03 0.00042667 0.000386992 0.040085 0.036601 32 1899 23 6.64007e+06 226044 554710. 1919.41 1.02 0.113296 0.100904 22834 132086 -1 1608 20 1335 2124 151164 35288 2.99397 2.99397 -114.031 -2.99397 0 0 701300. 2426.64 0.34 0.07 0.13 -1 -1 0.34 0.0245622 0.0216882 116 24 62 31 31 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 5.42 vpr 65.24 MiB 0.02 7332 -1 -1 1 0.04 -1 -1 33976 -1 -1 38 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 31 32 395 311 1 196 101 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1032 18666 7089 9583 1994 65.2 MiB 0.17 0.00 3.54836 -117.608 -3.54836 3.54836 1.05 0.000645329 0.000589204 0.0529954 0.0484245 28 2907 36 6.64007e+06 477204 500653. 1732.36 1.86 0.170918 0.152775 21970 115934 -1 2235 20 1813 3093 216752 49701 3.88902 3.88902 -143.497 -3.88902 0 0 612192. 2118.31 0.31 0.09 0.11 -1 -1 0.31 0.0320741 0.0287641 152 59 62 31 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 6.85 vpr 65.43 MiB 0.02 7428 -1 -1 1 0.04 -1 -1 34040 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 397 313 1 196 98 17 17 289 -1 unnamed_device 26.5 MiB 0.12 1057 8423 1813 5699 911 65.4 MiB 0.10 0.00 3.0713 -99.7452 -3.0713 3.0713 1.09 0.000808823 0.000749118 0.0290606 0.0266019 28 2692 22 6.64007e+06 426972 500653. 1732.36 3.32 0.195188 0.170815 21970 115934 -1 2262 20 1197 2255 144020 36100 3.24637 3.24637 -120.476 -3.24637 0 0 612192. 2118.31 0.28 0.08 0.11 -1 -1 0.28 0.0297227 0.0267125 149 54 62 32 62 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.79 vpr 64.75 MiB 0.02 7116 -1 -1 1 0.03 -1 -1 33664 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 32 32 345 257 1 194 86 17 17 289 -1 unnamed_device 26.3 MiB 0.08 1130 16340 5772 8458 2110 64.8 MiB 0.16 0.00 3.30796 -118.295 -3.30796 3.30796 1.09 0.000526156 0.000478663 0.0522748 0.0476007 32 2722 24 6.64007e+06 276276 554710. 1919.41 1.17 0.141955 0.126322 22834 132086 -1 2299 21 1916 3325 217365 49153 3.62443 3.62443 -145.014 -3.62443 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0312872 0.0279538 151 -1 128 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.75 vpr 64.85 MiB 0.02 7356 -1 -1 1 0.04 -1 -1 34048 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 32 32 424 343 1 190 99 17 17 289 -1 unnamed_device 26.1 MiB 0.19 1064 17199 4613 10367 2219 64.9 MiB 0.16 0.00 2.7537 -100.272 -2.7537 2.7537 1.07 0.000587763 0.000532638 0.0498569 0.0453025 28 2401 21 6.64007e+06 439530 500653. 1732.36 1.11 0.137907 0.122455 21970 115934 -1 2191 20 1351 2149 151465 33671 2.73077 2.73077 -116.108 -2.73077 0 0 612192. 2118.31 0.30 0.08 0.11 -1 -1 0.30 0.0298822 0.0265832 146 81 25 25 96 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.93 vpr 65.20 MiB 0.03 7136 -1 -1 1 0.04 -1 -1 33888 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 32 32 395 311 1 194 101 17 17 289 -1 unnamed_device 26.4 MiB 0.18 1022 13496 3550 8351 1595 65.2 MiB 0.13 0.00 2.7537 -99.671 -2.7537 2.7537 1.06 0.000711429 0.000648004 0.0383399 0.0348779 26 2745 35 6.64007e+06 464646 477104. 1650.88 1.38 0.139277 0.122969 21682 110474 -1 2177 20 1306 2187 158702 34493 3.01517 3.01517 -119.975 -3.01517 0 0 585099. 2024.56 0.27 0.07 0.11 -1 -1 0.27 0.0278253 0.0247566 148 58 64 32 60 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 5.09 vpr 65.39 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 33988 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 32 32 405 318 1 200 103 17 17 289 -1 unnamed_device 26.8 MiB 0.15 971 20106 6523 10087 3496 65.4 MiB 0.18 0.00 2.9343 -100.466 -2.9343 2.9343 1.06 0.000633796 0.000577944 0.058762 0.0533848 28 2945 30 6.64007e+06 489762 500653. 1732.36 1.49 0.160872 0.142716 21970 115934 -1 2282 20 1745 2768 192161 44306 3.22637 3.22637 -126.455 -3.22637 0 0 612192. 2118.31 0.28 0.08 0.11 -1 -1 0.28 0.0288179 0.0255773 157 61 63 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.47 vpr 65.43 MiB 0.02 7328 -1 -1 1 0.04 -1 -1 34220 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67004 32 32 376 288 1 194 101 17 17 289 -1 unnamed_device 26.5 MiB 0.08 1090 20076 6356 11046 2674 65.4 MiB 0.17 0.00 3.37316 -119.769 -3.37316 3.37316 1.00 0.00061046 0.000531396 0.0536808 0.0487536 32 2492 23 6.64007e+06 464646 554710. 1919.41 1.02 0.131787 0.116999 22834 132086 -1 2147 20 1699 2654 176650 39131 3.69143 3.69143 -142.893 -3.69143 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0281395 0.0251434 152 21 96 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 5.17 vpr 65.32 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 34336 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 407 319 1 197 103 17 17 289 -1 unnamed_device 26.8 MiB 0.13 1005 11671 2780 7949 942 65.3 MiB 0.11 0.00 3.41536 -117.125 -3.41536 3.41536 1.04 0.000618861 0.000563691 0.0340352 0.0310795 26 2691 25 6.64007e+06 489762 477104. 1650.88 1.74 0.142032 0.126628 21682 110474 -1 2229 21 1848 2974 208810 47614 4.02903 4.02903 -154.18 -4.02903 0 0 585099. 2024.56 0.27 0.09 0.10 -1 -1 0.27 0.030121 0.0268329 155 50 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 5.51 vpr 65.23 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 34212 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66800 31 32 449 367 1 193 99 17 17 289 -1 unnamed_device 26.6 MiB 0.22 1132 11727 2897 7809 1021 65.2 MiB 0.13 0.00 3.83395 -115.186 -3.83395 3.83395 1.07 0.000703378 0.000643717 0.0379612 0.0345344 26 3016 47 6.64007e+06 452088 477104. 1650.88 1.84 0.165207 0.145446 21682 110474 -1 2417 19 1504 2612 185412 41535 3.73663 3.73663 -137.713 -3.73663 0 0 585099. 2024.56 0.28 0.08 0.11 -1 -1 0.28 0.0290599 0.0255563 147 110 0 0 122 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 4.75 vpr 65.30 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 34108 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 432 346 1 195 86 17 17 289 -1 unnamed_device 26.8 MiB 0.16 1052 15773 5242 8402 2129 65.3 MiB 0.16 0.00 3.56755 -112.832 -3.56755 3.56755 1.06 0.000672637 0.000612688 0.0589501 0.0538023 32 2723 23 6.64007e+06 276276 554710. 1919.41 1.12 0.162151 0.145098 22834 132086 -1 2263 21 1683 3054 197701 45133 3.62863 3.62863 -134.121 -3.62863 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0351107 0.0314618 151 86 32 32 94 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.87 vpr 64.97 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 33840 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66528 32 32 312 255 1 166 95 17 17 289 -1 unnamed_device 26.1 MiB 0.07 776 8951 1850 6701 400 65.0 MiB 0.09 0.00 2.7537 -96.7128 -2.7537 2.7537 1.04 0.000522615 0.000475145 0.0235669 0.0214993 28 2457 28 6.64007e+06 389298 500653. 1732.36 1.53 0.0994884 0.0876809 21970 115934 -1 1938 22 1318 2005 160114 35798 2.84177 2.84177 -117.465 -2.84177 0 0 612192. 2118.31 0.29 0.07 0.11 -1 -1 0.29 0.024016 0.0211543 125 20 63 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.68 vpr 64.94 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 33724 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 370 314 1 164 82 17 17 289 -1 unnamed_device 26.1 MiB 0.19 956 11474 3199 7255 1020 64.9 MiB 0.11 0.00 2.7819 -101.672 -2.7819 2.7819 1.05 0.000534413 0.000488653 0.0396157 0.0360023 32 2182 23 6.64007e+06 226044 554710. 1919.41 1.08 0.12634 0.11219 22834 132086 -1 1949 19 1260 2028 149212 32517 2.76557 2.76557 -118.289 -2.76557 0 0 701300. 2426.64 0.34 0.07 0.13 -1 -1 0.34 0.024495 0.0217968 121 91 0 0 94 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 5.90 vpr 65.50 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 34084 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 469 351 1 233 106 17 17 289 -1 unnamed_device 27.2 MiB 0.12 1369 19606 6011 11185 2410 65.5 MiB 0.22 0.00 4.14482 -142.34 -4.14482 4.14482 1.07 0.000640755 0.000583167 0.0619982 0.0563935 28 3836 27 6.64007e+06 527436 500653. 1732.36 2.15 0.177842 0.157984 21970 115934 -1 2980 24 2557 4228 312977 70254 4.85968 4.85968 -177.631 -4.85968 0 0 612192. 2118.31 0.30 0.12 0.12 -1 -1 0.30 0.0396459 0.0352705 189 53 96 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.62 vpr 64.92 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 34096 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 368 284 1 194 97 17 17 289 -1 unnamed_device 26.3 MiB 0.13 862 16747 4896 9029 2822 64.9 MiB 0.15 0.00 2.8911 -98.8384 -2.8911 2.8911 1.04 0.000593286 0.000522446 0.049406 0.0447365 32 2279 26 6.64007e+06 414414 554710. 1919.41 1.07 0.138887 0.123221 22834 132086 -1 1792 19 1373 1971 125271 30907 3.13437 3.13437 -117.649 -3.13437 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0262628 0.0234998 148 31 92 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.37 vpr 64.52 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 33740 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66068 30 32 296 244 1 158 93 17 17 289 -1 unnamed_device 26.2 MiB 0.07 709 7023 1303 5326 394 64.5 MiB 0.06 0.00 2.7317 -88.2741 -2.7317 2.7317 1.02 0.000454541 0.000418972 0.0170931 0.0155653 26 2005 22 6.64007e+06 389298 477104. 1650.88 1.18 0.088428 0.078116 21682 110474 -1 1715 19 1164 1755 108124 26146 2.86197 2.86197 -111.376 -2.86197 0 0 585099. 2024.56 0.28 0.05 0.10 -1 -1 0.28 0.0217457 0.0193241 116 29 60 30 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 5.85 vpr 65.59 MiB 0.03 7532 -1 -1 1 0.04 -1 -1 34544 -1 -1 45 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 32 32 531 413 1 232 109 17 17 289 -1 unnamed_device 27.3 MiB 0.29 1374 12849 2997 8676 1176 65.6 MiB 0.14 0.00 3.89342 -135.958 -3.89342 3.89342 1.06 0.000745812 0.000680777 0.0415579 0.0377598 26 3512 26 6.64007e+06 565110 477104. 1650.88 2.10 0.155259 0.137586 21682 110474 -1 2810 22 2346 3773 282011 60455 4.54909 4.54909 -174.114 -4.54909 0 0 585099. 2024.56 0.30 0.12 0.11 -1 -1 0.30 0.0437708 0.0393018 188 109 32 32 128 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.55 vpr 65.09 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 34088 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 32 32 376 288 1 194 102 17 17 289 -1 unnamed_device 26.4 MiB 0.13 929 11526 2669 8210 647 65.1 MiB 0.11 0.00 3.41536 -118.083 -3.41536 3.41536 1.04 0.00077588 0.00069731 0.0347831 0.031776 30 2385 22 6.64007e+06 477204 526063. 1820.29 1.08 0.126718 0.112799 22546 126617 -1 1913 22 1560 2304 125282 30190 3.69343 3.69343 -139.998 -3.69343 0 0 666494. 2306.21 0.33 0.07 0.12 -1 -1 0.33 0.0303977 0.0270998 153 31 96 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 5.08 vpr 64.70 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 34028 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 32 32 283 225 1 164 96 17 17 289 -1 unnamed_device 26.4 MiB 0.08 792 12579 3290 8680 609 64.7 MiB 0.12 0.00 2.7647 -98.1605 -2.7647 2.7647 1.06 0.000555666 0.000510472 0.0339516 0.0309266 26 2450 27 6.64007e+06 401856 477104. 1650.88 1.66 0.112848 0.100026 21682 110474 -1 1865 21 1187 1896 138339 31381 2.94077 2.94077 -122.206 -2.94077 0 0 585099. 2024.56 0.29 0.07 0.11 -1 -1 0.29 0.0245255 0.0218851 124 -1 96 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 5.14 vpr 65.11 MiB 0.03 7332 -1 -1 1 0.06 -1 -1 34328 -1 -1 43 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 438 320 1 235 107 17 17 289 -1 unnamed_device 26.8 MiB 0.13 1332 16299 4383 10515 1401 65.1 MiB 0.17 0.00 3.99342 -139.136 -3.99342 3.99342 1.04 0.000810897 0.000747162 0.0508178 0.0466155 28 3488 20 6.64007e+06 539994 500653. 1732.36 1.50 0.157921 0.141806 21970 115934 -1 3062 23 2515 4379 339661 71021 4.68068 4.68068 -176.811 -4.68068 0 0 612192. 2118.31 0.30 0.13 0.11 -1 -1 0.30 0.0409414 0.0366836 190 26 128 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.38 vpr 64.56 MiB 0.02 7000 -1 -1 1 0.03 -1 -1 33708 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 32 32 283 225 1 162 81 17 17 289 -1 unnamed_device 25.9 MiB 0.09 854 11981 4008 6145 1828 64.6 MiB 0.10 0.00 2.7849 -100.479 -2.7849 2.7849 1.01 0.000441927 0.000402019 0.0311774 0.028288 32 2018 24 6.64007e+06 213486 554710. 1919.41 1.00 0.0971431 0.0854593 22834 132086 -1 1839 19 1421 2308 178991 39836 3.19537 3.19537 -125.609 -3.19537 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0259208 0.0231784 121 -1 96 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 6.65 vpr 64.41 MiB 0.02 7052 -1 -1 1 0.03 -1 -1 34012 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65956 30 32 296 244 1 157 94 17 17 289 -1 unnamed_device 26.1 MiB 0.12 694 12022 3074 7474 1474 64.4 MiB 0.09 0.00 2.8189 -90.3374 -2.8189 2.8189 1.05 0.000446481 0.000408465 0.0284328 0.0259054 28 2094 30 6.64007e+06 401856 500653. 1732.36 3.24 0.177491 0.155053 21970 115934 -1 1673 19 966 1621 108796 26568 3.13717 3.13717 -115.884 -3.13717 0 0 612192. 2118.31 0.29 0.06 0.11 -1 -1 0.29 0.0217267 0.0193201 114 29 60 30 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 4.70 vpr 65.08 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 34016 -1 -1 34 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 29 32 393 319 1 182 95 17 17 289 -1 unnamed_device 26.4 MiB 0.19 1004 14999 4032 9192 1775 65.1 MiB 0.15 0.00 2.9591 -89.5578 -2.9591 2.9591 1.10 0.000624701 0.000572973 0.0453532 0.0412782 28 2364 19 6.64007e+06 426972 500653. 1732.36 1.04 0.127231 0.112665 21970 115934 -1 2036 19 1165 1985 120552 28447 3.26256 3.26256 -111.226 -3.26256 0 0 612192. 2118.31 0.30 0.06 0.11 -1 -1 0.30 0.0261888 0.0232695 134 81 29 29 85 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.57 vpr 64.98 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 34016 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 407 319 1 194 86 17 17 289 -1 unnamed_device 26.2 MiB 0.14 895 8969 2133 6503 333 65.0 MiB 0.10 0.00 3.37836 -116.939 -3.37836 3.37836 1.05 0.000688929 0.000627785 0.0320851 0.0293676 30 2297 25 6.64007e+06 276276 526063. 1820.29 1.08 0.118037 0.104278 22546 126617 -1 1902 21 1549 2400 146319 32992 3.66543 3.66543 -139.83 -3.66543 0 0 666494. 2306.21 0.31 0.07 0.12 -1 -1 0.31 0.0279907 0.0248417 152 53 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.96 vpr 64.92 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 34248 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 407 319 1 195 100 17 17 289 -1 unnamed_device 26.4 MiB 0.23 1056 15876 4832 8212 2832 64.9 MiB 0.16 0.00 3.41716 -119.667 -3.41716 3.41716 1.11 0.000694624 0.00063122 0.0489532 0.0444452 32 2616 22 6.64007e+06 452088 554710. 1919.41 1.16 0.142107 0.126247 22834 132086 -1 2187 16 1480 2439 158728 36185 3.61523 3.61523 -139.348 -3.61523 0 0 701300. 2426.64 0.34 0.07 0.14 -1 -1 0.34 0.0260173 0.0232469 154 55 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.40 vpr 65.04 MiB 0.02 7008 -1 -1 1 0.04 -1 -1 34184 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66604 32 32 345 287 1 161 96 17 17 289 -1 unnamed_device 26.4 MiB 0.13 897 13893 3276 8957 1660 65.0 MiB 0.11 0.00 2.8629 -104.168 -2.8629 2.8629 1.04 0.000519733 0.000464551 0.0364913 0.033092 28 2116 21 6.64007e+06 401856 500653. 1732.36 1.03 0.122478 0.10914 21970 115934 -1 1887 20 1198 1829 127592 28678 2.94877 2.94877 -123.284 -2.94877 0 0 612192. 2118.31 0.30 0.07 0.10 -1 -1 0.30 0.0261205 0.0232365 122 55 32 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.46 vpr 65.02 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 34276 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 31 32 353 302 1 152 80 17 17 289 -1 unnamed_device 26.2 MiB 0.17 863 7992 2041 4939 1012 65.0 MiB 0.08 0.00 2.9591 -94.569 -2.9591 2.9591 1.04 0.000660727 0.000606076 0.0275868 0.025236 30 2045 24 6.64007e+06 213486 526063. 1820.29 1.03 0.116341 0.103579 22546 126617 -1 1714 19 893 1615 88458 20900 2.80457 2.80457 -110.634 -2.80457 0 0 666494. 2306.21 0.32 0.05 0.12 -1 -1 0.32 0.0237241 0.021207 109 82 0 0 89 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 6.52 vpr 65.12 MiB 0.02 7284 -1 -1 1 0.04 -1 -1 34244 -1 -1 35 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 30 32 374 297 1 186 97 17 17 289 -1 unnamed_device 26.4 MiB 0.15 867 8977 1908 6586 483 65.1 MiB 0.10 0.00 2.7317 -88.7549 -2.7317 2.7317 1.04 0.000636258 0.000584192 0.0278962 0.025559 28 2314 24 6.64007e+06 439530 500653. 1732.36 3.12 0.198798 0.173929 21970 115934 -1 1898 17 1189 1879 117624 28974 3.20057 3.20057 -110.549 -3.20057 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0254741 0.0227571 139 52 60 30 57 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.38 vpr 64.88 MiB 0.02 7408 -1 -1 1 0.03 -1 -1 33900 -1 -1 32 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 28 32 332 260 1 180 92 17 17 289 -1 unnamed_device 26.3 MiB 0.08 954 12719 3532 8069 1118 64.9 MiB 0.11 0.00 3.53535 -102.191 -3.53535 3.53535 1.00 0.000531263 0.000486843 0.033572 0.030491 26 2405 23 6.64007e+06 401856 477104. 1650.88 1.12 0.112102 0.099219 21682 110474 -1 1988 18 1372 2174 140376 32086 3.97002 3.97002 -127.585 -3.97002 0 0 585099. 2024.56 0.28 0.07 0.10 -1 -1 0.28 0.0239357 0.0215053 134 20 84 28 28 28 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.54 vpr 64.70 MiB 0.02 7344 -1 -1 1 0.04 -1 -1 33736 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 30 32 325 273 1 157 81 17 17 289 -1 unnamed_device 25.9 MiB 0.18 850 13731 4322 7300 2109 64.7 MiB 0.11 0.00 2.8131 -95.1918 -2.8131 2.8131 1.03 0.000510139 0.000465163 0.0407189 0.0371873 32 1985 19 6.64007e+06 238602 554710. 1919.41 1.03 0.11087 0.0985222 22834 132086 -1 1834 19 1259 2101 158714 35220 2.88417 2.88417 -110.683 -2.88417 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0229144 0.0202979 114 58 30 30 60 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.74 vpr 64.91 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 33552 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 361 308 1 158 81 17 17 289 -1 unnamed_device 26.1 MiB 0.19 916 12156 3444 6619 2093 64.9 MiB 0.11 0.00 2.9653 -95.0324 -2.9653 2.9653 1.07 0.00051701 0.000469203 0.040862 0.0371407 32 2048 21 6.64007e+06 213486 554710. 1919.41 1.05 0.114592 0.100756 22834 132086 -1 1845 21 1192 2014 141661 31890 2.73857 2.73857 -108.912 -2.73857 0 0 701300. 2426.64 0.36 0.07 0.13 -1 -1 0.36 0.0293277 0.0261144 114 88 0 0 91 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.50 vpr 64.67 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 33844 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66224 31 32 335 251 1 196 100 17 17 289 -1 unnamed_device 26.1 MiB 0.09 1008 11236 2912 7417 907 64.7 MiB 0.11 0.00 3.38416 -112.662 -3.38416 3.38416 1.02 0.000513432 0.000468292 0.0276577 0.025258 32 2726 21 6.64007e+06 464646 554710. 1919.41 1.09 0.102271 0.0900104 22834 132086 -1 2219 18 1575 2514 151454 36486 3.74563 3.74563 -137.435 -3.74563 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0242364 0.0215553 152 -1 124 31 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.77 vpr 65.14 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34164 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 32 32 407 319 1 197 100 17 17 289 -1 unnamed_device 26.5 MiB 0.17 974 19588 5941 10475 3172 65.1 MiB 0.18 0.00 3.39516 -117.047 -3.39516 3.39516 1.07 0.000626871 0.000571687 0.0571753 0.0521605 32 2405 21 6.64007e+06 452088 554710. 1919.41 1.12 0.153525 0.13732 22834 132086 -1 2044 18 1656 2788 170865 39928 3.70243 3.70243 -139.061 -3.70243 0 0 701300. 2426.64 0.33 0.08 0.12 -1 -1 0.33 0.0295302 0.0264749 155 57 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.72 vpr 65.32 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33996 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 407 319 1 194 100 17 17 289 -1 unnamed_device 26.8 MiB 0.16 1106 16108 4364 9972 1772 65.3 MiB 0.15 0.00 3.38416 -119.924 -3.38416 3.38416 1.05 0.000693914 0.000639474 0.0509018 0.0465797 32 2670 21 6.64007e+06 452088 554710. 1919.41 1.13 0.150441 0.134656 22834 132086 -1 2329 20 1746 2813 203457 43421 3.69443 3.69443 -145.719 -3.69443 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0337906 0.0303445 153 62 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 5.56 vpr 65.16 MiB 0.03 7164 -1 -1 1 0.04 -1 -1 34112 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 399 315 1 196 102 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1160 18666 5104 11527 2035 65.2 MiB 0.19 0.00 3.37316 -115.961 -3.37316 3.37316 1.09 0.000629198 0.000571478 0.0532643 0.0482465 26 3007 26 6.64007e+06 477204 477104. 1650.88 1.92 0.148076 0.131082 21682 110474 -1 2464 21 1651 2839 202196 44668 3.63943 3.63943 -139.369 -3.63943 0 0 585099. 2024.56 0.28 0.09 0.11 -1 -1 0.28 0.0301753 0.0269044 149 62 60 30 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.45 vpr 64.89 MiB 0.02 6988 -1 -1 1 0.03 -1 -1 33844 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 30 32 296 244 1 156 81 17 17 289 -1 unnamed_device 26.1 MiB 0.11 647 13381 3925 7147 2309 64.9 MiB 0.12 0.00 2.7709 -89.1313 -2.7709 2.7709 1.04 0.000473088 0.000433969 0.0381832 0.0348578 32 1814 21 6.64007e+06 238602 554710. 1919.41 1.01 0.101727 0.0898376 22834 132086 -1 1531 19 1191 1888 127169 29838 2.81877 2.81877 -108.024 -2.81877 0 0 701300. 2426.64 0.35 0.06 0.13 -1 -1 0.35 0.0235151 0.0210082 113 29 60 30 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.44 vpr 65.28 MiB 0.02 7428 -1 -1 1 0.04 -1 -1 34068 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 30 32 383 303 1 191 86 17 17 289 -1 unnamed_device 26.6 MiB 0.15 927 14450 3655 8821 1974 65.3 MiB 0.12 0.00 3.35636 -108.653 -3.35636 3.35636 1.00 0.000567015 0.0005168 0.0442541 0.0402787 32 2282 22 6.64007e+06 301392 554710. 1919.41 1.04 0.125472 0.111199 22834 132086 -1 2081 20 1739 2609 197090 44688 3.66483 3.66483 -131.299 -3.66483 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0275191 0.0244632 146 58 60 30 60 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 5.81 vpr 64.86 MiB 0.02 7528 -1 -1 1 0.04 -1 -1 34088 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66420 32 32 469 381 1 198 105 17 17 289 -1 unnamed_device 26.5 MiB 0.22 1080 15172 4050 9950 1172 64.9 MiB 0.16 0.00 3.43916 -121.954 -3.43916 3.43916 1.05 0.000801582 0.000737193 0.0484555 0.0442761 26 2976 32 6.64007e+06 514878 477104. 1650.88 2.19 0.171437 0.153132 21682 110474 -1 2460 20 1941 3222 258002 54988 3.75743 3.75743 -147.071 -3.75743 0 0 585099. 2024.56 0.28 0.11 0.10 -1 -1 0.28 0.0354189 0.0318061 156 106 0 0 128 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.58 vpr 65.26 MiB 0.03 7528 -1 -1 1 0.04 -1 -1 34056 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 31 32 425 341 1 189 96 17 17 289 -1 unnamed_device 26.8 MiB 0.12 1070 16959 5715 8963 2281 65.3 MiB 0.17 0.00 3.39516 -115.177 -3.39516 3.39516 1.02 0.000605832 0.00053846 0.0544621 0.0497432 30 2225 23 6.64007e+06 414414 526063. 1820.29 1.09 0.147208 0.131228 22546 126617 -1 1928 20 1426 2382 123993 29195 3.53523 3.53523 -134.018 -3.53523 0 0 666494. 2306.21 0.31 0.07 0.12 -1 -1 0.31 0.0296285 0.0264973 148 79 31 31 93 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.81 vpr 64.78 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33980 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66332 30 32 404 328 1 182 94 17 17 289 -1 unnamed_device 26.1 MiB 0.15 1025 9679 2534 6520 625 64.8 MiB 0.10 0.00 3.00058 -93.5826 -3.00058 3.00058 1.04 0.000610611 0.000563599 0.0296093 0.0270079 26 2662 27 6.64007e+06 401856 477104. 1650.88 1.26 0.124714 0.110051 21682 110474 -1 2257 19 1485 2387 160648 36826 3.17537 3.17537 -119.861 -3.17537 0 0 585099. 2024.56 0.30 0.08 0.11 -1 -1 0.30 0.0315034 0.0281283 138 83 26 26 90 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.68 vpr 65.11 MiB 0.02 7368 -1 -1 1 0.04 -1 -1 34056 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 32 32 407 319 1 198 86 17 17 289 -1 unnamed_device 26.2 MiB 0.24 1030 11237 3477 6934 826 65.1 MiB 0.12 0.00 3.35816 -117.64 -3.35816 3.35816 1.03 0.000588384 0.000536109 0.037166 0.0337609 32 2585 21 6.64007e+06 276276 554710. 1919.41 1.10 0.122767 0.108594 22834 132086 -1 2227 20 1878 3128 210220 46338 3.69963 3.69963 -144.957 -3.69963 0 0 701300. 2426.64 0.31 0.08 0.13 -1 -1 0.31 0.0298061 0.0265395 155 58 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.59 vpr 65.12 MiB 0.03 7388 -1 -1 1 0.04 -1 -1 34120 -1 -1 36 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 29 32 387 316 1 179 97 17 17 289 -1 unnamed_device 26.4 MiB 0.14 793 17191 5498 8460 3233 65.1 MiB 0.15 0.00 2.7749 -84.0934 -2.7749 2.7749 1.05 0.000714846 0.000651126 0.0514802 0.0467077 32 2102 21 6.64007e+06 452088 554710. 1919.41 1.06 0.136288 0.121019 22834 132086 -1 1692 17 1385 2235 138910 33952 3.13457 3.13457 -103.117 -3.13457 0 0 701300. 2426.64 0.34 0.07 0.12 -1 -1 0.34 0.0286066 0.0257396 136 81 26 26 85 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.37 vpr 64.34 MiB 0.02 6856 -1 -1 1 0.03 -1 -1 33960 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 32 32 283 225 1 156 81 17 17 289 -1 unnamed_device 25.8 MiB 0.06 800 5331 1118 3727 486 64.3 MiB 0.06 0.00 2.7709 -98.1017 -2.7709 2.7709 1.04 0.000464251 0.00042309 0.0163768 0.0150035 32 1993 23 6.64007e+06 213486 554710. 1919.41 1.04 0.0841428 0.0737879 22834 132086 -1 1799 20 1255 1951 128446 30412 2.96597 2.96597 -120.618 -2.96597 0 0 701300. 2426.64 0.33 0.06 0.12 -1 -1 0.33 0.0228141 0.0203047 115 -1 96 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.71 vpr 65.17 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 33820 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 407 319 1 194 99 17 17 289 -1 unnamed_device 26.7 MiB 0.25 1085 15603 4456 9696 1451 65.2 MiB 0.14 0.00 3.37316 -120.37 -3.37316 3.37316 1.03 0.000739655 0.000683074 0.0473997 0.0432244 32 2553 21 6.64007e+06 439530 554710. 1919.41 1.09 0.141167 0.12608 22834 132086 -1 2259 21 1696 2569 168304 38887 3.68563 3.68563 -144.965 -3.68563 0 0 701300. 2426.64 0.32 0.08 0.12 -1 -1 0.32 0.0318161 0.0284833 152 62 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.70 vpr 65.48 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 34092 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67048 32 32 407 319 1 201 87 17 17 289 -1 unnamed_device 26.6 MiB 0.18 1005 16599 6352 9045 1202 65.5 MiB 0.15 0.00 3.37836 -119.558 -3.37836 3.37836 1.01 0.000518094 0.000468768 0.0513215 0.0465686 32 2482 22 6.64007e+06 288834 554710. 1919.41 1.06 0.138173 0.122413 22834 132086 -1 2170 21 2082 3164 233952 52278 3.80083 3.80083 -142.909 -3.80083 0 0 701300. 2426.64 0.34 0.09 0.13 -1 -1 0.34 0.0324938 0.029126 158 62 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.51 vpr 64.84 MiB 0.02 7004 -1 -1 1 0.03 -1 -1 34064 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 315 267 1 158 94 17 17 289 -1 unnamed_device 26.0 MiB 0.15 717 8188 1647 6063 478 64.8 MiB 0.08 0.00 3.0903 -92.4514 -3.0903 3.0903 1.08 0.000552276 0.000504379 0.0231866 0.0210711 32 1919 22 6.64007e+06 376740 554710. 1919.41 1.02 0.0961875 0.0850715 22834 132086 -1 1464 19 917 1466 87499 21919 3.05597 3.05597 -107.304 -3.05597 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0239685 0.0213376 112 47 32 32 54 27 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.47 vpr 64.59 MiB 0.02 7180 -1 -1 1 0.03 -1 -1 33700 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 31 32 275 220 1 160 81 17 17 289 -1 unnamed_device 26.1 MiB 0.08 891 13556 4350 7094 2112 64.6 MiB 0.11 0.00 2.8321 -100.327 -2.8321 2.8321 1.05 0.000461078 0.000417666 0.0363539 0.0331268 32 2000 25 6.64007e+06 226044 554710. 1919.41 1.05 0.10368 0.0917046 22834 132086 -1 1828 21 1442 2325 174060 38942 2.97197 2.97197 -119.356 -2.97197 0 0 701300. 2426.64 0.33 0.07 0.13 -1 -1 0.33 0.0225689 0.020029 118 -1 93 31 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 6.04 vpr 64.78 MiB 0.03 7272 -1 -1 1 0.04 -1 -1 33936 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 32 32 381 303 1 188 97 17 17 289 -1 unnamed_device 26.1 MiB 0.15 990 10753 2618 7263 872 64.8 MiB 0.11 0.00 3.32336 -113.497 -3.32336 3.32336 1.06 0.00061698 0.000560142 0.0340652 0.0309189 24 2862 33 6.64007e+06 414414 448715. 1552.65 2.57 0.163154 0.143125 21394 104001 -1 2253 18 1509 2183 176319 38145 3.99423 3.99423 -142.635 -3.99423 0 0 554710. 1919.41 0.27 0.07 0.10 -1 -1 0.27 0.0264521 0.0236547 139 56 60 32 58 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 5.03 vpr 65.38 MiB 0.03 7348 -1 -1 1 0.04 -1 -1 33652 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 32 32 406 330 1 190 96 17 17 289 -1 unnamed_device 26.6 MiB 0.11 1110 16740 4475 10065 2200 65.4 MiB 0.16 0.00 3.57456 -111.886 -3.57456 3.57456 1.07 0.000622477 0.000558508 0.0525084 0.0476734 26 2787 22 6.64007e+06 401856 477104. 1650.88 1.42 0.140801 0.124768 21682 110474 -1 2339 21 1656 2613 183311 41138 4.17323 4.17323 -142.424 -4.17323 0 0 585099. 2024.56 0.29 0.08 0.11 -1 -1 0.29 0.029394 0.026129 136 81 28 28 88 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.66 vpr 64.88 MiB 0.03 7452 -1 -1 1 0.04 -1 -1 33960 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 399 285 1 228 101 17 17 289 -1 unnamed_device 26.6 MiB 0.09 1320 13261 3826 8337 1098 64.9 MiB 0.14 0.00 3.93662 -136.325 -3.93662 3.93662 1.01 0.000650784 0.000592262 0.0404227 0.0368513 28 3126 21 6.64007e+06 464646 500653. 1732.36 1.19 0.125968 0.111754 21970 115934 -1 2570 21 2043 3264 202085 46594 4.23489 4.23489 -162.288 -4.23489 0 0 612192. 2118.31 0.29 0.09 0.11 -1 -1 0.29 0.0320244 0.0284797 179 -1 156 32 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 5.40 vpr 64.93 MiB 0.02 7444 -1 -1 1 0.04 -1 -1 34168 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 30 32 371 295 1 184 96 17 17 289 -1 unnamed_device 26.2 MiB 0.16 902 10608 2630 7342 636 64.9 MiB 0.10 0.00 3.1105 -94.5351 -3.1105 3.1105 1.01 0.000635475 0.000580403 0.0297042 0.0271 26 2905 26 6.64007e+06 426972 477104. 1650.88 1.97 0.118396 0.104552 21682 110474 -1 2106 22 1514 2450 178574 42887 3.79737 3.79737 -128.73 -3.79737 0 0 585099. 2024.56 0.27 0.08 0.11 -1 -1 0.27 0.0298948 0.0265857 138 47 60 30 56 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.57 vpr 64.36 MiB 0.02 6984 -1 -1 1 0.03 -1 -1 34232 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65900 27 32 269 226 1 143 80 17 17 289 -1 unnamed_device 25.8 MiB 0.10 632 12636 5273 6095 1268 64.4 MiB 0.09 0.00 2.7691 -80.2981 -2.7691 2.7691 1.00 0.000414396 0.000377838 0.0327121 0.0297996 26 1813 35 6.64007e+06 263718 477104. 1650.88 1.32 0.105422 0.0929014 21682 110474 -1 1443 23 1331 1939 141315 35428 3.10237 3.10237 -103.56 -3.10237 0 0 585099. 2024.56 0.27 0.07 0.11 -1 -1 0.27 0.0236392 0.0207143 107 26 54 27 27 27 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 5.24 vpr 65.31 MiB 0.03 7324 -1 -1 1 0.04 -1 -1 34168 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 493 378 1 232 106 17 17 289 -1 unnamed_device 27.0 MiB 0.17 1353 13106 3199 8878 1029 65.3 MiB 0.15 0.00 3.68056 -121.246 -3.68056 3.68056 1.05 0.00092643 0.000856055 0.0464458 0.0425381 28 3784 24 6.64007e+06 527436 500653. 1732.36 1.61 0.169342 0.151503 21970 115934 -1 3069 22 2105 3862 298572 66660 3.86663 3.86663 -146.337 -3.86663 0 0 612192. 2118.31 0.29 0.13 0.11 -1 -1 0.29 0.0428246 0.038348 186 85 62 31 95 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.94 vpr 65.26 MiB 0.03 7592 -1 -1 1 0.04 -1 -1 34364 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66824 31 32 455 371 1 188 85 17 17 289 -1 unnamed_device 26.7 MiB 0.26 908 9199 2239 5992 968 65.3 MiB 0.10 0.00 3.64105 -113.603 -3.64105 3.64105 1.08 0.000632687 0.000569348 0.0371697 0.033903 32 2359 22 6.64007e+06 276276 554710. 1919.41 1.15 0.133586 0.118292 22834 132086 -1 1902 20 1567 2601 163715 41189 3.79263 3.79263 -139.61 -3.79263 0 0 701300. 2426.64 0.35 0.08 0.13 -1 -1 0.35 0.0334266 0.0300115 145 105 0 0 124 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.60 vpr 64.87 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 33748 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 355 304 1 150 80 17 17 289 -1 unnamed_device 26.1 MiB 0.21 866 14184 4481 7866 1837 64.9 MiB 0.12 0.00 2.9543 -94.0722 -2.9543 2.9543 1.08 0.000499258 0.000454672 0.0467907 0.0426997 30 1950 23 6.64007e+06 200928 526063. 1820.29 1.00 0.126825 0.112474 22546 126617 -1 1742 19 813 1315 83517 18803 2.64057 2.64057 -109.245 -2.64057 0 0 666494. 2306.21 0.33 0.05 0.12 -1 -1 0.33 0.0256724 0.02284 108 86 0 0 89 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.55 vpr 65.11 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 33900 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 32 32 364 282 1 196 97 17 17 289 -1 unnamed_device 26.4 MiB 0.07 1096 13195 3422 8717 1056 65.1 MiB 0.13 0.00 3.65525 -116.79 -3.65525 3.65525 1.06 0.000529729 0.000481055 0.0376717 0.0342793 32 2291 19 6.64007e+06 414414 554710. 1919.41 1.06 0.115289 0.102094 22834 132086 -1 2030 20 1298 2064 135207 33870 3.82202 3.82202 -136.408 -3.82202 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0271418 0.0240185 147 31 90 30 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.62 vpr 65.29 MiB 0.02 7312 -1 -1 1 0.04 -1 -1 34476 -1 -1 38 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 31 32 443 336 1 220 101 17 17 289 -1 unnamed_device 26.8 MiB 0.13 1002 21016 6174 11161 3681 65.3 MiB 0.19 0.00 3.65376 -113.344 -3.65376 3.65376 1.02 0.000637215 0.000576793 0.063494 0.0576478 32 2649 24 6.64007e+06 477204 554710. 1919.41 1.08 0.157468 0.139868 22834 132086 -1 2253 21 1866 2830 186038 44397 3.83363 3.83363 -138.601 -3.83363 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0328424 0.0292205 173 50 87 31 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 4.79 vpr 65.21 MiB 0.03 7464 -1 -1 1 0.04 -1 -1 34128 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 30 32 373 297 1 186 96 17 17 289 -1 unnamed_device 26.5 MiB 0.12 1038 16740 4573 9849 2318 65.2 MiB 0.17 0.00 2.9811 -92.2189 -2.9811 2.9811 1.10 0.000606775 0.000555131 0.0515339 0.0466187 32 2434 21 6.64007e+06 426972 554710. 1919.41 1.12 0.13602 0.120407 22834 132086 -1 2174 17 1372 2308 151529 34727 3.11136 3.11136 -111.237 -3.11136 0 0 701300. 2426.64 0.33 0.07 0.14 -1 -1 0.33 0.0257422 0.0231685 135 50 58 30 58 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.56 vpr 64.81 MiB 0.02 7404 -1 -1 1 0.04 -1 -1 33968 -1 -1 43 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 407 319 1 201 107 17 17 289 -1 unnamed_device 26.5 MiB 0.13 1334 22624 7539 12337 2748 64.8 MiB 0.18 0.00 3.65756 -127.051 -3.65756 3.65756 0.98 0.000534199 0.000484619 0.0606272 0.0552264 32 2768 22 6.64007e+06 539994 554710. 1919.41 1.07 0.146637 0.130376 22834 132086 -1 2580 23 2068 3423 274489 59211 3.97583 3.97583 -151.334 -3.97583 0 0 701300. 2426.64 0.31 0.10 0.13 -1 -1 0.31 0.0324437 0.0286314 158 61 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 7.03 vpr 65.25 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34076 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 405 318 1 200 104 17 17 289 -1 unnamed_device 26.7 MiB 0.14 981 17428 4994 9490 2944 65.2 MiB 0.16 0.00 2.7929 -97.8812 -2.7929 2.7929 1.04 0.000611342 0.000552916 0.0461985 0.0419342 32 2536 26 6.64007e+06 502320 554710. 1919.41 3.46 0.23196 0.203174 22834 132086 -1 1964 21 1645 2533 159162 37769 3.11157 3.11157 -118.728 -3.11157 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0292946 0.0259065 157 61 63 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 4.45 vpr 64.38 MiB 0.02 7176 -1 -1 1 0.03 -1 -1 33616 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65924 29 32 287 238 1 134 79 17 17 289 -1 unnamed_device 25.9 MiB 0.08 542 13430 5790 6758 882 64.4 MiB 0.09 0.00 2.9573 -85.5328 -2.9573 2.9573 1.03 0.000433448 0.000389623 0.0359055 0.0326614 32 1545 29 6.64007e+06 226044 554710. 1919.41 1.10 0.117117 0.103822 22834 132086 -1 1219 24 1103 1547 116733 33137 2.78577 2.78577 -101.885 -2.78577 0 0 701300. 2426.64 0.33 0.07 0.12 -1 -1 0.33 0.0261514 0.0231198 95 28 58 29 29 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.38 vpr 64.60 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34084 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 334 290 1 156 83 17 17 289 -1 unnamed_device 25.8 MiB 0.17 904 6743 1537 4830 376 64.6 MiB 0.07 0.00 3.39936 -96.1227 -3.39936 3.39936 1.06 0.000515281 0.00047001 0.0217703 0.0198825 26 2030 22 6.64007e+06 238602 477104. 1650.88 0.94 0.098666 0.0872028 21682 110474 -1 1767 22 1116 1572 124326 28328 2.83617 2.83617 -110.571 -2.83617 0 0 585099. 2024.56 0.29 0.07 0.11 -1 -1 0.29 0.0288542 0.0258122 112 79 0 0 82 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 5.38 vpr 65.05 MiB 0.02 7340 -1 -1 1 0.04 -1 -1 33964 -1 -1 38 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 31 32 365 281 1 196 101 17 17 289 -1 unnamed_device 26.4 MiB 0.14 986 13261 3497 8846 918 65.1 MiB 0.13 0.00 3.98015 -118.414 -3.98015 3.98015 1.08 0.00062556 0.000571621 0.0388547 0.0353135 26 2899 22 6.64007e+06 477204 477104. 1650.88 1.74 0.126624 0.112132 21682 110474 -1 2420 22 1823 2926 224715 49412 3.90583 3.90583 -147.998 -3.90583 0 0 585099. 2024.56 0.30 0.10 0.11 -1 -1 0.30 0.0326773 0.0290377 151 29 93 31 31 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.47 vpr 64.66 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 34020 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66212 29 32 297 254 1 150 92 17 17 289 -1 unnamed_device 25.9 MiB 0.19 768 16652 4973 9354 2325 64.7 MiB 0.13 0.00 2.9591 -84.7747 -2.9591 2.9591 1.04 0.000490904 0.000438445 0.0395508 0.0359332 28 1696 21 6.64007e+06 389298 500653. 1732.36 0.98 0.10565 0.0935065 21970 115934 -1 1542 20 993 1593 99143 23239 2.77157 2.77157 -99.6573 -2.77157 0 0 612192. 2118.31 0.28 0.06 0.12 -1 -1 0.28 0.0235663 0.0208554 108 48 29 29 52 26 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.40 vpr 64.74 MiB 0.02 7176 -1 -1 1 0.03 -1 -1 34016 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66292 32 32 314 256 1 160 81 17 17 289 -1 unnamed_device 25.9 MiB 0.13 896 13906 4719 7628 1559 64.7 MiB 0.11 0.00 2.7929 -101.448 -2.7929 2.7929 1.02 0.000453582 0.000411323 0.0389162 0.0352723 32 2142 21 6.64007e+06 213486 554710. 1919.41 1.00 0.106051 0.0937622 22834 132086 -1 1908 22 1452 2398 189519 40731 2.91297 2.91297 -122.494 -2.91297 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.02419 0.0214849 118 31 64 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.89 vpr 65.18 MiB 0.03 7300 -1 -1 1 0.04 -1 -1 34100 -1 -1 38 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 31 32 387 307 1 189 101 17 17 289 -1 unnamed_device 26.5 MiB 0.15 934 10206 2303 7222 681 65.2 MiB 0.11 0.00 2.8453 -96.2463 -2.8453 2.8453 1.10 0.000607493 0.000544226 0.0319413 0.0287815 26 2263 24 6.64007e+06 477204 477104. 1650.88 1.24 0.125735 0.111035 21682 110474 -1 1958 19 1661 2354 151886 35885 3.02437 3.02437 -120.033 -3.02437 0 0 585099. 2024.56 0.29 0.08 0.11 -1 -1 0.29 0.0291196 0.0259872 144 60 58 31 62 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.35 vpr 64.82 MiB 0.02 7196 -1 -1 1 0.03 -1 -1 33988 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 31 32 308 262 1 147 80 17 17 289 -1 unnamed_device 26.0 MiB 0.14 898 13324 4150 7278 1896 64.8 MiB 0.10 0.00 2.70619 -87.5425 -2.70619 2.70619 1.01 0.000480485 0.00043737 0.0360103 0.0328925 32 1937 20 6.64007e+06 213486 554710. 1919.41 0.96 0.0994087 0.0879647 22834 132086 -1 1730 20 904 1583 110992 24425 2.94917 2.94917 -107.264 -2.94917 0 0 701300. 2426.64 0.32 0.06 0.12 -1 -1 0.32 0.0219971 0.0196196 106 49 31 31 53 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 4.69 vpr 65.11 MiB 0.02 7364 -1 -1 1 0.04 -1 -1 34156 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 383 307 1 184 97 17 17 289 -1 unnamed_device 26.4 MiB 0.14 924 13195 3203 9313 679 65.1 MiB 0.12 0.00 2.7379 -93.8791 -2.7379 2.7379 1.07 0.000573311 0.000523667 0.0391182 0.0354932 30 2265 23 6.64007e+06 414414 526063. 1820.29 1.13 0.127491 0.113156 22546 126617 -1 1934 21 1126 1900 118915 26254 2.67557 2.67557 -108.231 -2.67557 0 0 666494. 2306.21 0.32 0.07 0.12 -1 -1 0.32 0.0294869 0.0263167 137 56 52 26 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.85 vpr 65.31 MiB 0.02 7356 -1 -1 1 0.04 -1 -1 34160 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 31 32 422 339 1 195 100 17 17 289 -1 unnamed_device 26.8 MiB 0.24 1001 14716 3816 9619 1281 65.3 MiB 0.15 0.00 3.1215 -100.469 -3.1215 3.1215 1.08 0.000666639 0.000606698 0.0495009 0.044954 26 2387 25 6.64007e+06 464646 477104. 1650.88 1.22 0.155597 0.138628 21682 110474 -1 2061 19 1600 2391 161278 36759 3.08717 3.08717 -120.708 -3.08717 0 0 585099. 2024.56 0.28 0.08 0.10 -1 -1 0.28 0.031018 0.0276985 149 88 31 31 92 31 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.62 vpr 64.86 MiB 0.02 6992 -1 -1 1 0.04 -1 -1 34044 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 333 279 1 160 82 17 17 289 -1 unnamed_device 26.1 MiB 0.13 893 13966 3753 8425 1788 64.9 MiB 0.12 0.00 2.55679 -94.205 -2.55679 2.55679 1.09 0.000504628 0.000459302 0.0431113 0.0393449 32 2172 21 6.64007e+06 226044 554710. 1919.41 1.05 0.124171 0.110518 22834 132086 -1 1865 19 1137 1794 125703 29338 2.84697 2.84697 -114.275 -2.84697 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0249174 0.0223246 115 54 32 32 60 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.86 vpr 65.00 MiB 0.02 6992 -1 -1 1 0.04 -1 -1 33568 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 339 283 1 164 82 17 17 289 -1 unnamed_device 26.2 MiB 0.19 827 8092 1997 5793 302 65.0 MiB 0.09 0.00 2.7819 -96.5035 -2.7819 2.7819 1.15 0.000564238 0.000511052 0.0301073 0.0273395 32 2061 20 6.64007e+06 226044 554710. 1919.41 1.09 0.112102 0.0991629 22834 132086 -1 1815 22 1243 2144 155232 35295 2.88797 2.88797 -116.865 -2.88797 0 0 701300. 2426.64 0.34 0.07 0.13 -1 -1 0.34 0.0272706 0.0241064 121 60 32 32 62 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.82 vpr 64.99 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 34400 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 32 32 407 319 1 198 102 17 17 289 -1 unnamed_device 26.5 MiB 0.14 954 9622 2117 6470 1035 65.0 MiB 0.10 0.00 3.42636 -116.672 -3.42636 3.42636 1.09 0.000642301 0.000585259 0.0287003 0.026132 32 2350 22 6.64007e+06 477204 554710. 1919.41 1.13 0.119721 0.105902 22834 132086 -1 2016 22 1998 2967 203285 46239 3.72443 3.72443 -142.682 -3.72443 0 0 701300. 2426.64 0.33 0.10 0.13 -1 -1 0.33 0.0355093 0.0318447 156 49 64 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.48 vpr 65.25 MiB 0.03 7440 -1 -1 1 0.04 -1 -1 34228 -1 -1 34 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 29 32 367 293 1 183 95 17 17 289 -1 unnamed_device 26.5 MiB 0.14 864 10031 2293 7091 647 65.3 MiB 0.09 0.00 3.0713 -89.186 -3.0713 3.0713 1.06 0.000569182 0.00052154 0.0294676 0.0268797 28 2308 24 6.64007e+06 426972 500653. 1732.36 1.01 0.109793 0.0969508 21970 115934 -1 1900 16 1172 1858 118235 28442 3.03817 3.03817 -107.953 -3.03817 0 0 612192. 2118.31 0.30 0.06 0.11 -1 -1 0.30 0.0242442 0.0218525 135 54 56 29 58 29 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 11.62 vpr 65.21 MiB 0.03 7528 -1 -1 1 0.04 -1 -1 34256 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66776 32 32 469 381 1 200 103 17 17 289 -1 unnamed_device 26.8 MiB 0.26 936 9020 1782 6656 582 65.2 MiB 0.10 0.00 3.42636 -117.212 -3.42636 3.42636 1.09 0.000726032 0.000658482 0.0286313 0.0259873 26 3407 46 6.64007e+06 489762 477104. 1650.88 7.91 0.245921 0.213121 21682 110474 -1 2534 23 2221 3508 277950 74413 3.95383 3.95383 -154.554 -3.95383 0 0 585099. 2024.56 0.28 0.11 0.11 -1 -1 0.28 0.0345781 0.0306398 158 117 0 0 128 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.56 vpr 64.28 MiB 0.02 7000 -1 -1 1 0.03 -1 -1 33864 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65820 31 32 259 212 1 146 80 17 17 289 -1 unnamed_device 25.8 MiB 0.08 855 12464 3598 7451 1415 64.3 MiB 0.10 0.00 2.50628 -87.9013 -2.50628 2.50628 1.07 0.00041317 0.000376124 0.0349722 0.031798 32 1929 20 6.64007e+06 213486 554710. 1919.41 1.06 0.100045 0.0888298 22834 132086 -1 1719 20 998 1564 113495 25749 2.76997 2.76997 -109.505 -2.76997 0 0 701300. 2426.64 0.35 0.06 0.13 -1 -1 0.35 0.0226858 0.0201462 106 -1 85 31 0 0 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 5.89 vpr 65.39 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 33892 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66956 32 32 418 338 1 190 99 17 17 289 -1 unnamed_device 26.9 MiB 0.14 973 19023 5625 10538 2860 65.4 MiB 0.19 0.00 3.43436 -113.195 -3.43436 3.43436 1.16 0.000615008 0.000555523 0.0629468 0.0574209 26 2715 24 6.64007e+06 439530 477104. 1650.88 1.98 0.164653 0.146628 21682 110474 -1 2069 30 1765 2719 237842 62374 4.08143 4.08143 -142.709 -4.08143 0 0 585099. 2024.56 0.32 0.13 0.11 -1 -1 0.32 0.0460878 0.0408729 144 89 28 28 92 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.85 vpr 64.88 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33816 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66440 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 26.1 MiB 0.26 782 12506 4296 6611 1599 64.9 MiB 0.12 0.00 2.8021 -100.516 -2.8021 2.8021 1.11 0.00066583 0.000610565 0.0456874 0.0418482 32 1899 20 6.64007e+06 213486 554710. 1919.41 1.10 0.132382 0.118017 22834 132086 -1 1636 21 1358 1949 134356 31228 2.97497 2.97497 -121.101 -2.97497 0 0 701300. 2426.64 0.36 0.08 0.13 -1 -1 0.36 0.0324995 0.0291256 114 93 0 0 96 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 5.69 vpr 65.28 MiB 0.02 7436 -1 -1 1 0.04 -1 -1 33900 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 401 316 1 196 101 17 17 289 -1 unnamed_device 26.4 MiB 0.15 869 9266 2001 6475 790 65.3 MiB 0.10 0.00 2.8409 -95.9032 -2.8409 2.8409 1.07 0.00084025 0.000775324 0.0313061 0.02884 26 2898 39 6.64007e+06 464646 477104. 1650.88 2.17 0.156154 0.138911 21682 110474 -1 2192 19 1445 2158 138729 34697 2.95717 2.95717 -120.914 -2.95717 0 0 585099. 2024.56 0.29 0.07 0.10 -1 -1 0.29 0.0311901 0.0278561 151 59 61 32 64 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 6.83 vpr 65.27 MiB 0.03 7420 -1 -1 1 0.04 -1 -1 34220 -1 -1 45 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66840 32 32 500 382 1 232 109 17 17 289 -1 unnamed_device 27.0 MiB 0.21 1199 16749 4175 10682 1892 65.3 MiB 0.18 0.00 4.01362 -138.146 -4.01362 4.01362 1.03 0.000851073 0.000787405 0.0572616 0.0526119 26 3530 25 6.64007e+06 565110 477104. 1650.88 3.18 0.170153 0.152255 21682 110474 -1 2795 23 2465 3782 310669 65663 4.85488 4.85488 -171.095 -4.85488 0 0 585099. 2024.56 0.29 0.12 0.10 -1 -1 0.29 0.0404595 0.0361626 188 81 64 32 96 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.48 vpr 64.22 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 33728 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65764 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 25.7 MiB 0.08 524 5619 1308 4029 282 64.2 MiB 0.05 0.00 2.34384 -69.1356 -2.34384 2.34384 1.14 0.000392642 0.000349996 0.0165974 0.0151266 26 1416 27 6.64007e+06 188370 477104. 1650.88 0.96 0.0811396 0.0710164 21682 110474 -1 1228 21 681 918 68857 16715 1.95231 1.95231 -77.8954 -1.95231 0 0 585099. 2024.56 0.30 0.05 0.11 -1 -1 0.30 0.0225595 0.0200147 83 51 0 0 53 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.52 vpr 64.47 MiB 0.02 7136 -1 -1 1 0.03 -1 -1 33856 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66020 30 32 296 244 1 137 79 17 17 289 -1 unnamed_device 26.0 MiB 0.08 656 11233 4671 5674 888 64.5 MiB 0.09 0.00 2.9603 -88.4474 -2.9603 2.9603 1.08 0.000465802 0.000421074 0.0348875 0.0317529 32 1562 21 6.64007e+06 213486 554710. 1919.41 1.04 0.105851 0.0934089 22834 132086 -1 1365 17 945 1390 106809 25187 2.91397 2.91397 -109.698 -2.91397 0 0 701300. 2426.64 0.34 0.05 0.13 -1 -1 0.34 0.0217048 0.019411 97 29 60 30 30 30 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.55 vpr 64.83 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33552 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 314 256 1 167 82 17 17 289 -1 unnamed_device 26.0 MiB 0.11 747 8982 2439 5376 1167 64.8 MiB 0.09 0.00 2.7647 -94.9151 -2.7647 2.7647 1.05 0.000472627 0.000431738 0.0267705 0.0244251 32 2339 28 6.64007e+06 226044 554710. 1919.41 1.07 0.104142 0.091692 22834 132086 -1 1823 20 1398 2456 145657 35439 3.18117 3.18117 -125.114 -3.18117 0 0 701300. 2426.64 0.34 0.07 0.12 -1 -1 0.34 0.0241843 0.0214695 126 31 64 32 32 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.36 vpr 64.38 MiB 0.02 7236 -1 -1 1 0.03 -1 -1 34096 -1 -1 34 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 25 32 251 214 1 138 91 17 17 289 -1 unnamed_device 25.9 MiB 0.05 734 14371 4254 7957 2160 64.4 MiB 0.10 0.00 2.6877 -74.4937 -2.6877 2.6877 1.06 0.000374498 0.000341329 0.0308927 0.0281423 26 1692 21 6.64007e+06 426972 477104. 1650.88 1.01 0.0970937 0.0861228 21682 110474 -1 1564 18 963 1523 110832 25030 2.77097 2.77097 -92.5841 -2.77097 0 0 585099. 2024.56 0.29 0.05 0.11 -1 -1 0.29 0.0199566 0.0178296 103 19 50 25 25 25 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 5.08 vpr 65.08 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 34160 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 432 346 1 193 86 17 17 289 -1 unnamed_device 26.5 MiB 0.16 881 15017 5363 6769 2885 65.1 MiB 0.16 0.00 3.50535 -109.154 -3.50535 3.50535 1.08 0.000606575 0.000555976 0.0570422 0.0518165 32 2612 25 6.64007e+06 276276 554710. 1919.41 1.30 0.162208 0.14352 22834 132086 -1 1963 21 1635 2875 177653 42192 3.77663 3.77663 -135.037 -3.77663 0 0 701300. 2426.64 0.37 0.09 0.14 -1 -1 0.37 0.0350189 0.0311496 149 84 32 32 94 32 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.80 vpr 65.32 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 33940 -1 -1 39 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66888 31 32 421 339 1 193 102 17 17 289 -1 unnamed_device 26.8 MiB 0.16 892 10812 2340 6945 1527 65.3 MiB 0.10 0.00 2.8831 -95.4857 -2.8831 2.8831 1.07 0.000966546 0.000836288 0.035136 0.0320278 30 2440 24 6.64007e+06 489762 526063. 1820.29 1.20 0.140057 0.124455 22546 126617 -1 1787 18 1533 2505 135111 34675 3.15017 3.15017 -119.679 -3.15017 0 0 666494. 2306.21 0.33 0.08 0.12 -1 -1 0.33 0.0322332 0.0287965 148 88 29 29 93 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 7.84 vpr 64.89 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 34088 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 438 350 1 194 98 17 17 289 -1 unnamed_device 26.6 MiB 0.24 937 9323 2024 6093 1206 64.9 MiB 0.09 0.00 3.15264 -107.851 -3.15264 3.15264 1.09 0.000723616 0.00066499 0.0323354 0.0295767 34 2824 43 6.65987e+06 431052 585099. 2024.56 4.02 0.253182 0.2218 23122 138558 -1 2186 24 1752 2802 207598 50750 3.60511 3.60511 -138.542 -3.60511 0 0 742403. 2568.87 0.35 0.10 0.14 -1 -1 0.35 0.0364558 0.0322453 151 80 32 32 96 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 5.11 vpr 65.10 MiB 0.03 7352 -1 -1 1 0.04 -1 -1 33880 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 30 32 409 330 1 186 83 17 17 289 -1 unnamed_device 26.4 MiB 0.41 818 6563 1468 4244 851 65.1 MiB 0.08 0.00 3.4765 -99.5249 -3.4765 3.4765 1.09 0.00061712 0.000563247 0.0261309 0.0238136 32 2469 23 6.65987e+06 266238 554710. 1919.41 1.16 0.118045 0.104587 22834 132086 -1 1988 23 1865 3089 246175 56515 3.78971 3.78971 -131.062 -3.78971 0 0 701300. 2426.64 0.35 0.10 0.13 -1 -1 0.35 0.0348882 0.0311838 140 78 30 30 89 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.71 vpr 65.12 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 34208 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 387 309 1 186 98 17 17 289 -1 unnamed_device 26.3 MiB 0.14 1047 14723 3618 8932 2173 65.1 MiB 0.13 0.00 2.72347 -96.5797 -2.72347 2.72347 1.05 0.000577154 0.000523959 0.040915 0.0373315 32 2572 29 6.65987e+06 431052 554710. 1919.41 1.12 0.130782 0.115732 22834 132086 -1 2149 22 1550 2472 208414 45562 3.24285 3.24285 -120.689 -3.24285 0 0 701300. 2426.64 0.32 0.09 0.14 -1 -1 0.32 0.0320658 0.028569 141 50 54 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.67 vpr 64.93 MiB 0.03 7104 -1 -1 1 0.04 -1 -1 34116 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 29 32 343 267 1 184 83 17 17 289 -1 unnamed_device 26.3 MiB 0.11 858 16283 5083 8672 2528 64.9 MiB 0.16 0.00 3.4563 -100.035 -3.4563 3.4563 1.05 0.00053185 0.000485349 0.0538113 0.0491548 30 2333 23 6.65987e+06 278916 526063. 1820.29 1.17 0.137141 0.122238 22546 126617 -1 1745 21 1424 2513 140986 34067 3.41411 3.41411 -116.47 -3.41411 0 0 666494. 2306.21 0.31 0.07 0.12 -1 -1 0.31 0.0272218 0.0242866 138 25 87 29 29 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 5.05 vpr 64.95 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 33832 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 32 32 376 288 1 195 84 17 17 289 -1 unnamed_device 26.5 MiB 0.22 922 9966 2392 7082 492 65.0 MiB 0.10 0.00 3.30796 -113.007 -3.30796 3.30796 1.05 0.000593306 0.000543197 0.0356965 0.032581 32 3142 31 6.65987e+06 253560 554710. 1919.41 1.41 0.146155 0.130493 22834 132086 -1 2358 22 2022 3711 262292 63172 3.81163 3.81163 -146.222 -3.81163 0 0 701300. 2426.64 0.33 0.10 0.13 -1 -1 0.33 0.0345164 0.0309992 151 31 96 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 7.49 vpr 64.94 MiB 0.03 7272 -1 -1 1 0.04 -1 -1 34112 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 32 32 402 316 1 199 101 17 17 289 -1 unnamed_device 26.7 MiB 0.25 1143 17961 5183 10397 2381 64.9 MiB 0.17 0.00 2.90104 -101.953 -2.90104 2.90104 1.09 0.000568007 0.000515272 0.049773 0.0453408 34 2333 17 6.65987e+06 469086 585099. 2024.56 3.63 0.2231 0.196258 23122 138558 -1 2069 19 1363 2195 147175 33720 2.73971 2.73971 -112.47 -2.73971 0 0 742403. 2568.87 0.35 0.08 0.14 -1 -1 0.35 0.0309643 0.02756 154 61 63 32 63 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.66 vpr 64.27 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34348 -1 -1 19 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65808 27 32 269 226 1 135 78 17 17 289 -1 unnamed_device 25.8 MiB 0.20 588 12694 3519 7760 1415 64.3 MiB 0.09 0.00 3.0353 -80.2894 -3.0353 3.0353 1.09 0.000452739 0.000414538 0.0351363 0.0320471 32 1538 19 6.65987e+06 240882 554710. 1919.41 1.03 0.0937175 0.0829655 22834 132086 -1 1354 21 1116 1898 125615 32614 2.83291 2.83291 -96.0865 -2.83291 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0219158 0.019396 96 26 54 27 27 27 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.78 vpr 64.80 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33452 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 31 32 317 242 1 185 96 17 17 289 -1 unnamed_device 26.2 MiB 0.15 988 17397 5060 9798 2539 64.8 MiB 0.16 0.00 2.73284 -89.6492 -2.73284 2.73284 1.09 0.000603038 0.000533232 0.0500891 0.0455561 28 2329 22 6.65987e+06 418374 500653. 1732.36 1.14 0.13063 0.116558 21970 115934 -1 2099 21 1337 2328 168698 37650 2.85265 2.85265 -110.24 -2.85265 0 0 612192. 2118.31 0.31 0.07 0.10 -1 -1 0.31 0.025472 0.0226106 139 -1 115 31 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.71 vpr 64.62 MiB 0.03 7340 -1 -1 1 0.04 -1 -1 33492 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 31 32 338 292 1 148 79 17 17 289 -1 unnamed_device 25.8 MiB 0.30 860 10219 2849 6140 1230 64.6 MiB 0.09 0.00 2.45267 -82.6069 -2.45267 2.45267 1.08 0.000529243 0.000482225 0.0332428 0.0303291 32 1903 17 6.65987e+06 202848 554710. 1919.41 1.03 0.102294 0.0904466 22834 132086 -1 1643 17 826 1330 95037 21484 2.38705 2.38705 -98.146 -2.38705 0 0 701300. 2426.64 0.34 0.05 0.13 -1 -1 0.34 0.0234899 0.0211451 105 81 0 0 84 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.70 vpr 64.68 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 33944 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66232 32 32 314 256 1 162 80 17 17 289 -1 unnamed_device 25.9 MiB 0.28 828 11260 3298 5950 2012 64.7 MiB 0.10 0.00 2.7537 -99.3682 -2.7537 2.7537 1.07 0.000469843 0.000428464 0.0358275 0.0327494 32 2069 15 6.65987e+06 202848 554710. 1919.41 1.04 0.101067 0.0896606 22834 132086 -1 1795 20 1434 2214 169845 37793 2.83157 2.83157 -119.654 -2.83157 0 0 701300. 2426.64 0.33 0.07 0.13 -1 -1 0.33 0.0234043 0.0207795 121 31 64 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.77 vpr 64.71 MiB 0.02 7048 -1 -1 1 0.04 -1 -1 33576 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 30 32 325 273 1 151 79 17 17 289 -1 unnamed_device 25.9 MiB 0.27 815 13092 4597 6659 1836 64.7 MiB 0.12 0.00 2.8281 -95.0467 -2.8281 2.8281 1.10 0.000468671 0.000422248 0.0425967 0.0388193 32 1809 22 6.65987e+06 215526 554710. 1919.41 1.05 0.112279 0.0993686 22834 132086 -1 1565 19 1107 1600 100848 24317 2.92597 2.92597 -112.914 -2.92597 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0225272 0.0200852 110 58 30 30 60 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.87 vpr 64.63 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33764 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66184 32 32 331 280 1 156 93 17 17 289 -1 unnamed_device 26.0 MiB 0.26 864 10383 2536 6754 1093 64.6 MiB 0.10 0.00 2.44518 -86.2004 -2.44518 2.44518 1.11 0.000606297 0.000553855 0.0301292 0.0274808 32 2198 35 6.65987e+06 367662 554710. 1919.41 1.13 0.113798 0.100203 22834 132086 -1 1815 23 1374 2266 179957 39965 2.55139 2.55139 -104.668 -2.55139 0 0 701300. 2426.64 0.35 0.08 0.13 -1 -1 0.35 0.0289106 0.0257632 114 57 25 25 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 5.00 vpr 64.94 MiB 0.03 7436 -1 -1 1 0.04 -1 -1 34000 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 386 305 1 188 96 17 17 289 -1 unnamed_device 26.2 MiB 0.45 1030 12798 3361 8649 788 64.9 MiB 0.13 0.00 2.7537 -99.7786 -2.7537 2.7537 1.05 0.000647148 0.000594786 0.0395682 0.0359972 28 2494 22 6.65987e+06 405696 500653. 1732.36 1.15 0.126973 0.112325 21970 115934 -1 2117 22 1686 2843 199586 45428 2.88397 2.88397 -119.021 -2.88397 0 0 612192. 2118.31 0.30 0.09 0.12 -1 -1 0.30 0.0307061 0.0272702 143 55 64 32 57 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.82 vpr 65.08 MiB 0.02 7288 -1 -1 1 0.04 -1 -1 34184 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66644 32 32 407 319 1 200 98 17 17 289 -1 unnamed_device 26.4 MiB 0.31 1115 10223 2268 7014 941 65.1 MiB 0.10 0.00 3.1647 -112.747 -3.1647 3.1647 1.04 0.000682113 0.000626003 0.0331122 0.030263 32 2615 24 6.65987e+06 431052 554710. 1919.41 1.14 0.136325 0.121123 22834 132086 -1 2313 21 2031 3208 236406 54141 3.64037 3.64037 -139.621 -3.64037 0 0 701300. 2426.64 0.33 0.10 0.13 -1 -1 0.33 0.0344286 0.0308709 156 60 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.57 vpr 64.21 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34136 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65748 29 32 272 228 1 145 79 17 17 289 -1 unnamed_device 25.6 MiB 0.17 657 9374 2454 6049 871 64.2 MiB 0.08 0.00 2.43238 -73.0963 -2.43238 2.43238 1.09 0.000528386 0.000481699 0.0277725 0.0253566 28 1846 23 6.65987e+06 228204 500653. 1732.36 1.02 0.0960408 0.0850437 21970 115934 -1 1489 23 1152 1946 124892 32150 2.52039 2.52039 -92.6281 -2.52039 0 0 612192. 2118.31 0.32 0.05 0.12 -1 -1 0.32 0.018229 0.0162135 107 21 58 29 24 24 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 5.01 vpr 65.10 MiB 0.02 7396 -1 -1 1 0.04 -1 -1 34124 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 401 315 1 192 84 17 17 289 -1 unnamed_device 26.5 MiB 0.30 1095 13443 3633 7958 1852 65.1 MiB 0.14 0.00 2.9131 -107.137 -2.9131 2.9131 1.09 0.000582092 0.000529043 0.0499666 0.0454956 32 2710 22 6.65987e+06 253560 554710. 1919.41 1.15 0.142382 0.12635 22834 132086 -1 2369 21 1814 3158 248343 56678 3.12031 3.12031 -125.285 -3.12031 0 0 701300. 2426.64 0.33 0.10 0.14 -1 -1 0.33 0.0340875 0.0305972 146 60 64 32 62 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 4.85 vpr 64.81 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 33932 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 383 303 1 185 98 17 17 289 -1 unnamed_device 26.1 MiB 0.39 1033 12023 3015 8132 876 64.8 MiB 0.11 0.00 2.9131 -105.574 -2.9131 2.9131 1.09 0.000560497 0.000506758 0.0359599 0.0327561 28 2234 23 6.65987e+06 431052 500653. 1732.36 1.02 0.123757 0.109602 21970 115934 -1 2022 21 1445 2202 145318 34171 2.78657 2.78657 -120.101 -2.78657 0 0 612192. 2118.31 0.31 0.08 0.11 -1 -1 0.31 0.0322902 0.0286796 142 54 64 32 56 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.66 vpr 64.84 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 33760 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 339 284 1 162 94 17 17 289 -1 unnamed_device 26.2 MiB 0.22 891 16708 5107 9436 2165 64.8 MiB 0.14 0.00 2.23864 -84.0256 -2.23864 2.23864 1.07 0.000488121 0.000441766 0.0462625 0.0419851 28 1991 19 6.65987e+06 380340 500653. 1732.36 1.05 0.120505 0.106358 21970 115934 -1 1886 17 980 1530 105308 24902 2.15851 2.15851 -98.4323 -2.15851 0 0 612192. 2118.31 0.30 0.06 0.12 -1 -1 0.30 0.0232073 0.0207049 118 62 29 29 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.26 vpr 64.14 MiB 0.02 7120 -1 -1 1 0.03 -1 -1 33608 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65680 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 25.6 MiB 0.13 705 9531 2665 5536 1330 64.1 MiB 0.07 0.00 1.99938 -68.6713 -1.99938 1.99938 1.06 0.000359093 0.000328567 0.0248013 0.022624 26 1504 22 6.65987e+06 190170 477104. 1650.88 0.92 0.0802887 0.0705758 21682 110474 -1 1383 16 663 937 76489 17279 1.82785 1.82785 -80.3751 -1.82785 0 0 585099. 2024.56 0.28 0.05 0.11 -1 -1 0.28 0.0153541 0.0135432 85 29 24 24 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.73 vpr 64.69 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 34052 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 31 32 335 280 1 154 79 17 17 289 -1 unnamed_device 26.0 MiB 0.25 838 13937 5061 6934 1942 64.7 MiB 0.12 0.00 3.15104 -98.411 -3.15104 3.15104 1.09 0.000541437 0.000488277 0.0461545 0.0420814 32 2017 20 6.65987e+06 202848 554710. 1919.41 1.06 0.119829 0.106182 22834 132086 -1 1747 18 889 1350 107104 24660 3.11665 3.11665 -114.527 -3.11665 0 0 701300. 2426.64 0.34 0.06 0.14 -1 -1 0.34 0.025616 0.0229539 113 55 31 31 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.46 vpr 64.73 MiB 0.02 7128 -1 -1 1 0.03 -1 -1 33596 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 32 32 366 283 1 192 98 17 17 289 -1 unnamed_device 26.0 MiB 0.06 991 18998 5911 10297 2790 64.7 MiB 0.16 0.00 3.1409 -108.235 -3.1409 3.1409 0.99 0.000668975 0.000608939 0.053399 0.0485443 32 2297 21 6.65987e+06 431052 554710. 1919.41 1.05 0.132414 0.117179 22834 132086 -1 2016 20 1548 2187 164114 36879 3.36697 3.36697 -128.504 -3.36697 0 0 701300. 2426.64 0.32 0.08 0.14 -1 -1 0.32 0.028761 0.0256316 145 31 91 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.81 vpr 64.92 MiB 0.02 7352 -1 -1 1 0.04 -1 -1 34264 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 32 32 460 375 1 196 100 17 17 289 -1 unnamed_device 26.8 MiB 0.37 1120 16340 4492 10058 1790 64.9 MiB 0.15 0.00 2.73064 -99.5078 -2.73064 2.73064 1.00 0.000589517 0.000535367 0.0471511 0.0428079 32 2810 24 6.65987e+06 456408 554710. 1919.41 1.08 0.138215 0.121796 22834 132086 -1 2415 21 1687 2623 206600 46153 3.48825 3.48825 -124.569 -3.48825 0 0 701300. 2426.64 0.33 0.09 0.14 -1 -1 0.33 0.033926 0.0302156 149 108 0 0 125 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 4.40 vpr 63.59 MiB 0.02 7084 -1 -1 1 0.03 -1 -1 34256 -1 -1 17 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65112 26 32 198 186 1 109 75 17 17 289 -1 unnamed_device 25.2 MiB 0.19 544 11293 3626 6689 978 63.6 MiB 0.07 0.00 2.01838 -58.8962 -2.01838 2.01838 1.05 0.000323305 0.000290013 0.0261172 0.0238599 32 1259 16 6.65987e+06 215526 554710. 1919.41 0.96 0.071864 0.0636425 22834 132086 -1 1145 21 573 954 71916 16557 1.79005 1.79005 -67.0541 -1.79005 0 0 701300. 2426.64 0.34 0.05 0.12 -1 -1 0.34 0.0190841 0.0169299 77 21 26 26 22 22 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 6.68 vpr 64.77 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 34036 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 333 251 1 187 84 17 17 289 -1 unnamed_device 26.1 MiB 0.14 1100 7770 1980 5307 483 64.8 MiB 0.09 0.00 3.26284 -110.335 -3.26284 3.26284 1.03 0.000508557 0.000463019 0.0269176 0.0245681 28 2609 23 6.65987e+06 253560 500653. 1732.36 3.24 0.188145 0.164851 21970 115934 -1 2353 21 1613 2761 193629 44449 3.99491 3.99491 -142.972 -3.99491 0 0 612192. 2118.31 0.29 0.09 0.12 -1 -1 0.29 0.0310887 0.0278336 137 -1 122 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.16 vpr 63.98 MiB 0.02 6976 -1 -1 1 0.03 -1 -1 34028 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65516 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 25.5 MiB 0.04 737 11650 3536 6416 1698 64.0 MiB 0.07 0.00 1.74527 -67.3368 -1.74527 1.74527 1.05 0.000333631 0.000303712 0.0260986 0.0237864 32 1535 19 6.65987e+06 164814 554710. 1919.41 0.92 0.0700971 0.0619411 22834 132086 -1 1403 19 666 904 72682 16758 1.88005 1.88005 -82.688 -1.88005 0 0 701300. 2426.64 0.32 0.04 0.14 -1 -1 0.32 0.0154757 0.0137608 81 -1 53 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.60 vpr 64.81 MiB 0.02 7148 -1 -1 1 0.04 -1 -1 34260 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 376 288 1 194 97 17 17 289 -1 unnamed_device 26.4 MiB 0.06 1080 19189 6181 10709 2299 64.8 MiB 0.18 0.00 3.3241 -116.455 -3.3241 3.3241 1.04 0.000588622 0.000536862 0.0541532 0.0491774 32 2590 22 6.65987e+06 418374 554710. 1919.41 1.11 0.135826 0.120205 22834 132086 -1 2173 22 1945 2890 196959 46360 3.53017 3.53017 -138.38 -3.53017 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0341526 0.0307141 151 21 96 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.55 vpr 64.88 MiB 0.02 7156 -1 -1 1 0.03 -1 -1 33760 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66432 32 32 337 253 1 196 99 17 17 289 -1 unnamed_device 26.2 MiB 0.16 1101 11955 3170 7905 880 64.9 MiB 0.12 0.00 2.66064 -97.5546 -2.66064 2.66064 1.03 0.000588651 0.000533683 0.0330011 0.0301003 32 2571 23 6.65987e+06 443730 554710. 1919.41 1.03 0.107919 0.095517 22834 132086 -1 2211 19 1530 2437 173342 40697 2.87371 2.87371 -116.79 -2.87371 0 0 701300. 2426.64 0.33 0.07 0.13 -1 -1 0.33 0.0251631 0.0225011 150 -1 124 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 6.22 vpr 64.58 MiB 0.02 7356 -1 -1 1 0.04 -1 -1 34224 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66128 32 32 407 319 1 197 99 17 17 289 -1 unnamed_device 26.3 MiB 0.13 1144 10815 2697 7451 667 64.6 MiB 0.12 0.00 3.07644 -110.15 -3.07644 3.07644 1.04 0.000774542 0.000706435 0.0334708 0.0305268 36 2370 37 6.65987e+06 443730 612192. 2118.31 2.62 0.193046 0.169138 23410 145293 -1 2068 21 1734 2870 186737 43207 3.67325 3.67325 -144.751 -3.67325 0 0 782063. 2706.10 0.36 0.08 0.15 -1 -1 0.36 0.0299813 0.0266796 153 54 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.28 vpr 64.42 MiB 0.02 7040 -1 -1 1 0.03 -1 -1 33696 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65968 32 32 294 246 1 149 79 17 17 289 -1 unnamed_device 25.8 MiB 0.06 766 8022 1881 5885 256 64.4 MiB 0.07 0.00 2.30182 -81.4786 -2.30182 2.30182 1.01 0.000465251 0.000422139 0.0254174 0.0231104 32 1987 19 6.65987e+06 190170 554710. 1919.41 0.99 0.0857254 0.0755147 22834 132086 -1 1761 18 1027 1646 136219 32278 2.81091 2.81091 -103.852 -2.81091 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0232156 0.0207975 106 31 54 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.31 vpr 64.43 MiB 0.02 7104 -1 -1 1 0.03 -1 -1 33804 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 30 32 296 244 1 154 81 17 17 289 -1 unnamed_device 26.1 MiB 0.12 838 12506 5226 6847 433 64.4 MiB 0.10 0.00 2.8721 -96.5836 -2.8721 2.8721 1.00 0.000446901 0.000406871 0.0342892 0.0311488 32 1860 23 6.65987e+06 240882 554710. 1919.41 0.99 0.0997368 0.0876617 22834 132086 -1 1646 19 1203 1823 132755 30306 2.92897 2.92897 -111.572 -2.92897 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0206096 0.0183755 115 29 60 30 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.26 vpr 64.16 MiB 0.02 7016 -1 -1 1 0.03 -1 -1 33796 -1 -1 20 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65700 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 25.6 MiB 0.15 798 8508 2294 5421 793 64.2 MiB 0.08 0.00 2.7097 -86.4171 -2.7097 2.7097 0.99 0.000605758 0.000562131 0.0242037 0.0221481 32 1978 22 6.65987e+06 253560 554710. 1919.41 0.97 0.0819115 0.0719879 22834 132086 -1 1745 21 1271 2155 161118 37900 2.90597 2.90597 -106.63 -2.90597 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0215875 0.0191302 107 27 56 28 28 28 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.54 vpr 64.48 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 33616 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 32 32 283 225 1 166 82 17 17 289 -1 unnamed_device 26.1 MiB 0.12 776 5778 1112 4477 189 64.5 MiB 0.06 0.00 2.7647 -96.8353 -2.7647 2.7647 1.06 0.000491246 0.000446509 0.0176796 0.0160859 32 2143 26 6.65987e+06 228204 554710. 1919.41 1.09 0.0904127 0.0796438 22834 132086 -1 1875 18 1353 2120 153079 35131 3.04997 3.04997 -121.959 -3.04997 0 0 701300. 2426.64 0.33 0.07 0.13 -1 -1 0.33 0.0231776 0.0208826 125 -1 96 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.40 vpr 64.50 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34192 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66048 31 32 303 249 1 162 94 17 17 289 -1 unnamed_device 26.1 MiB 0.08 771 11383 3075 7214 1094 64.5 MiB 0.10 0.00 2.57058 -87.584 -2.57058 2.57058 1.03 0.000475396 0.000431659 0.0280807 0.0255075 28 2064 23 6.65987e+06 393018 500653. 1732.36 1.05 0.0964627 0.0845193 21970 115934 -1 1948 23 1277 1932 187088 53037 2.67325 2.67325 -108.625 -2.67325 0 0 612192. 2118.31 0.28 0.08 0.11 -1 -1 0.28 0.0251934 0.022194 119 26 61 31 31 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.34 vpr 64.64 MiB 0.02 7300 -1 -1 1 0.03 -1 -1 33960 -1 -1 30 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 29 32 312 264 1 154 91 17 17 289 -1 unnamed_device 26.2 MiB 0.23 867 12943 3555 7843 1545 64.6 MiB 0.11 0.00 2.24264 -75.5647 -2.24264 2.24264 0.99 0.000489536 0.000438422 0.0317617 0.0288155 32 1902 21 6.65987e+06 380340 554710. 1919.41 0.95 0.0931771 0.0817639 22834 132086 -1 1668 18 960 1606 110810 26677 2.29671 2.29671 -88.1234 -2.29671 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0223338 0.0197934 109 55 29 29 57 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 5.05 vpr 64.98 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34140 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 423 310 1 229 103 17 17 289 -1 unnamed_device 26.8 MiB 0.31 1187 10466 2263 7613 590 65.0 MiB 0.12 0.00 3.41716 -117.409 -3.41716 3.41716 1.06 0.000818539 0.000748142 0.0340864 0.0310802 30 3095 25 6.65987e+06 494442 526063. 1820.29 1.34 0.139743 0.124221 22546 126617 -1 2231 21 1646 2826 159950 36331 3.44122 3.44122 -134.733 -3.44122 0 0 666494. 2306.21 0.32 0.08 0.12 -1 -1 0.32 0.0341388 0.0306359 179 26 128 32 27 27 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.77 vpr 64.77 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34064 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 32 32 403 317 1 198 99 17 17 289 -1 unnamed_device 26.5 MiB 0.26 1008 11499 2972 7414 1113 64.8 MiB 0.12 0.00 2.7849 -97.5775 -2.7849 2.7849 1.06 0.00085454 0.000770554 0.0377957 0.0343834 32 2318 22 6.65987e+06 443730 554710. 1919.41 1.08 0.129267 0.114726 22834 132086 -1 2006 21 1851 2800 180924 42689 2.77297 2.77297 -115.946 -2.77297 0 0 701300. 2426.64 0.34 0.09 0.13 -1 -1 0.34 0.0341524 0.0306349 152 62 62 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.75 vpr 64.80 MiB 0.02 7208 -1 -1 1 0.04 -1 -1 34164 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 31 32 353 302 1 156 91 17 17 289 -1 unnamed_device 26.2 MiB 0.36 865 8251 1890 5788 573 64.8 MiB 0.08 0.00 2.46718 -88.0621 -2.46718 2.46718 1.06 0.000595676 0.000535797 0.0239308 0.021801 32 2050 20 6.65987e+06 354984 554710. 1919.41 1.03 0.093741 0.0823931 22834 132086 -1 1789 17 1037 1665 113782 26772 2.57925 2.57925 -105.256 -2.57925 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0239099 0.0214912 113 77 0 0 89 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.75 vpr 65.10 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 33620 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 31 32 391 309 1 194 84 17 17 289 -1 unnamed_device 26.3 MiB 0.26 1065 12894 3634 7777 1483 65.1 MiB 0.15 0.00 2.8911 -99.0566 -2.8911 2.8911 1.04 0.000738141 0.000674005 0.0488073 0.0445409 32 2559 24 6.65987e+06 266238 554710. 1919.41 1.08 0.139598 0.124027 22834 132086 -1 2188 22 1692 2845 199191 48494 2.92677 2.92677 -115.49 -2.92677 0 0 701300. 2426.64 0.34 0.09 0.12 -1 -1 0.34 0.0338821 0.0303608 148 59 60 30 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.70 vpr 65.29 MiB 0.02 7476 -1 -1 1 0.04 -1 -1 33884 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 31 32 455 371 1 193 84 17 17 289 -1 unnamed_device 26.6 MiB 0.35 1117 9600 2223 6617 760 65.3 MiB 0.10 0.00 3.84744 -114.331 -3.84744 3.84744 1.00 0.000602461 0.000546212 0.0356391 0.032462 32 2803 23 6.65987e+06 266238 554710. 1919.41 1.08 0.124061 0.109027 22834 132086 -1 2380 22 1428 2449 201859 44860 3.89111 3.89111 -141.561 -3.89111 0 0 701300. 2426.64 0.30 0.08 0.13 -1 -1 0.30 0.0319835 0.0283568 149 111 0 0 124 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.76 vpr 65.19 MiB 0.02 7564 -1 -1 1 0.04 -1 -1 33632 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 31 32 413 333 1 188 84 17 17 289 -1 unnamed_device 26.4 MiB 0.49 1038 15456 4621 9030 1805 65.2 MiB 0.15 0.00 3.8015 -109.342 -3.8015 3.8015 1.00 0.000616072 0.000561184 0.0539395 0.049031 30 2320 18 6.65987e+06 266238 526063. 1820.29 1.01 0.130079 0.115115 22546 126617 -1 1980 16 965 1569 91198 21014 3.44357 3.44357 -125.975 -3.44357 0 0 666494. 2306.21 0.31 0.05 0.12 -1 -1 0.31 0.0244136 0.0218761 143 86 31 31 89 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.74 vpr 64.75 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 33808 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 31 32 391 309 1 193 96 17 17 289 -1 unnamed_device 26.6 MiB 0.26 1021 17616 4838 10803 1975 64.7 MiB 0.16 0.00 2.69764 -93.2733 -2.69764 2.69764 1.02 0.000584821 0.000529676 0.0494323 0.0447223 30 2228 22 6.65987e+06 418374 526063. 1820.29 1.08 0.132835 0.116866 22546 126617 -1 1887 18 1390 2341 124132 29577 2.55411 2.55411 -105.258 -2.55411 0 0 666494. 2306.21 0.31 0.06 0.12 -1 -1 0.31 0.0275 0.0246134 146 58 60 31 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 6.06 vpr 64.74 MiB 0.02 7156 -1 -1 1 0.04 -1 -1 34056 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 407 319 1 198 99 17 17 289 -1 unnamed_device 26.5 MiB 0.12 1101 8535 1820 6000 715 64.7 MiB 0.09 0.00 3.07644 -111.551 -3.07644 3.07644 1.07 0.000589978 0.000537702 0.0267386 0.0244963 26 3006 36 6.65987e+06 443730 477104. 1650.88 2.73 0.130561 0.114976 21682 110474 -1 2658 23 2001 2967 246525 54965 3.61311 3.61311 -145.496 -3.61311 0 0 585099. 2024.56 0.29 0.10 0.11 -1 -1 0.29 0.034713 0.0310337 154 42 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 5.02 vpr 65.34 MiB 0.02 7456 -1 -1 1 0.04 -1 -1 34168 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66908 32 32 496 380 1 232 104 17 17 289 -1 unnamed_device 27.0 MiB 0.27 1177 19624 5478 11399 2747 65.3 MiB 0.19 0.00 3.2831 -114.767 -3.2831 3.2831 1.00 0.000593583 0.000542559 0.0631064 0.0576727 28 3037 45 6.65987e+06 507120 500653. 1732.36 1.42 0.195541 0.174143 21970 115934 -1 2632 23 2049 3212 239854 54685 3.70437 3.70437 -140.948 -3.70437 0 0 612192. 2118.31 0.28 0.10 0.11 -1 -1 0.28 0.0373244 0.0334624 184 91 62 32 96 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.45 vpr 64.81 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 34020 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 31 32 305 250 1 158 81 17 17 289 -1 unnamed_device 26.2 MiB 0.13 778 11981 4715 6434 832 64.8 MiB 0.11 0.00 2.83398 -93.9955 -2.83398 2.83398 1.04 0.000466062 0.000425686 0.0367972 0.0336582 32 2107 20 6.65987e+06 228204 554710. 1919.41 1.02 0.103716 0.0921764 22834 132086 -1 1853 22 1431 2240 190105 43672 2.99905 2.99905 -115.343 -2.99905 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.026494 0.0235925 116 24 62 31 31 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 4.78 vpr 64.93 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 34216 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 31 32 395 311 1 196 99 17 17 289 -1 unnamed_device 26.7 MiB 0.30 983 10131 2479 7109 543 64.9 MiB 0.12 0.00 3.3069 -109.937 -3.3069 3.3069 1.06 0.00072299 0.000667752 0.034729 0.0318578 30 2330 24 6.65987e+06 456408 526063. 1820.29 1.11 0.129372 0.115258 22546 126617 -1 2004 22 1485 2475 140843 33229 3.44817 3.44817 -129.487 -3.44817 0 0 666494. 2306.21 0.32 0.07 0.12 -1 -1 0.32 0.0313688 0.028044 150 59 62 31 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 5.03 vpr 64.79 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 34112 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 397 313 1 196 97 17 17 289 -1 unnamed_device 26.6 MiB 0.14 1199 15415 4784 9240 1391 64.8 MiB 0.16 0.00 2.90504 -98.2267 -2.90504 2.90504 1.04 0.000659684 0.000601184 0.0481858 0.0439185 28 2875 24 6.65987e+06 418374 500653. 1732.36 1.55 0.140679 0.125101 21970 115934 -1 2535 23 1686 3051 223304 49533 2.77471 2.77471 -116.832 -2.77471 0 0 612192. 2118.31 0.29 0.09 0.10 -1 -1 0.29 0.0332998 0.0297797 148 54 62 32 62 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 5.38 vpr 64.77 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 33712 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66328 32 32 345 257 1 194 84 17 17 289 -1 unnamed_device 26.1 MiB 0.17 992 9234 2503 6027 704 64.8 MiB 0.10 0.00 3.30796 -113.956 -3.30796 3.30796 1.04 0.000580214 0.000535276 0.0312813 0.0284591 28 2767 23 6.65987e+06 253560 500653. 1732.36 1.65 0.11715 0.103691 21970 115934 -1 2315 22 1825 3398 271422 59574 3.93183 3.93183 -147.297 -3.93183 0 0 612192. 2118.31 0.30 0.10 0.11 -1 -1 0.30 0.0307487 0.0275111 150 -1 128 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.95 vpr 65.21 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 34068 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66772 32 32 424 343 1 190 98 17 17 289 -1 unnamed_device 26.6 MiB 0.35 1056 13823 3931 8985 907 65.2 MiB 0.13 0.00 2.54238 -94.3834 -2.54238 2.54238 0.99 0.000692348 0.000631155 0.0398865 0.0362341 32 2506 22 6.65987e+06 431052 554710. 1919.41 1.02 0.121959 0.107255 22834 132086 -1 2099 22 1527 2199 152170 35810 2.60845 2.60845 -113.509 -2.60845 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0297265 0.0262863 145 81 25 25 96 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.76 vpr 64.76 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 33736 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 395 311 1 194 99 17 17 289 -1 unnamed_device 26.5 MiB 0.34 1091 11727 3283 7547 897 64.8 MiB 0.12 0.00 2.7537 -99.7404 -2.7537 2.7537 1.01 0.000586055 0.000533077 0.0338086 0.0307872 32 2561 20 6.65987e+06 443730 554710. 1919.41 1.12 0.112767 0.0995681 22834 132086 -1 2224 17 1335 2224 157463 36408 3.00717 3.00717 -119.728 -3.00717 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0248388 0.0221618 146 58 64 32 60 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.61 vpr 64.84 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 33816 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66392 32 32 405 318 1 200 101 17 17 289 -1 unnamed_device 26.5 MiB 0.26 1116 13966 3741 9079 1146 64.8 MiB 0.13 0.00 2.63244 -96.5003 -2.63244 2.63244 1.03 0.000724547 0.000662581 0.042176 0.0385354 28 2490 23 6.65987e+06 469086 500653. 1732.36 1.05 0.125753 0.111464 21970 115934 -1 2290 20 1618 2540 174786 40069 2.71871 2.71871 -116.274 -2.71871 0 0 612192. 2118.31 0.29 0.08 0.11 -1 -1 0.29 0.0301032 0.0268676 155 61 63 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.55 vpr 64.26 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33808 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65804 32 32 376 288 1 194 99 17 17 289 -1 unnamed_device 26.1 MiB 0.06 1090 17199 4883 10353 1963 64.3 MiB 0.15 0.00 3.1757 -113.179 -3.1757 3.1757 0.98 0.000587805 0.000538631 0.0448821 0.0409647 28 2695 27 6.65987e+06 443730 500653. 1732.36 1.32 0.135707 0.120931 21970 115934 -1 2329 21 1665 2768 212848 47450 3.77157 3.77157 -144.022 -3.77157 0 0 612192. 2118.31 0.27 0.09 0.10 -1 -1 0.27 0.0308161 0.0275809 150 21 96 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.99 vpr 64.50 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 34216 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 32 32 407 319 1 197 101 17 17 289 -1 unnamed_device 26.3 MiB 0.15 904 16316 4604 8863 2849 64.5 MiB 0.14 0.00 3.11564 -107.958 -3.11564 3.11564 0.99 0.000579124 0.000525589 0.0436163 0.0396702 28 2852 38 6.65987e+06 469086 500653. 1732.36 1.56 0.15472 0.137132 21970 115934 -1 2320 22 2008 3195 243703 56870 3.98891 3.98891 -144.407 -3.98891 0 0 612192. 2118.31 0.29 0.10 0.11 -1 -1 0.29 0.033675 0.0301221 153 50 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 7.38 vpr 64.82 MiB 0.02 7396 -1 -1 1 0.04 -1 -1 34004 -1 -1 34 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 31 32 449 367 1 193 97 17 17 289 -1 unnamed_device 26.4 MiB 0.39 1015 10975 2577 7765 633 64.8 MiB 0.12 0.00 3.40198 -101.199 -3.40198 3.40198 0.98 0.000689011 0.000628781 0.0360518 0.0327795 26 3585 35 6.65987e+06 431052 477104. 1650.88 3.80 0.141229 0.123746 21682 110474 -1 2597 22 1690 2913 255640 58499 3.75265 3.75265 -134.633 -3.75265 0 0 585099. 2024.56 0.21 0.11 0.11 -1 -1 0.21 0.0350741 0.0308823 145 110 0 0 122 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 5.30 vpr 64.96 MiB 0.02 7364 -1 -1 1 0.04 -1 -1 33856 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66516 32 32 432 346 1 195 84 17 17 289 -1 unnamed_device 26.6 MiB 0.26 1014 10149 2787 6727 635 65.0 MiB 0.11 0.00 3.16978 -102.067 -3.16978 3.16978 1.03 0.000602765 0.000548602 0.0369992 0.033806 26 3098 40 6.65987e+06 253560 477104. 1650.88 1.74 0.147572 0.130545 21682 110474 -1 2539 25 2097 3747 283987 68404 4.00699 4.00699 -141.917 -4.00699 0 0 585099. 2024.56 0.27 0.11 0.10 -1 -1 0.27 0.0384249 0.0342213 149 86 32 32 94 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.36 vpr 64.40 MiB 0.02 7216 -1 -1 1 0.03 -1 -1 33924 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 32 32 312 255 1 166 94 17 17 289 -1 unnamed_device 26.1 MiB 0.06 792 9679 2255 6267 1157 64.4 MiB 0.07 0.00 2.64858 -92.0476 -2.64858 2.64858 1.02 0.000466941 0.000426238 0.0231447 0.021162 32 2389 21 6.65987e+06 380340 554710. 1919.41 1.06 0.0951763 0.0843577 22834 132086 -1 1912 21 1400 2159 175648 44025 2.95985 2.95985 -116.825 -2.95985 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.0239051 0.021209 124 20 63 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.91 vpr 64.53 MiB 0.02 7216 -1 -1 1 0.04 -1 -1 33496 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 32 32 370 314 1 164 82 17 17 289 -1 unnamed_device 25.9 MiB 0.31 697 13788 3172 9066 1550 64.5 MiB 0.10 0.00 2.66064 -91.9052 -2.66064 2.66064 1.03 0.000510903 0.000464088 0.0434218 0.0394776 32 2353 42 6.65987e+06 228204 554710. 1919.41 1.27 0.138231 0.121771 22834 132086 -1 1855 21 1448 2236 173543 41831 3.10031 3.10031 -119.093 -3.10031 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0279803 0.0250022 121 91 0 0 94 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.67 vpr 65.00 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34180 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66556 32 32 469 351 1 233 104 17 17 289 -1 unnamed_device 26.7 MiB 0.16 1291 12304 2912 7951 1441 65.0 MiB 0.14 0.00 3.7011 -128.789 -3.7011 3.7011 0.99 0.000764777 0.000699263 0.0418473 0.038317 30 2842 21 6.65987e+06 507120 526063. 1820.29 1.18 0.140101 0.12461 22546 126617 -1 2344 20 2010 3343 158216 39473 3.90197 3.90197 -150.986 -3.90197 0 0 666494. 2306.21 0.31 0.08 0.12 -1 -1 0.31 0.0327751 0.02936 187 53 96 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.43 vpr 65.07 MiB 0.02 7188 -1 -1 1 0.03 -1 -1 34032 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 368 284 1 194 95 17 17 289 -1 unnamed_device 26.3 MiB 0.23 1128 12623 3257 8134 1232 65.1 MiB 0.13 0.00 2.9131 -105.213 -2.9131 2.9131 0.98 0.000583587 0.000533631 0.0377344 0.0346325 32 2288 25 6.65987e+06 393018 554710. 1919.41 0.99 0.114431 0.101594 22834 132086 -1 2148 20 1521 2335 150432 36478 2.98517 2.98517 -121.883 -2.98517 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.0296578 0.0265697 146 31 92 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 8.24 vpr 64.49 MiB 0.02 7272 -1 -1 1 0.04 -1 -1 33476 -1 -1 30 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66036 30 32 296 244 1 158 92 17 17 289 -1 unnamed_device 26.1 MiB 0.12 716 10235 2391 7349 495 64.5 MiB 0.09 0.00 2.8079 -91.9139 -2.8079 2.8079 1.02 0.000489115 0.000441461 0.0256887 0.0234676 26 2451 49 6.65987e+06 380340 477104. 1650.88 4.88 0.186985 0.163448 21682 110474 -1 1843 22 1352 2112 157964 39303 3.50997 3.50997 -123.094 -3.50997 0 0 585099. 2024.56 0.28 0.07 0.11 -1 -1 0.28 0.0250309 0.0222845 115 29 60 30 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 5.19 vpr 65.27 MiB 0.03 7456 -1 -1 1 0.04 -1 -1 34388 -1 -1 43 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66836 32 32 531 413 1 232 107 17 17 289 -1 unnamed_device 26.9 MiB 0.62 1090 14781 3819 9258 1704 65.3 MiB 0.15 0.00 3.7711 -126.43 -3.7711 3.7711 0.98 0.000743833 0.000676642 0.0466648 0.0423889 32 3204 26 6.65987e+06 545154 554710. 1919.41 1.22 0.157292 0.139602 22834 132086 -1 2427 22 2449 3619 264973 60648 4.25457 4.25457 -155.339 -4.25457 0 0 701300. 2426.64 0.33 0.11 0.12 -1 -1 0.33 0.0423612 0.0380152 186 109 32 32 128 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.66 vpr 64.76 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33732 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 376 288 1 194 100 17 17 289 -1 unnamed_device 26.6 MiB 0.27 1075 13788 3380 9064 1344 64.8 MiB 0.13 0.00 3.30796 -116.474 -3.30796 3.30796 1.00 0.000608297 0.000550904 0.0388869 0.0352217 32 2528 32 6.65987e+06 456408 554710. 1919.41 1.10 0.128416 0.113255 22834 132086 -1 2250 19 1684 2464 176136 40464 3.70243 3.70243 -141.722 -3.70243 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0274417 0.024563 151 31 96 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 4.42 vpr 64.25 MiB 0.02 7016 -1 -1 1 0.03 -1 -1 33756 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65788 32 32 283 225 1 164 95 17 17 289 -1 unnamed_device 25.8 MiB 0.06 892 18671 5874 10331 2466 64.2 MiB 0.14 0.00 2.8299 -101.546 -2.8299 2.8299 1.03 0.000460643 0.000419194 0.041637 0.0379003 32 2005 21 6.65987e+06 393018 554710. 1919.41 1.00 0.106639 0.094559 22834 132086 -1 1896 21 1449 2316 178988 40805 2.92977 2.92977 -118.37 -2.92977 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0244349 0.0218872 123 -1 96 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 4.95 vpr 65.18 MiB 0.02 7452 -1 -1 1 0.04 -1 -1 34120 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 438 320 1 235 105 17 17 289 -1 unnamed_device 26.9 MiB 0.19 1465 19618 5656 11904 2058 65.2 MiB 0.20 0.00 3.87216 -135.44 -3.87216 3.87216 1.04 0.000984253 0.000912661 0.0597853 0.0545954 32 3421 20 6.65987e+06 519798 554710. 1919.41 1.22 0.152783 0.136162 22834 132086 -1 2983 23 2542 4140 354744 77099 4.77543 4.77543 -172.994 -4.77543 0 0 701300. 2426.64 0.31 0.13 0.13 -1 -1 0.31 0.0375541 0.0334806 188 26 128 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.49 vpr 64.73 MiB 0.02 7020 -1 -1 1 0.03 -1 -1 34004 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 32 32 283 225 1 162 80 17 17 289 -1 unnamed_device 25.9 MiB 0.15 872 11948 3634 6430 1884 64.7 MiB 0.10 0.00 2.7537 -99.1188 -2.7537 2.7537 1.03 0.000430048 0.000391686 0.0339814 0.0309818 32 2128 22 6.65987e+06 202848 554710. 1919.41 1.05 0.100858 0.0892675 22834 132086 -1 1971 17 1365 2212 163913 38697 3.21631 3.21631 -127.341 -3.21631 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0210258 0.0188206 121 -1 96 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.48 vpr 64.61 MiB 0.02 7032 -1 -1 1 0.03 -1 -1 33904 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 30 32 296 244 1 157 93 17 17 289 -1 unnamed_device 26.2 MiB 0.25 773 15423 5394 7859 2170 64.6 MiB 0.12 0.00 2.8299 -94.4258 -2.8299 2.8299 1.04 0.000445581 0.000403357 0.0367082 0.033473 30 1838 19 6.65987e+06 393018 526063. 1820.29 0.98 0.0997932 0.0884184 22546 126617 -1 1561 20 957 1459 76497 18317 3.02797 3.02797 -109.683 -3.02797 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.0212317 0.0188828 113 29 60 30 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 5.82 vpr 64.79 MiB 0.03 7408 -1 -1 1 0.04 -1 -1 34084 -1 -1 33 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 29 32 393 319 1 182 94 17 17 289 -1 unnamed_device 26.0 MiB 0.32 1030 10744 2666 7237 841 64.8 MiB 0.11 0.00 2.7969 -89.882 -2.7969 2.7969 1.01 0.000702626 0.000641538 0.0332625 0.0302591 26 2869 24 6.65987e+06 418374 477104. 1650.88 2.29 0.130186 0.115517 21682 110474 -1 2367 16 1324 2235 155821 36808 3.54217 3.54217 -121.094 -3.54217 0 0 585099. 2024.56 0.28 0.07 0.10 -1 -1 0.28 0.0263318 0.0237862 133 81 29 29 85 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.45 vpr 65.33 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 34356 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 32 32 407 319 1 194 84 17 17 289 -1 unnamed_device 26.7 MiB 0.12 947 9234 2430 6025 779 65.3 MiB 0.10 0.00 3.2179 -112.354 -3.2179 3.2179 0.98 0.000595452 0.000544144 0.0311707 0.0284145 32 2345 26 6.65987e+06 253560 554710. 1919.41 1.05 0.119408 0.105139 22834 132086 -1 2062 21 1969 2961 224875 52775 3.86077 3.86077 -146.668 -3.86077 0 0 701300. 2426.64 0.33 0.09 0.12 -1 -1 0.33 0.0302787 0.0270412 151 53 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.91 vpr 64.84 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34264 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 407 319 1 195 98 17 17 289 -1 unnamed_device 26.6 MiB 0.46 1042 18548 5868 10140 2540 64.8 MiB 0.17 0.00 3.3721 -116.896 -3.3721 3.3721 0.96 0.000568216 0.000511102 0.0498319 0.0454028 32 2915 33 6.65987e+06 431052 554710. 1919.41 1.16 0.148304 0.131974 22834 132086 -1 2441 22 2077 3497 278839 63603 3.65637 3.65637 -142.152 -3.65637 0 0 701300. 2426.64 0.30 0.10 0.12 -1 -1 0.30 0.0325406 0.029129 152 55 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.44 vpr 64.55 MiB 0.02 7216 -1 -1 1 0.04 -1 -1 34240 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 32 32 345 287 1 161 94 17 17 289 -1 unnamed_device 26.1 MiB 0.23 810 15217 5023 7887 2307 64.6 MiB 0.13 0.00 2.74164 -96.8554 -2.74164 2.74164 0.98 0.000542202 0.000490149 0.0409894 0.0372541 30 1959 21 6.65987e+06 380340 526063. 1820.29 1.02 0.112313 0.0991535 22546 126617 -1 1587 21 1110 1615 94975 21947 2.57911 2.57911 -106.88 -2.57911 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0259904 0.0231019 120 55 32 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.45 vpr 64.78 MiB 0.02 7200 -1 -1 1 0.03 -1 -1 34104 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 31 32 353 302 1 152 80 17 17 289 -1 unnamed_device 26.0 MiB 0.30 826 12636 3818 6741 2077 64.8 MiB 0.11 0.00 2.74778 -88.6721 -2.74778 2.74778 0.99 0.000507004 0.000462902 0.0392346 0.0354786 32 2035 25 6.65987e+06 215526 554710. 1919.41 0.99 0.110912 0.0974985 22834 132086 -1 1783 21 1082 1959 141307 33543 2.62725 2.62725 -105.261 -2.62725 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.024773 0.0219396 109 82 0 0 89 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.72 vpr 65.21 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 34156 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 30 32 374 297 1 186 95 17 17 289 -1 unnamed_device 26.4 MiB 0.24 995 16511 4643 9288 2580 65.2 MiB 0.16 0.00 2.61044 -87.9362 -2.61044 2.61044 1.07 0.00071339 0.000655478 0.0490842 0.0448364 32 2392 18 6.65987e+06 418374 554710. 1919.41 1.02 0.123754 0.109562 22834 132086 -1 2111 21 1331 2111 164574 37379 2.93591 2.93591 -112.001 -2.93591 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0263906 0.0233026 137 52 60 30 57 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.67 vpr 64.59 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 33988 -1 -1 31 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 28 32 332 260 1 180 91 17 17 289 -1 unnamed_device 25.9 MiB 0.11 895 15391 5167 7522 2702 64.6 MiB 0.15 0.00 3.41304 -100.596 -3.41304 3.41304 1.06 0.000570346 0.00052184 0.045838 0.0419581 28 2227 23 6.65987e+06 393018 500653. 1732.36 1.18 0.126281 0.112506 21970 115934 -1 1955 20 1347 2105 166030 36378 3.59931 3.59931 -121.281 -3.59931 0 0 612192. 2118.31 0.29 0.08 0.11 -1 -1 0.29 0.0271892 0.0243178 133 20 84 28 28 28 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.76 vpr 64.71 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 33988 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66268 30 32 325 273 1 157 80 17 17 289 -1 unnamed_device 25.9 MiB 0.36 788 13668 4419 7145 2104 64.7 MiB 0.12 0.00 2.8131 -94.711 -2.8131 2.8131 1.05 0.000460548 0.000418937 0.0420647 0.0382674 32 1965 21 6.65987e+06 228204 554710. 1919.41 1.05 0.119857 0.106269 22834 132086 -1 1749 19 1305 2141 160312 37224 2.88697 2.88697 -110.601 -2.88697 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0234162 0.0208145 114 58 30 30 60 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.42 vpr 64.49 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33596 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66040 32 32 361 308 1 158 80 17 17 289 -1 unnamed_device 25.9 MiB 0.30 979 7992 2132 5090 770 64.5 MiB 0.08 0.00 2.72278 -89.9421 -2.72278 2.72278 0.99 0.000485075 0.000441901 0.0262093 0.0238989 26 2262 19 6.65987e+06 202848 477104. 1650.88 0.95 0.0973065 0.0856235 21682 110474 -1 1989 22 1283 2088 161833 37345 2.79085 2.79085 -110.682 -2.79085 0 0 585099. 2024.56 0.27 0.08 0.10 -1 -1 0.27 0.0302908 0.0270477 113 88 0 0 91 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.90 vpr 65.00 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 33676 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66564 31 32 335 251 1 196 98 17 17 289 -1 unnamed_device 26.4 MiB 0.11 1005 11348 2842 7630 876 65.0 MiB 0.11 0.00 3.33845 -109.761 -3.33845 3.33845 1.04 0.000607227 0.000559264 0.0326837 0.0299172 28 2924 22 6.65987e+06 443730 500653. 1732.36 1.51 0.120019 0.107043 21970 115934 -1 2494 20 1589 2573 188202 43700 3.86583 3.86583 -142.518 -3.86583 0 0 612192. 2118.31 0.30 0.09 0.11 -1 -1 0.30 0.0308476 0.027635 150 -1 124 31 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.72 vpr 64.81 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 34224 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 407 319 1 197 98 17 17 289 -1 unnamed_device 26.5 MiB 0.27 1018 13823 3824 9190 809 64.8 MiB 0.14 0.00 3.1977 -111.144 -3.1977 3.1977 0.99 0.000603339 0.000549102 0.0409142 0.0371069 32 2681 21 6.65987e+06 431052 554710. 1919.41 1.07 0.122906 0.10848 22834 132086 -1 2357 21 1944 3244 229631 55061 3.72337 3.72337 -143.234 -3.72337 0 0 701300. 2426.64 0.33 0.10 0.12 -1 -1 0.33 0.0336693 0.0299934 153 57 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.86 vpr 64.83 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 33900 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 407 319 1 194 98 17 17 289 -1 unnamed_device 26.5 MiB 0.33 950 8648 1950 5826 872 64.8 MiB 0.09 0.00 3.40616 -114.322 -3.40616 3.40616 1.03 0.000643669 0.000591368 0.0285596 0.0261746 32 2819 24 6.65987e+06 431052 554710. 1919.41 1.19 0.13011 0.116399 22834 132086 -1 2290 17 1649 2746 186646 45250 3.80363 3.80363 -141.416 -3.80363 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.029765 0.0269287 151 62 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 5.36 vpr 64.80 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 33796 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 399 315 1 196 101 17 17 289 -1 unnamed_device 26.5 MiB 0.25 1149 10206 2542 6901 763 64.8 MiB 0.11 0.00 3.02144 -107.034 -3.02144 3.02144 0.98 0.000638405 0.000586308 0.031058 0.0283458 26 3124 29 6.65987e+06 469086 477104. 1650.88 1.89 0.12614 0.111656 21682 110474 -1 2609 20 1734 2992 237265 53725 3.58011 3.58011 -138.645 -3.58011 0 0 585099. 2024.56 0.28 0.09 0.10 -1 -1 0.28 0.0287915 0.0257867 148 62 60 30 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.47 vpr 64.61 MiB 0.03 7100 -1 -1 1 0.04 -1 -1 33856 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 30 32 296 244 1 156 80 17 17 289 -1 unnamed_device 26.2 MiB 0.20 831 12980 3467 7889 1624 64.6 MiB 0.11 0.00 2.7709 -93.8065 -2.7709 2.7709 1.02 0.000395278 0.000358065 0.0357341 0.0325262 32 1927 20 6.65987e+06 228204 554710. 1919.41 1.00 0.103214 0.0912808 22834 132086 -1 1832 21 1287 2072 159781 35827 3.02797 3.02797 -114.109 -3.02797 0 0 701300. 2426.64 0.32 0.06 0.12 -1 -1 0.32 0.0234713 0.0210136 112 29 60 30 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.80 vpr 65.12 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 33960 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 30 32 383 303 1 191 84 17 17 289 -1 unnamed_device 26.2 MiB 0.26 995 11430 3243 7066 1121 65.1 MiB 0.12 0.00 3.35636 -111.946 -3.35636 3.35636 1.09 0.000602014 0.000550862 0.0389013 0.0354793 32 2425 23 6.65987e+06 278916 554710. 1919.41 1.10 0.124212 0.109932 22834 132086 -1 2123 20 1744 2591 177970 41982 3.60843 3.60843 -133.615 -3.60843 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0283224 0.025266 145 58 60 30 60 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 4.94 vpr 65.16 MiB 0.03 7580 -1 -1 1 0.04 -1 -1 33964 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 469 381 1 198 103 17 17 289 -1 unnamed_device 27.0 MiB 0.33 1112 14081 3632 8964 1485 65.2 MiB 0.14 0.00 3.10658 -111.647 -3.10658 3.10658 1.09 0.00107014 0.000992158 0.0483098 0.0441065 30 2453 24 6.65987e+06 494442 526063. 1820.29 1.14 0.155292 0.138501 22546 126617 -1 2180 21 1648 2665 157018 35494 3.15325 3.15325 -129.382 -3.15325 0 0 666494. 2306.21 0.32 0.09 0.12 -1 -1 0.32 0.0362133 0.0323169 154 106 0 0 128 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.82 vpr 64.81 MiB 0.03 7524 -1 -1 1 0.04 -1 -1 34028 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 31 32 425 341 1 189 94 17 17 289 -1 unnamed_device 26.6 MiB 0.23 1105 12448 2918 8411 1119 64.8 MiB 0.13 0.00 3.11964 -108.017 -3.11964 3.11964 1.08 0.000672798 0.000621404 0.0435618 0.0399128 32 2471 23 6.65987e+06 393018 554710. 1919.41 1.11 0.13208 0.117356 22834 132086 -1 2235 22 1694 2638 188794 43988 3.88571 3.88571 -141.87 -3.88571 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.031927 0.0282424 146 79 31 31 93 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.80 vpr 65.05 MiB 0.02 7520 -1 -1 1 0.04 -1 -1 33892 -1 -1 30 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 30 32 404 328 1 182 92 17 17 289 -1 unnamed_device 26.3 MiB 0.34 1059 16445 4549 9532 2364 65.1 MiB 0.15 0.00 3.0353 -92.6195 -3.0353 3.0353 1.04 0.000597624 0.000534361 0.0518417 0.047373 28 2480 21 6.65987e+06 380340 500653. 1732.36 1.10 0.146046 0.130637 21970 115934 -1 2222 18 1367 2313 154541 35718 3.05517 3.05517 -115.028 -3.05517 0 0 612192. 2118.31 0.29 0.07 0.11 -1 -1 0.29 0.0288286 0.0258967 136 83 26 26 90 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.72 vpr 64.76 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 34292 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 32 32 407 319 1 198 85 17 17 289 -1 unnamed_device 26.5 MiB 0.24 1048 14593 4789 8120 1684 64.8 MiB 0.15 0.00 3.2069 -112.905 -3.2069 3.2069 1.00 0.00057351 0.000524787 0.0493474 0.0450567 32 2644 22 6.65987e+06 266238 554710. 1919.41 1.11 0.1326 0.117657 22834 132086 -1 2330 21 1967 3405 265859 59928 3.72337 3.72337 -145.57 -3.72337 0 0 701300. 2426.64 0.31 0.10 0.13 -1 -1 0.31 0.0295603 0.0263015 154 58 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.63 vpr 64.93 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 33728 -1 -1 34 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 29 32 387 316 1 179 95 17 17 289 -1 unnamed_device 26.2 MiB 0.21 885 10031 2322 6990 719 64.9 MiB 0.10 0.00 2.58364 -81.6689 -2.58364 2.58364 1.08 0.000700437 0.000642587 0.0315569 0.0286626 30 1709 21 6.65987e+06 431052 526063. 1820.29 1.04 0.11341 0.0997495 22546 126617 -1 1481 19 896 1507 73546 18360 2.50931 2.50931 -93.556 -2.50931 0 0 666494. 2306.21 0.33 0.06 0.11 -1 -1 0.33 0.0279558 0.0248944 134 81 26 26 85 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.50 vpr 64.46 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 33760 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 32 32 283 225 1 157 80 17 17 289 -1 unnamed_device 26.1 MiB 0.10 871 14700 4546 8486 1668 64.5 MiB 0.12 0.00 2.7819 -99.8269 -2.7819 2.7819 1.06 0.000450136 0.000403287 0.0436973 0.0400379 32 2186 21 6.65987e+06 202848 554710. 1919.41 1.03 0.109016 0.0970247 22834 132086 -1 1877 20 1390 2163 168626 39874 2.98797 2.98797 -122.861 -2.98797 0 0 701300. 2426.64 0.32 0.07 0.12 -1 -1 0.32 0.0247038 0.0221947 116 -1 96 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 5.09 vpr 64.87 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 33616 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 407 319 1 194 97 17 17 289 -1 unnamed_device 26.7 MiB 0.41 947 20077 6723 10268 3086 64.9 MiB 0.18 0.00 3.34716 -114.17 -3.34716 3.34716 1.04 0.000589452 0.000534527 0.0616023 0.0556502 32 2585 29 6.65987e+06 418374 554710. 1919.41 1.17 0.153658 0.135805 22834 132086 -1 2133 22 1869 2798 237232 52466 3.84463 3.84463 -140.106 -3.84463 0 0 701300. 2426.64 0.33 0.10 0.13 -1 -1 0.33 0.0341301 0.0305815 150 62 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.77 vpr 64.83 MiB 0.03 7436 -1 -1 1 0.04 -1 -1 33920 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 407 319 1 201 85 17 17 289 -1 unnamed_device 26.5 MiB 0.29 960 17011 5208 9636 2167 64.8 MiB 0.17 0.00 3.37836 -118.46 -3.37836 3.37836 1.01 0.000597933 0.000546722 0.0592436 0.0540801 32 2487 22 6.65987e+06 266238 554710. 1919.41 1.06 0.150467 0.134504 22834 132086 -1 2166 21 2151 3233 241718 55973 3.63243 3.63243 -142.475 -3.63243 0 0 701300. 2426.64 0.32 0.09 0.12 -1 -1 0.32 0.0324793 0.0291371 157 62 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.58 vpr 64.52 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 34036 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 32 32 315 267 1 158 93 17 17 289 -1 unnamed_device 26.0 MiB 0.26 683 8913 2055 6351 507 64.5 MiB 0.08 0.00 2.72758 -83.2963 -2.72758 2.72758 1.02 0.000472384 0.000429411 0.0226865 0.0206479 32 2050 26 6.65987e+06 367662 554710. 1919.41 1.01 0.0919256 0.0805497 22834 132086 -1 1653 22 1169 1757 123987 31075 2.62325 2.62325 -101.231 -2.62325 0 0 701300. 2426.64 0.34 0.07 0.13 -1 -1 0.34 0.0270366 0.0240371 111 47 32 32 54 27 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.44 vpr 64.34 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 34112 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65888 31 32 275 220 1 160 81 17 17 289 -1 unnamed_device 25.8 MiB 0.12 696 7606 1920 4768 918 64.3 MiB 0.07 0.00 2.8519 -93.2757 -2.8519 2.8519 1.03 0.000385483 0.000353585 0.0195937 0.0178885 32 1969 20 6.65987e+06 228204 554710. 1919.41 1.02 0.0861764 0.0758078 22834 132086 -1 1741 22 1577 2542 190974 44844 3.03897 3.03897 -111.635 -3.03897 0 0 701300. 2426.64 0.34 0.08 0.13 -1 -1 0.34 0.0265535 0.0236834 118 -1 93 31 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.95 vpr 64.89 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34028 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 32 32 381 303 1 188 96 17 17 289 -1 unnamed_device 26.2 MiB 0.36 990 9732 2351 6698 683 64.9 MiB 0.10 0.00 3.1709 -105.388 -3.1709 3.1709 1.06 0.00060043 0.000543577 0.0298971 0.0272467 26 2552 23 6.65987e+06 405696 477104. 1650.88 1.17 0.118114 0.104696 21682 110474 -1 2270 24 1646 2415 178774 41123 3.63631 3.63631 -134.754 -3.63631 0 0 585099. 2024.56 0.29 0.09 0.11 -1 -1 0.29 0.0338338 0.0300279 138 56 60 32 58 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 5.81 vpr 64.77 MiB 0.02 7448 -1 -1 1 0.04 -1 -1 34104 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 406 330 1 190 94 17 17 289 -1 unnamed_device 26.6 MiB 0.29 1047 12874 3129 8060 1685 64.8 MiB 0.13 0.00 3.36904 -105.145 -3.36904 3.36904 1.09 0.000594437 0.000543808 0.0420761 0.0384353 26 3040 32 6.65987e+06 380340 477104. 1650.88 2.02 0.146063 0.129738 21682 110474 -1 2383 22 1594 2581 208850 47906 4.18931 4.18931 -133.3 -4.18931 0 0 585099. 2024.56 0.28 0.09 0.11 -1 -1 0.28 0.0342333 0.030559 134 81 28 28 88 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 7.81 vpr 64.96 MiB 0.03 7428 -1 -1 1 0.04 -1 -1 33896 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 32 32 399 285 1 228 99 17 17 289 -1 unnamed_device 26.8 MiB 0.07 1314 19707 6354 10517 2836 65.0 MiB 0.22 0.00 3.73916 -126.758 -3.73916 3.73916 1.10 0.000726001 0.000667158 0.0660224 0.060371 34 3029 30 6.65987e+06 443730 585099. 2024.56 4.07 0.27236 0.239149 23122 138558 -1 2569 21 1838 3176 228058 52038 4.22563 4.22563 -154.967 -4.22563 0 0 742403. 2568.87 0.35 0.09 0.13 -1 -1 0.35 0.0339943 0.030544 177 -1 156 32 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.87 vpr 65.07 MiB 0.02 7444 -1 -1 1 0.04 -1 -1 33936 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 30 32 371 295 1 184 94 17 17 289 -1 unnamed_device 26.3 MiB 0.30 1010 13513 3458 8294 1761 65.1 MiB 0.13 0.00 2.86284 -90.969 -2.86284 2.86284 1.10 0.000589064 0.000530898 0.0404772 0.0366179 32 2386 19 6.65987e+06 405696 554710. 1919.41 1.05 0.119329 0.10499 22834 132086 -1 2058 18 1373 2136 155461 35482 3.09811 3.09811 -115.054 -3.09811 0 0 701300. 2426.64 0.34 0.07 0.14 -1 -1 0.34 0.0277953 0.0250773 136 47 60 30 56 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.46 vpr 64.28 MiB 0.02 7128 -1 -1 1 0.03 -1 -1 34244 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65824 27 32 269 226 1 143 79 17 17 289 -1 unnamed_device 25.8 MiB 0.12 669 11909 4847 5461 1601 64.3 MiB 0.10 0.00 2.6767 -79.5326 -2.6767 2.6767 1.04 0.000435302 0.000394402 0.0347204 0.0315813 28 1691 23 6.65987e+06 253560 500653. 1732.36 1.06 0.100514 0.088436 21970 115934 -1 1447 20 1131 1640 124385 28726 2.69451 2.69451 -94.8037 -2.69451 0 0 612192. 2118.31 0.29 0.06 0.12 -1 -1 0.29 0.0223516 0.0197647 107 26 54 27 27 27 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 5.43 vpr 65.00 MiB 0.03 7592 -1 -1 1 0.04 -1 -1 34224 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 493 378 1 232 104 17 17 289 -1 unnamed_device 26.7 MiB 0.22 1371 16208 4459 9989 1760 65.0 MiB 0.18 0.00 3.43804 -114.932 -3.43804 3.43804 1.02 0.000837364 0.000771595 0.0583491 0.0534843 28 3707 30 6.65987e+06 507120 500653. 1732.36 1.70 0.179977 0.160846 21970 115934 -1 3044 22 2263 3974 315894 71138 3.62411 3.62411 -139.981 -3.62411 0 0 612192. 2118.31 0.30 0.12 0.11 -1 -1 0.30 0.0376134 0.0339198 184 85 62 31 95 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.74 vpr 65.23 MiB 0.03 7488 -1 -1 1 0.04 -1 -1 34164 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66800 31 32 455 371 1 189 84 17 17 289 -1 unnamed_device 26.5 MiB 0.39 987 7770 1623 5770 377 65.2 MiB 0.09 0.00 3.51179 -109.645 -3.51179 3.51179 1.04 0.000615176 0.000556357 0.0303362 0.0277378 30 2329 22 6.65987e+06 266238 526063. 1820.29 1.04 0.123162 0.108801 22546 126617 -1 1904 18 1086 1763 96792 23607 3.44511 3.44511 -130.189 -3.44511 0 0 666494. 2306.21 0.30 0.06 0.13 -1 -1 0.30 0.0276522 0.0246576 144 105 0 0 124 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.95 vpr 64.82 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 33816 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 32 32 355 304 1 151 80 17 17 289 -1 unnamed_device 26.0 MiB 0.33 738 9540 2350 6820 370 64.8 MiB 0.09 0.00 2.71178 -87.7572 -2.71178 2.71178 1.13 0.000498572 0.000454037 0.0338101 0.0309447 32 2018 27 6.65987e+06 202848 554710. 1919.41 1.10 0.122781 0.108799 22834 132086 -1 1751 23 1188 1845 144082 33821 2.87371 2.87371 -114.232 -2.87371 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0315302 0.0280955 109 86 0 0 89 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.90 vpr 64.64 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34036 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66188 32 32 364 282 1 196 96 17 17 289 -1 unnamed_device 26.3 MiB 0.11 1103 15426 3969 9166 2291 64.6 MiB 0.15 0.00 3.4743 -112.833 -3.4743 3.4743 1.14 0.000534218 0.000482933 0.0466183 0.042471 28 2842 21 6.65987e+06 405696 500653. 1732.36 1.16 0.133268 0.118691 21970 115934 -1 2479 22 1617 2429 195577 43767 3.80057 3.80057 -141.552 -3.80057 0 0 612192. 2118.31 0.30 0.09 0.11 -1 -1 0.30 0.0320913 0.0287455 146 31 90 30 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 5.76 vpr 64.94 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 34172 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 31 32 443 336 1 220 99 17 17 289 -1 unnamed_device 26.8 MiB 0.17 1148 19935 6578 10388 2969 64.9 MiB 0.22 0.00 3.56744 -112.237 -3.56744 3.56744 1.14 0.00067786 0.000620335 0.068974 0.0629758 28 3048 28 6.65987e+06 456408 500653. 1732.36 1.88 0.175787 0.156779 21970 115934 -1 2492 21 1850 2811 203094 47272 3.85571 3.85571 -136.411 -3.85571 0 0 612192. 2118.31 0.31 0.09 0.11 -1 -1 0.31 0.0350365 0.0313587 171 50 87 31 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 7.21 vpr 65.11 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 34108 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 30 32 373 297 1 186 95 17 17 289 -1 unnamed_device 26.3 MiB 0.13 1138 18023 5710 9985 2328 65.1 MiB 0.17 0.00 2.89104 -90.2693 -2.89104 2.89104 1.13 0.000574748 0.000521319 0.0556468 0.0505689 28 2670 19 6.65987e+06 418374 500653. 1732.36 3.49 0.251264 0.220717 21970 115934 -1 2374 21 1280 2328 171074 37968 2.92371 2.92371 -111.283 -2.92371 0 0 612192. 2118.31 0.31 0.09 0.11 -1 -1 0.31 0.0355052 0.0319837 134 50 58 30 58 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 7.56 vpr 64.77 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 34216 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66324 32 32 407 319 1 201 106 17 17 289 -1 unnamed_device 26.4 MiB 0.29 1051 19106 5470 10719 2917 64.8 MiB 0.17 0.00 3.3571 -116.707 -3.3571 3.3571 1.07 0.000555389 0.000505953 0.0490904 0.0446988 30 2614 22 6.65987e+06 532476 526063. 1820.29 3.82 0.25184 0.21996 22546 126617 -1 2146 21 1585 2481 142473 32532 3.66737 3.66737 -136.926 -3.66737 0 0 666494. 2306.21 0.31 0.07 0.13 -1 -1 0.31 0.0297741 0.0264696 157 61 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 4.90 vpr 64.74 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34064 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66296 32 32 405 318 1 200 102 17 17 289 -1 unnamed_device 26.4 MiB 0.27 1026 17476 4896 9779 2801 64.7 MiB 0.17 0.00 2.74164 -96.7116 -2.74164 2.74164 1.10 0.000714337 0.000656738 0.0549618 0.050258 32 2451 23 6.65987e+06 481764 554710. 1919.41 1.07 0.144937 0.12913 22834 132086 -1 2005 21 1545 2309 149127 36060 2.74451 2.74451 -111.55 -2.74451 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0328251 0.0291944 155 61 63 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 7.05 vpr 64.17 MiB 0.02 6928 -1 -1 1 0.04 -1 -1 33784 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65712 29 32 287 238 1 134 77 17 17 289 -1 unnamed_device 25.6 MiB 0.15 644 12628 5273 6513 842 64.2 MiB 0.11 0.00 3.0383 -87.5279 -3.0383 3.0383 1.13 0.000544729 0.000497075 0.0399831 0.036554 34 1472 19 6.65987e+06 202848 585099. 2024.56 3.37 0.164791 0.143754 23122 138558 -1 1327 15 790 1080 78028 18257 2.78677 2.78677 -97.8598 -2.78677 0 0 742403. 2568.87 0.34 0.05 0.15 -1 -1 0.34 0.0186423 0.0167277 93 28 58 29 29 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.77 vpr 64.53 MiB 0.02 7312 -1 -1 1 0.04 -1 -1 34184 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66080 32 32 334 290 1 154 81 17 17 289 -1 unnamed_device 25.7 MiB 0.34 924 10931 2647 6889 1395 64.5 MiB 0.09 0.00 2.97218 -88.7987 -2.97218 2.97218 1.10 0.000521121 0.000479614 0.0339941 0.031058 26 2036 20 6.65987e+06 215526 477104. 1650.88 1.06 0.11624 0.103762 21682 110474 -1 1868 18 1006 1435 117032 26897 2.94711 2.94711 -108.054 -2.94711 0 0 585099. 2024.56 0.31 0.07 0.11 -1 -1 0.31 0.026898 0.0243 111 79 0 0 82 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 4.82 vpr 64.86 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 34016 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 31 32 365 281 1 196 100 17 17 289 -1 unnamed_device 26.5 MiB 0.15 925 12396 3215 7989 1192 64.9 MiB 0.13 0.00 3.64344 -108.049 -3.64344 3.64344 1.12 0.000614873 0.000564048 0.0352741 0.032171 30 2147 24 6.65987e+06 469086 526063. 1820.29 1.13 0.123098 0.109213 22546 126617 -1 1803 19 1487 2534 127030 31665 3.30865 3.30865 -122.681 -3.30865 0 0 666494. 2306.21 0.32 0.07 0.12 -1 -1 0.32 0.0274569 0.0244809 150 29 93 31 31 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.83 vpr 64.38 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 34164 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65920 29 32 297 254 1 150 92 17 17 289 -1 unnamed_device 25.5 MiB 0.32 665 9821 2680 6329 812 64.4 MiB 0.09 0.00 2.86104 -76.4711 -2.86104 2.86104 1.11 0.000566183 0.000519749 0.0270204 0.0246255 26 1891 21 6.65987e+06 393018 477104. 1650.88 1.10 0.0974933 0.0856383 21682 110474 -1 1659 21 1068 1744 128397 29930 2.73765 2.73765 -95.581 -2.73765 0 0 585099. 2024.56 0.29 0.06 0.11 -1 -1 0.29 0.0226628 0.0198727 108 48 29 29 52 26 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.89 vpr 64.62 MiB 0.02 7216 -1 -1 1 0.04 -1 -1 33816 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66172 32 32 314 256 1 160 80 17 17 289 -1 unnamed_device 25.8 MiB 0.21 890 14356 4857 7481 2018 64.6 MiB 0.13 0.00 2.7929 -101.474 -2.7929 2.7929 1.10 0.000577427 0.000519375 0.0458418 0.0417809 32 2146 19 6.65987e+06 202848 554710. 1919.41 1.12 0.123616 0.109902 22834 132086 -1 1933 19 1443 2370 167100 38703 2.95677 2.95677 -123.765 -2.95677 0 0 701300. 2426.64 0.36 0.08 0.13 -1 -1 0.36 0.0263151 0.0236275 119 31 64 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 7.35 vpr 64.94 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 33832 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 31 32 387 307 1 189 99 17 17 289 -1 unnamed_device 26.2 MiB 0.27 881 11499 2525 8371 603 64.9 MiB 0.11 0.00 2.8501 -95.7848 -2.8501 2.8501 1.03 0.000573639 0.000514045 0.032553 0.0295371 26 2543 30 6.65987e+06 456408 477104. 1650.88 3.77 0.205366 0.179115 21682 110474 -1 2033 20 1653 2356 169602 43908 2.91877 2.91877 -119.669 -2.91877 0 0 585099. 2024.56 0.28 0.08 0.10 -1 -1 0.28 0.0278153 0.024771 142 60 58 31 62 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.69 vpr 64.71 MiB 0.02 7080 -1 -1 1 0.04 -1 -1 33772 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 31 32 308 262 1 148 79 17 17 289 -1 unnamed_device 25.9 MiB 0.35 864 11740 3617 6446 1677 64.7 MiB 0.10 0.00 2.49487 -81.7494 -2.49487 2.49487 1.05 0.000454314 0.000413202 0.03647 0.0331214 32 1970 24 6.65987e+06 202848 554710. 1919.41 1.02 0.104702 0.0923277 22834 132086 -1 1758 23 1011 1702 118685 27745 2.65065 2.65065 -102.484 -2.65065 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0254771 0.0226265 105 49 31 31 53 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 6.18 vpr 64.80 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 34112 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 383 307 1 184 96 17 17 289 -1 unnamed_device 26.1 MiB 0.27 931 11703 3107 7769 827 64.8 MiB 0.12 0.00 2.6767 -89.5926 -2.6767 2.6767 1.08 0.000572345 0.000522226 0.0368981 0.0337413 26 2746 41 6.65987e+06 405696 477104. 1650.88 2.52 0.15734 0.140239 21682 110474 -1 2184 21 1264 2187 179311 41837 2.89617 2.89617 -111.55 -2.89617 0 0 585099. 2024.56 0.29 0.09 0.11 -1 -1 0.29 0.0322861 0.0288713 136 56 52 26 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 6.96 vpr 64.99 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 33700 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66552 31 32 422 339 1 195 99 17 17 289 -1 unnamed_device 26.7 MiB 0.72 1052 13779 3559 9046 1174 65.0 MiB 0.14 0.00 3.0413 -101.606 -3.0413 3.0413 1.09 0.000916249 0.000830106 0.0468602 0.0423682 26 2559 49 6.65987e+06 456408 477104. 1650.88 2.75 0.284607 0.25109 21682 110474 -1 2205 20 1624 2394 227924 68465 2.94896 2.94896 -121.084 -2.94896 0 0 585099. 2024.56 0.29 0.11 0.11 -1 -1 0.29 0.0351653 0.0316376 148 88 31 31 92 31 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.73 vpr 64.82 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34044 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 32 32 333 279 1 160 82 17 17 289 -1 unnamed_device 26.0 MiB 0.17 716 9516 2669 5878 969 64.8 MiB 0.09 0.00 2.31427 -80.8954 -2.31427 2.31427 1.08 0.000793626 0.000743948 0.0307116 0.0280838 32 2214 25 6.65987e+06 228204 554710. 1919.41 1.12 0.117525 0.104453 22834 132086 -1 1815 23 1352 2123 157438 38076 2.66645 2.66645 -104.779 -2.66645 0 0 701300. 2426.64 0.35 0.08 0.13 -1 -1 0.35 0.0311577 0.0278053 115 54 32 32 60 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.81 vpr 64.82 MiB 0.02 7016 -1 -1 1 0.04 -1 -1 33592 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66380 32 32 339 283 1 164 82 17 17 289 -1 unnamed_device 26.2 MiB 0.32 824 9160 2365 6420 375 64.8 MiB 0.09 0.00 2.66064 -94.0688 -2.66064 2.66064 1.04 0.000488841 0.000444971 0.0295098 0.0269604 32 2240 24 6.65987e+06 228204 554710. 1919.41 1.10 0.104717 0.0920409 22834 132086 -1 1951 23 1480 2380 176748 41664 2.84791 2.84791 -116.865 -2.84791 0 0 701300. 2426.64 0.33 0.09 0.13 -1 -1 0.33 0.0289824 0.025647 121 60 32 32 62 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.79 vpr 64.64 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 34420 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66192 32 32 407 319 1 198 100 17 17 289 -1 unnamed_device 26.4 MiB 0.17 1040 11004 2789 7417 798 64.6 MiB 0.11 0.00 3.13064 -107.966 -3.13064 3.13064 1.09 0.000816629 0.000752334 0.0333726 0.0304332 28 2435 22 6.65987e+06 456408 500653. 1732.36 1.13 0.124267 0.110325 21970 115934 -1 2183 22 1622 2571 178465 40641 3.63031 3.63031 -137.65 -3.63031 0 0 612192. 2118.31 0.31 0.09 0.12 -1 -1 0.31 0.0341421 0.030328 154 49 64 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.90 vpr 64.83 MiB 0.02 7420 -1 -1 1 0.04 -1 -1 34256 -1 -1 32 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 29 32 367 293 1 183 93 17 17 289 -1 unnamed_device 26.1 MiB 0.25 960 14373 4042 8897 1434 64.8 MiB 0.14 0.00 2.88233 -84.6905 -2.88233 2.88233 1.09 0.000620381 0.000568057 0.0460249 0.0418991 28 2179 21 6.65987e+06 405696 500653. 1732.36 1.19 0.134987 0.11996 21970 115934 -1 1873 19 1057 1649 97961 23927 2.75271 2.75271 -100.104 -2.75271 0 0 612192. 2118.31 0.31 0.06 0.11 -1 -1 0.31 0.0270547 0.0241462 133 54 56 29 58 29 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 5.77 vpr 65.03 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 34112 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 32 32 469 381 1 200 101 17 17 289 -1 unnamed_device 26.6 MiB 0.37 1001 11381 2896 7843 642 65.0 MiB 0.13 0.00 3.12784 -108.736 -3.12784 3.12784 1.10 0.00083788 0.000769512 0.0385304 0.0351473 26 3209 28 6.65987e+06 469086 477104. 1650.88 1.89 0.142615 0.12602 21682 110474 -1 2592 24 2187 3415 274274 64085 4.15631 4.15631 -152.682 -4.15631 0 0 585099. 2024.56 0.28 0.11 0.11 -1 -1 0.28 0.0359857 0.031807 156 117 0 0 128 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.39 vpr 64.15 MiB 0.02 6920 -1 -1 1 0.03 -1 -1 33884 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 31 32 259 212 1 146 79 17 17 289 -1 unnamed_device 25.7 MiB 0.12 797 13430 5333 6415 1682 64.1 MiB 0.11 0.00 2.32153 -81.0887 -2.32153 2.32153 1.03 0.000470754 0.00043423 0.0369557 0.0337272 32 1894 20 6.65987e+06 202848 554710. 1919.41 0.98 0.0933181 0.0824037 22834 132086 -1 1641 19 892 1455 125227 28548 2.62371 2.62371 -100.222 -2.62371 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0198436 0.0176884 105 -1 85 31 0 0 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 6.22 vpr 65.19 MiB 0.03 7452 -1 -1 1 0.04 -1 -1 34052 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 32 32 418 338 1 190 97 17 17 289 -1 unnamed_device 26.6 MiB 0.19 987 19411 6700 9088 3623 65.2 MiB 0.16 0.00 3.31204 -109.095 -3.31204 3.31204 1.03 0.000554877 0.000502129 0.0568856 0.051569 36 2237 21 6.65987e+06 418374 612192. 2118.31 2.55 0.196325 0.172282 23410 145293 -1 1849 20 1497 2169 158570 38441 3.45917 3.45917 -124.372 -3.45917 0 0 782063. 2706.10 0.36 0.08 0.15 -1 -1 0.36 0.0299363 0.0266022 142 89 28 28 92 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.83 vpr 64.94 MiB 0.02 7312 -1 -1 1 0.04 -1 -1 33784 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 26.3 MiB 0.25 842 11776 3203 7061 1512 64.9 MiB 0.11 0.00 2.8021 -102.199 -2.8021 2.8021 1.08 0.000520695 0.000472959 0.0425351 0.0386926 32 1960 20 6.65987e+06 202848 554710. 1919.41 1.06 0.122971 0.108917 22834 132086 -1 1745 20 1377 2013 148423 34739 3.12837 3.12837 -126.04 -3.12837 0 0 701300. 2426.64 0.36 0.08 0.13 -1 -1 0.36 0.0299944 0.0267491 115 93 0 0 96 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 5.80 vpr 64.98 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 33772 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66544 32 32 401 316 1 196 99 17 17 289 -1 unnamed_device 26.7 MiB 0.28 955 17655 5187 9321 3147 65.0 MiB 0.17 0.00 2.71964 -93.5267 -2.71964 2.71964 1.10 0.000855633 0.000793956 0.0556832 0.0511005 28 2907 26 6.65987e+06 443730 500653. 1732.36 1.95 0.166518 0.149003 21970 115934 -1 2161 21 1438 2275 198594 47779 2.71031 2.71031 -112.972 -2.71031 0 0 612192. 2118.31 0.30 0.09 0.11 -1 -1 0.30 0.0333777 0.0298761 149 59 61 32 64 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 5.46 vpr 65.28 MiB 0.03 7508 -1 -1 1 0.04 -1 -1 34432 -1 -1 43 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66848 32 32 500 382 1 232 107 17 17 289 -1 unnamed_device 27.0 MiB 0.38 1195 9215 1703 6911 601 65.3 MiB 0.12 0.00 3.8601 -128.639 -3.8601 3.8601 1.12 0.00085577 0.000777992 0.0328512 0.0298702 28 3151 26 6.65987e+06 545154 500653. 1732.36 1.40 0.145809 0.128892 21970 115934 -1 2655 20 2202 3365 223518 52855 4.74137 4.74137 -167.155 -4.74137 0 0 612192. 2118.31 0.32 0.10 0.11 -1 -1 0.32 0.0394584 0.0356238 186 81 64 32 96 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.38 vpr 64.17 MiB 0.02 7168 -1 -1 1 0.03 -1 -1 33476 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65712 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 25.6 MiB 0.23 551 10672 2622 7473 577 64.2 MiB 0.08 0.00 2.22258 -69.1123 -2.22258 2.22258 1.04 0.000368309 0.000335156 0.0292508 0.0265909 30 1257 21 6.65987e+06 190170 526063. 1820.29 0.92 0.0799364 0.0703073 22546 126617 -1 1102 15 438 591 38425 9443 1.69545 1.69545 -74.4431 -1.69545 0 0 666494. 2306.21 0.32 0.03 0.11 -1 -1 0.32 0.0154678 0.0138009 83 51 0 0 53 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.43 vpr 64.14 MiB 0.02 6972 -1 -1 1 0.03 -1 -1 34088 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65676 30 32 296 244 1 137 78 17 17 289 -1 unnamed_device 25.6 MiB 0.11 592 11034 4583 5653 798 64.1 MiB 0.09 0.00 2.80784 -84.049 -2.80784 2.80784 1.08 0.000464855 0.000423707 0.0350097 0.0318665 32 1761 20 6.65987e+06 202848 554710. 1919.41 0.99 0.0972078 0.0857033 22834 132086 -1 1378 20 989 1460 117453 28097 2.72051 2.72051 -104.068 -2.72051 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.022057 0.0195928 96 29 60 30 30 30 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.75 vpr 64.50 MiB 0.02 7052 -1 -1 1 0.04 -1 -1 33400 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66052 32 32 314 256 1 167 82 17 17 289 -1 unnamed_device 26.2 MiB 0.11 930 9160 2282 6357 521 64.5 MiB 0.09 0.00 2.7647 -99.2423 -2.7647 2.7647 1.11 0.000493296 0.000448039 0.0289588 0.0264901 30 2382 20 6.65987e+06 228204 526063. 1820.29 1.20 0.111457 0.099555 22546 126617 -1 1915 21 1132 2004 130372 28716 2.61817 2.61817 -113.333 -2.61817 0 0 666494. 2306.21 0.33 0.07 0.12 -1 -1 0.33 0.0256348 0.0229088 126 31 64 32 32 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.43 vpr 64.25 MiB 0.02 7224 -1 -1 1 0.03 -1 -1 33944 -1 -1 34 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65792 25 32 251 214 1 138 91 17 17 289 -1 unnamed_device 25.7 MiB 0.07 703 12535 3025 7876 1634 64.2 MiB 0.10 0.00 2.68184 -74.5657 -2.68184 2.68184 1.09 0.000463149 0.000423014 0.0286046 0.0261557 26 1659 21 6.65987e+06 431052 477104. 1650.88 1.05 0.0995526 0.0887746 21682 110474 -1 1534 23 1146 1769 127460 29812 2.72851 2.72851 -89.6785 -2.72851 0 0 585099. 2024.56 0.30 0.06 0.11 -1 -1 0.30 0.024139 0.0213652 103 19 50 25 25 25 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 5.78 vpr 64.83 MiB 0.02 7464 -1 -1 1 0.04 -1 -1 33992 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66388 32 32 432 346 1 193 84 17 17 289 -1 unnamed_device 26.6 MiB 0.25 883 9600 2351 6585 664 64.8 MiB 0.11 0.00 3.17278 -99.7227 -3.17278 3.17278 1.02 0.000889586 0.000807852 0.0381813 0.0348563 32 2920 42 6.65987e+06 253560 554710. 1919.41 2.13 0.199223 0.176261 22834 132086 -1 2190 21 1850 3313 255028 61442 3.60539 3.60539 -127.692 -3.60539 0 0 701300. 2426.64 0.36 0.11 0.13 -1 -1 0.36 0.0355957 0.0317979 147 84 32 32 94 32 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 5.29 vpr 64.82 MiB 0.02 7516 -1 -1 1 0.04 -1 -1 33860 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 31 32 421 339 1 193 100 17 17 289 -1 unnamed_device 26.5 MiB 0.22 865 12628 2822 9015 791 64.8 MiB 0.12 0.00 2.7691 -92.7554 -2.7691 2.7691 1.02 0.000744487 0.000682629 0.0389542 0.035497 26 2941 34 6.65987e+06 469086 477104. 1650.88 1.79 0.15158 0.134795 21682 110474 -1 2120 20 1657 2537 175208 43945 3.13331 3.13331 -121.654 -3.13331 0 0 585099. 2024.56 0.28 0.08 0.10 -1 -1 0.28 0.0324152 0.0289815 146 88 29 29 93 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 11.60 vpr 65.70 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 34032 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67280 32 32 438 350 1 187 89 17 17 289 -1 unnamed_device 27.2 MiB 1.03 758 11771 3695 5635 2441 65.7 MiB 0.09 0.00 3.15069 -112.585 -3.15069 3.15069 1.07 0.000657457 0.000554808 0.0404597 0.0369877 56 2238 34 6.95648e+06 361892 973134. 3367.25 6.73 0.287886 0.252502 29794 239141 -1 1763 22 1852 2903 233359 56134 4.05846 4.05846 -146.305 -4.05846 0 0 1.19926e+06 4149.71 0.56 0.10 0.24 -1 -1 0.56 0.036908 0.0331525 84 80 32 32 96 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 13.20 vpr 65.68 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 34112 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67256 30 32 409 330 1 179 76 17 17 289 -1 unnamed_device 27.0 MiB 2.30 819 12716 4427 6806 1483 65.7 MiB 0.11 0.00 3.3213 -109.081 -3.3213 3.3213 1.04 0.000582229 0.000528971 0.0493841 0.044997 56 2281 49 6.95648e+06 202660 973134. 3367.25 7.16 0.271252 0.236177 29794 239141 -1 1915 22 1740 2568 252529 52764 3.92522 3.92522 -138.577 -3.92522 0 0 1.19926e+06 4149.71 0.53 0.10 0.25 -1 -1 0.53 0.0319015 0.0282906 76 78 30 30 89 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 9.87 vpr 65.86 MiB 0.02 7456 -1 -1 1 0.04 -1 -1 33888 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67440 32 32 387 309 1 179 83 17 17 289 -1 unnamed_device 27.2 MiB 0.82 716 15563 6617 8113 833 65.9 MiB 0.13 0.00 3.01749 -104.169 -3.01749 3.01749 1.06 0.000527359 0.000478202 0.0535576 0.0486882 48 2129 26 6.95648e+06 275038 865456. 2994.66 5.28 0.261288 0.228619 28354 207349 -1 1711 25 1553 2326 174759 41700 3.82376 3.82376 -135.042 -3.82376 0 0 1.05005e+06 3633.38 0.50 0.09 0.21 -1 -1 0.50 0.035085 0.0311135 77 50 54 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 9.23 vpr 65.82 MiB 0.03 7288 -1 -1 1 0.04 -1 -1 33888 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67396 29 32 343 267 1 176 77 17 17 289 -1 unnamed_device 27.2 MiB 0.44 701 12302 4234 6135 1933 65.8 MiB 0.10 0.00 3.3745 -105.09 -3.3745 3.3745 1.02 0.000489818 0.000443502 0.0410925 0.0374646 40 2626 48 6.95648e+06 231611 706193. 2443.58 5.34 0.185415 0.162275 26914 176310 -1 1954 21 1691 2544 285301 68190 4.17936 4.17936 -146.872 -4.17936 0 0 926341. 3205.33 0.39 0.10 0.18 -1 -1 0.39 0.0285158 0.0253872 75 25 87 29 29 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 7.24 vpr 65.59 MiB 0.03 7124 -1 -1 1 0.03 -1 -1 33816 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67160 32 32 376 288 1 187 77 17 17 289 -1 unnamed_device 27.1 MiB 0.66 704 10346 3486 4993 1867 65.6 MiB 0.08 0.00 3.04139 -109.825 -3.04139 3.04139 0.99 0.000545232 0.000494492 0.0376551 0.0343808 62 2011 38 6.95648e+06 188184 1.05005e+06 3633.38 3.01 0.189387 0.166503 30946 263737 -1 1384 22 1871 3174 191638 48136 3.89696 3.89696 -134.925 -3.89696 0 0 1.30136e+06 4502.97 0.53 0.08 0.26 -1 -1 0.53 0.0289565 0.0257893 78 31 96 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 7.16 vpr 65.91 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33748 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67492 32 32 402 316 1 191 93 17 17 289 -1 unnamed_device 27.2 MiB 0.38 807 13743 4152 7400 2191 65.9 MiB 0.09 0.00 2.5973 -95.5077 -2.5973 2.5973 1.06 0.000525089 0.000477727 0.0391839 0.0356375 46 2381 39 6.95648e+06 419795 828058. 2865.25 3.19 0.204253 0.179552 28066 200906 -1 1690 21 1480 2038 142421 34026 3.16717 3.16717 -121.364 -3.16717 0 0 1.01997e+06 3529.29 0.43 0.08 0.18 -1 -1 0.43 0.0326441 0.0292243 89 61 63 32 63 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 11.97 vpr 65.12 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 34112 -1 -1 14 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 27 32 269 226 1 129 73 17 17 289 -1 unnamed_device 26.6 MiB 4.66 478 8433 3031 4066 1336 65.1 MiB 0.06 0.00 2.76796 -78.1223 -2.76796 2.76796 1.00 0.000399969 0.000361541 0.0249222 0.022709 38 1297 24 6.95648e+06 202660 678818. 2348.85 4.04 0.151604 0.131024 26626 170182 -1 1054 20 885 1319 77143 20077 2.82563 2.82563 -93.7063 -2.82563 0 0 902133. 3121.57 0.37 0.05 0.16 -1 -1 0.37 0.0203478 0.0180791 54 26 54 27 27 27 +fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 6.54 vpr 65.32 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33832 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66888 31 32 317 242 1 178 80 17 17 289 -1 unnamed_device 26.7 MiB 0.58 690 11604 4098 5234 2272 65.3 MiB 0.09 0.00 2.5203 -84.8536 -2.5203 2.5203 1.07 0.000496998 0.000452097 0.0376088 0.0344126 52 1969 30 6.95648e+06 246087 926341. 3205.33 2.34 0.177542 0.156947 29218 227130 -1 1498 24 1143 1684 125363 33178 3.22923 3.22923 -107.315 -3.22923 0 0 1.14541e+06 3963.36 0.51 0.07 0.21 -1 -1 0.51 0.0294404 0.0262624 77 -1 115 31 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 8.00 vpr 65.19 MiB 0.02 7092 -1 -1 1 0.03 -1 -1 33752 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 31 32 338 292 1 143 74 17 17 289 -1 unnamed_device 26.7 MiB 1.84 644 9684 3981 5431 272 65.2 MiB 0.07 0.00 2.60155 -84.316 -2.60155 2.60155 1.05 0.00049743 0.000452629 0.0319417 0.0290991 38 1877 21 6.95648e+06 159232 678818. 2348.85 2.75 0.149534 0.13019 26626 170182 -1 1565 21 1097 1627 141991 30681 3.51622 3.51622 -114.394 -3.51622 0 0 902133. 3121.57 0.37 0.06 0.16 -1 -1 0.37 0.0242119 0.0213253 57 81 0 0 84 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 6.34 vpr 65.17 MiB 0.02 6956 -1 -1 1 0.03 -1 -1 33736 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 314 256 1 156 74 17 17 289 -1 unnamed_device 26.7 MiB 0.87 583 9994 4132 5602 260 65.2 MiB 0.07 0.00 2.44885 -93.915 -2.44885 2.44885 0.98 0.000476239 0.000427076 0.0322866 0.029444 42 1999 26 6.95648e+06 144757 744469. 2576.02 2.15 0.156182 0.137661 27202 183097 -1 1491 22 1482 2031 178688 39452 3.32052 3.32052 -122.386 -3.32052 0 0 949917. 3286.91 0.40 0.07 0.16 -1 -1 0.40 0.0261935 0.0233587 62 31 64 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 7.42 vpr 65.19 MiB 0.02 7208 -1 -1 1 0.03 -1 -1 33816 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 30 32 325 273 1 148 74 17 17 289 -1 unnamed_device 26.7 MiB 1.94 641 11079 4648 6079 352 65.2 MiB 0.09 0.00 2.6083 -93.0682 -2.6083 2.6083 1.00 0.000461552 0.000420364 0.0375968 0.0343221 36 1966 38 6.95648e+06 173708 648988. 2245.63 2.21 0.160079 0.139459 26050 158493 -1 1459 20 1308 1673 113755 25738 3.09002 3.09002 -116.365 -3.09002 0 0 828058. 2865.25 0.35 0.06 0.15 -1 -1 0.35 0.0237962 0.0211435 60 58 30 30 60 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 7.46 vpr 65.55 MiB 0.03 7076 -1 -1 1 0.04 -1 -1 34100 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67128 32 32 331 280 1 150 76 17 17 289 -1 unnamed_device 26.8 MiB 0.90 553 10636 4387 5710 539 65.6 MiB 0.08 0.00 2.4781 -86.3316 -2.4781 2.4781 1.04 0.000500191 0.000453776 0.0365754 0.0332645 46 1701 27 6.95648e+06 173708 828058. 2865.25 2.90 0.154816 0.136034 28066 200906 -1 1352 22 1148 1615 152732 50132 3.08097 3.08097 -115.596 -3.08097 0 0 1.01997e+06 3529.29 0.46 0.08 0.20 -1 -1 0.46 0.0306675 0.0274166 60 57 25 25 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 8.81 vpr 65.77 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 33716 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67348 32 32 386 305 1 180 85 17 17 289 -1 unnamed_device 27.1 MiB 1.33 715 12175 3671 6224 2280 65.8 MiB 0.10 0.00 2.5833 -94.1965 -2.5833 2.5833 1.04 0.000532813 0.000483992 0.0388105 0.0353587 44 2401 29 6.95648e+06 303989 787024. 2723.27 3.94 0.17903 0.156336 27778 195446 -1 1797 27 1926 3020 283485 67878 3.66817 3.66817 -129.618 -3.66817 0 0 997811. 3452.63 0.42 0.11 0.19 -1 -1 0.42 0.0373078 0.0332366 79 55 64 32 57 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 19.26 vpr 65.67 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 34000 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 32 32 407 319 1 192 90 17 17 289 -1 unnamed_device 26.9 MiB 0.95 856 13959 4953 7643 1363 65.7 MiB 0.12 0.00 3.13369 -118.051 -3.13369 3.13369 1.08 0.000584699 0.000530592 0.0467584 0.0425966 38 2798 47 6.95648e+06 376368 678818. 2348.85 14.75 0.385283 0.339015 26626 170182 -1 2226 24 2209 3097 334052 72509 4.16656 4.16656 -156.968 -4.16656 0 0 902133. 3121.57 0.40 0.13 0.16 -1 -1 0.40 0.0398329 0.0355004 87 60 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 7.43 vpr 64.85 MiB 0.02 7068 -1 -1 1 0.03 -1 -1 34060 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66408 29 32 272 228 1 141 74 17 17 289 -1 unnamed_device 26.4 MiB 1.23 518 10769 3505 5285 1979 64.9 MiB 0.08 0.00 2.64555 -77.8882 -2.64555 2.64555 1.04 0.000403693 0.000365765 0.0331814 0.0300932 38 2034 37 6.95648e+06 188184 678818. 2348.85 2.89 0.153993 0.134856 26626 170182 -1 1463 21 1099 1678 128832 28907 2.97862 2.97862 -102.848 -2.97862 0 0 902133. 3121.57 0.39 0.06 0.16 -1 -1 0.39 0.0227035 0.0202187 58 21 58 29 24 24 +fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 8.15 vpr 65.86 MiB 0.02 7208 -1 -1 1 0.04 -1 -1 33760 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67440 32 32 401 315 1 185 77 17 17 289 -1 unnamed_device 27.0 MiB 1.82 855 12139 5131 6576 432 65.9 MiB 0.10 0.00 2.7746 -102.548 -2.7746 2.7746 1.06 0.00054499 0.000494931 0.0475355 0.0432654 62 2276 29 6.95648e+06 188184 1.05005e+06 3633.38 2.60 0.189069 0.165513 30946 263737 -1 1765 19 1541 2440 170239 37999 3.51477 3.51477 -129.969 -3.51477 0 0 1.30136e+06 4502.97 0.54 0.07 0.27 -1 -1 0.54 0.0278228 0.0246687 77 60 64 32 62 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 7.75 vpr 65.84 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 34076 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67420 32 32 383 303 1 179 84 17 17 289 -1 unnamed_device 27.1 MiB 1.47 688 13443 5308 6761 1374 65.8 MiB 0.10 0.00 2.5613 -93.6772 -2.5613 2.5613 1.08 0.000553979 0.000502435 0.0440725 0.0401641 44 2315 45 6.95648e+06 289514 787024. 2723.27 2.64 0.207026 0.181946 27778 195446 -1 1631 22 1498 2029 155265 38046 3.21727 3.21727 -125.6 -3.21727 0 0 997811. 3452.63 0.45 0.07 0.19 -1 -1 0.45 0.0299329 0.0265252 78 54 64 32 56 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 16.58 vpr 65.43 MiB 0.02 7252 -1 -1 1 0.04 -1 -1 33884 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 339 284 1 156 84 17 17 289 -1 unnamed_device 26.6 MiB 0.82 570 12894 4349 6169 2376 65.4 MiB 0.08 0.00 2.04276 -76.9212 -2.04276 2.04276 1.03 0.000469387 0.000420731 0.0365202 0.0331128 46 1730 28 6.95648e+06 289514 828058. 2865.25 12.29 0.293254 0.256686 28066 200906 -1 1322 19 1154 1526 109415 27614 2.40703 2.40703 -100.675 -2.40703 0 0 1.01997e+06 3529.29 0.45 0.07 0.20 -1 -1 0.45 0.0274366 0.0245938 67 62 29 29 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 5.57 vpr 64.70 MiB 0.02 6892 -1 -1 1 0.03 -1 -1 33716 -1 -1 10 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66248 30 32 226 208 1 118 72 17 17 289 -1 unnamed_device 26.3 MiB 0.38 451 11098 4873 5836 389 64.7 MiB 0.07 0.00 1.84156 -65.2368 -1.84156 1.84156 1.02 0.0003605 0.000327992 0.0293173 0.02674 36 1436 27 6.95648e+06 144757 648988. 2245.63 1.98 0.118592 0.103427 26050 158493 -1 1136 17 705 893 94047 20594 2.22668 2.22668 -88.2217 -2.22668 0 0 828058. 2865.25 0.34 0.04 0.15 -1 -1 0.34 0.0160437 0.0141981 45 29 24 24 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 10.09 vpr 65.07 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33760 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 31 32 335 280 1 152 74 17 17 289 -1 unnamed_device 26.6 MiB 1.24 637 9064 3750 4982 332 65.1 MiB 0.07 0.00 3.23215 -106.742 -3.23215 3.23215 1.05 0.000459653 0.000417475 0.0302618 0.027605 48 1694 25 6.95648e+06 159232 865456. 2994.66 5.37 0.205378 0.17951 28354 207349 -1 1470 21 1017 1381 129594 29419 3.89422 3.89422 -129.621 -3.89422 0 0 1.05005e+06 3633.38 0.44 0.07 0.19 -1 -1 0.44 0.0278769 0.0249804 61 55 31 31 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 9.85 vpr 65.86 MiB 0.02 7336 -1 -1 1 0.04 -1 -1 33560 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67436 32 32 366 283 1 184 85 17 17 289 -1 unnamed_device 27.2 MiB 0.47 668 11803 3040 7280 1483 65.9 MiB 0.10 0.00 3.10369 -106.356 -3.10369 3.10369 1.06 0.000533273 0.000487205 0.0374751 0.0341782 50 1808 24 6.95648e+06 303989 902133. 3121.57 5.71 0.237821 0.207318 28642 213929 -1 1533 23 1774 2303 192125 42503 3.72656 3.72656 -133.567 -3.72656 0 0 1.08113e+06 3740.92 0.48 0.08 0.21 -1 -1 0.48 0.0299984 0.0266339 81 31 91 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 10.29 vpr 66.14 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 33896 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67728 32 32 460 375 1 188 91 17 17 289 -1 unnamed_device 27.5 MiB 1.15 809 16411 6706 8555 1150 66.1 MiB 0.13 0.00 3.03469 -105.175 -3.03469 3.03469 0.99 0.000619225 0.000560757 0.053628 0.0485897 46 2763 27 6.95648e+06 390843 828058. 2865.25 5.65 0.290989 0.253398 28066 200906 -1 2072 23 1669 2546 229844 47711 4.30116 4.30116 -134.606 -4.30116 0 0 1.01997e+06 3529.29 0.41 0.09 0.20 -1 -1 0.41 0.0324736 0.0287365 85 108 0 0 125 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 6.99 vpr 64.57 MiB 0.02 6948 -1 -1 1 0.03 -1 -1 33824 -1 -1 13 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66116 26 32 198 186 1 108 71 17 17 289 -1 unnamed_device 26.3 MiB 1.10 396 8101 3321 4190 590 64.6 MiB 0.05 0.00 1.82136 -55.181 -1.82136 1.82136 1.02 0.000312251 0.000284419 0.0196287 0.0179333 36 1408 48 6.95648e+06 188184 648988. 2245.63 2.67 0.114894 0.0996301 26050 158493 -1 931 21 681 878 75337 17157 2.04838 2.04838 -71.6473 -2.04838 0 0 828058. 2865.25 0.34 0.04 0.15 -1 -1 0.34 0.0172827 0.0152204 44 21 26 26 22 22 +fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 6.96 vpr 65.64 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 34016 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 32 32 333 251 1 180 76 17 17 289 -1 unnamed_device 27.0 MiB 0.94 707 8076 3256 4415 405 65.6 MiB 0.06 0.00 3.3371 -110.857 -3.3371 3.3371 0.97 0.000486132 0.000439476 0.0249704 0.022733 62 1967 23 6.95648e+06 173708 1.05005e+06 3633.38 2.48 0.142864 0.124544 30946 263737 -1 1567 19 1453 2203 164761 38652 4.01606 4.01606 -134.51 -4.01606 0 0 1.30136e+06 4502.97 0.54 0.07 0.26 -1 -1 0.54 0.0261789 0.0233253 74 -1 122 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 6.23 vpr 64.62 MiB 0.02 6864 -1 -1 1 0.03 -1 -1 33728 -1 -1 8 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 32 32 199 182 1 119 72 17 17 289 -1 unnamed_device 26.3 MiB 0.33 634 9608 4055 5400 153 64.6 MiB 0.06 0.00 1.77736 -70.2586 -1.77736 1.77736 1.06 0.000319724 0.000286897 0.0219847 0.0199752 38 1655 24 6.95648e+06 115805 678818. 2348.85 2.62 0.10682 0.0935079 26626 170182 -1 1313 17 681 855 102574 21041 2.15648 2.15648 -92.7332 -2.15648 0 0 902133. 3121.57 0.37 0.04 0.15 -1 -1 0.37 0.0141819 0.0126806 44 -1 53 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 10.28 vpr 65.98 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 33848 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67564 32 32 376 288 1 186 90 17 17 289 -1 unnamed_device 27.2 MiB 0.59 828 16773 5823 8948 2002 66.0 MiB 0.14 0.00 3.17289 -114.42 -3.17289 3.17289 1.07 0.000613451 0.000559386 0.051272 0.0467491 44 2670 27 6.95648e+06 376368 787024. 2723.27 6.02 0.284517 0.248034 27778 195446 -1 2043 21 1903 2934 235575 48905 4.14486 4.14486 -152.991 -4.14486 0 0 997811. 3452.63 0.43 0.09 0.19 -1 -1 0.43 0.0278093 0.0246694 85 21 96 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 5.88 vpr 65.70 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 33996 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67280 32 32 337 253 1 188 92 17 17 289 -1 unnamed_device 27.0 MiB 0.33 1083 14996 5553 7555 1888 65.7 MiB 0.11 0.00 2.5943 -101.787 -2.5943 2.5943 1.04 0.000497072 0.000451525 0.0394191 0.035904 38 2726 35 6.95648e+06 405319 678818. 2348.85 2.11 0.166913 0.14633 26626 170182 -1 2275 22 1687 2459 220065 42712 3.04467 3.04467 -127.093 -3.04467 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0264719 0.0235676 87 -1 124 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 6.67 vpr 66.02 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34152 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67600 32 32 407 319 1 189 92 17 17 289 -1 unnamed_device 27.3 MiB 0.43 913 17894 5998 9434 2462 66.0 MiB 0.15 0.00 3.10069 -113.2 -3.10069 3.10069 1.06 0.000581078 0.000529179 0.0555677 0.0503922 46 2492 36 6.95648e+06 405319 828058. 2865.25 2.53 0.183107 0.161499 28066 200906 -1 2013 21 1945 3204 238783 49715 4.11636 4.11636 -147.151 -4.11636 0 0 1.01997e+06 3529.29 0.44 0.09 0.20 -1 -1 0.44 0.0298666 0.0264834 87 54 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 6.33 vpr 65.08 MiB 0.02 7148 -1 -1 1 0.03 -1 -1 34176 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 294 246 1 145 74 17 17 289 -1 unnamed_device 26.6 MiB 0.93 812 9374 3915 4945 514 65.1 MiB 0.07 0.00 2.3791 -88.817 -2.3791 2.3791 1.03 0.000480789 0.000435922 0.0294484 0.0269077 42 2167 27 6.95648e+06 144757 744469. 2576.02 2.00 0.146842 0.129447 27202 183097 -1 1740 20 1252 1981 180391 36505 2.82742 2.82742 -112.986 -2.82742 0 0 949917. 3286.91 0.42 0.07 0.16 -1 -1 0.42 0.0241072 0.02155 57 31 54 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 8.68 vpr 65.21 MiB 0.02 7120 -1 -1 1 0.03 -1 -1 33532 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 30 32 296 244 1 148 74 17 17 289 -1 unnamed_device 26.7 MiB 0.63 591 9374 3907 5125 342 65.2 MiB 0.07 0.00 2.6163 -92.4601 -2.6163 2.6163 1.04 0.000446489 0.000404709 0.0290304 0.0264921 40 1855 24 6.95648e+06 173708 706193. 2443.58 4.64 0.197509 0.172281 26914 176310 -1 1610 20 1367 1857 192125 42559 3.61507 3.61507 -131.234 -3.61507 0 0 926341. 3205.33 0.39 0.08 0.18 -1 -1 0.39 0.0240977 0.0215045 60 29 60 30 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 9.37 vpr 65.05 MiB 0.02 7124 -1 -1 1 0.03 -1 -1 33752 -1 -1 13 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 28 32 278 232 1 144 73 17 17 289 -1 unnamed_device 26.6 MiB 0.70 507 11169 4683 5762 724 65.1 MiB 0.08 0.00 2.5894 -83.1346 -2.5894 2.5894 1.03 0.000410818 0.000374038 0.0338988 0.0308293 48 1638 29 6.95648e+06 188184 865456. 2994.66 5.22 0.201289 0.173803 28354 207349 -1 1385 20 1181 1761 136752 34825 3.20427 3.20427 -106.054 -3.20427 0 0 1.05005e+06 3633.38 0.43 0.06 0.21 -1 -1 0.43 0.0212599 0.0189122 61 27 56 28 28 28 +fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 6.53 vpr 65.07 MiB 0.02 6864 -1 -1 1 0.04 -1 -1 33980 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 283 225 1 160 74 17 17 289 -1 unnamed_device 26.6 MiB 0.23 873 9684 4032 5522 130 65.1 MiB 0.07 0.00 2.43165 -99.8836 -2.43165 2.43165 1.08 0.000434783 0.000395572 0.0296663 0.0271098 40 2180 27 6.95648e+06 144757 706193. 2443.58 2.75 0.16468 0.146152 26914 176310 -1 2011 21 1618 2339 281870 54070 3.18092 3.18092 -128.903 -3.18092 0 0 926341. 3205.33 0.41 0.09 0.17 -1 -1 0.41 0.0259156 0.0232587 64 -1 96 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 8.43 vpr 64.88 MiB 0.02 6948 -1 -1 1 0.04 -1 -1 33756 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 31 32 303 249 1 156 84 17 17 289 -1 unnamed_device 26.3 MiB 0.24 565 11796 3628 5857 2311 64.9 MiB 0.08 0.00 2.5943 -90.9525 -2.5943 2.5943 1.09 0.000519245 0.0004745 0.032208 0.0293132 46 1955 28 6.95648e+06 303989 828058. 2865.25 4.56 0.156625 0.137421 28066 200906 -1 1393 24 1416 1997 152833 37576 3.20917 3.20917 -117.507 -3.20917 0 0 1.01997e+06 3529.29 0.45 0.08 0.19 -1 -1 0.45 0.0282765 0.0251116 68 26 61 31 31 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 7.22 vpr 65.02 MiB 0.02 7056 -1 -1 1 0.04 -1 -1 33984 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 29 32 312 264 1 148 79 17 17 289 -1 unnamed_device 26.5 MiB 0.90 502 10895 3849 4803 2243 65.0 MiB 0.08 0.00 2.12706 -71.7738 -2.12706 2.12706 1.11 0.000427812 0.000389107 0.0334989 0.030448 44 1611 47 6.95648e+06 260562 787024. 2723.27 2.68 0.158312 0.139271 27778 195446 -1 1065 20 1126 1532 99844 26405 2.43813 2.43813 -88.0913 -2.43813 0 0 997811. 3452.63 0.44 0.06 0.19 -1 -1 0.44 0.0248623 0.0221604 64 55 29 29 57 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 10.24 vpr 66.02 MiB 0.03 7364 -1 -1 1 0.04 -1 -1 34092 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67608 32 32 423 310 1 219 92 17 17 289 -1 unnamed_device 27.4 MiB 0.79 1124 14582 4830 7580 2172 66.0 MiB 0.13 0.00 3.32935 -120.571 -3.32935 3.32935 1.06 0.000815347 0.000744621 0.0481131 0.0438885 46 2932 29 6.95648e+06 405319 828058. 2865.25 5.78 0.281691 0.245954 28066 200906 -1 2366 24 2265 3421 260718 52382 4.02232 4.02232 -148.885 -4.02232 0 0 1.01997e+06 3529.29 0.43 0.11 0.20 -1 -1 0.43 0.0362041 0.0322521 100 26 128 32 27 27 +fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 10.13 vpr 65.82 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 33952 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67396 32 32 403 317 1 190 91 17 17 289 -1 unnamed_device 27.1 MiB 0.93 748 11923 3842 6032 2049 65.8 MiB 0.10 0.00 2.6866 -97.658 -2.6866 2.6866 1.09 0.000620305 0.000569383 0.0401931 0.036638 46 2154 25 6.95648e+06 390843 828058. 2865.25 5.56 0.314767 0.276522 28066 200906 -1 1700 23 1933 2737 203932 45230 3.13697 3.13697 -122.357 -3.13697 0 0 1.01997e+06 3529.29 0.43 0.09 0.18 -1 -1 0.43 0.0340052 0.0303105 87 62 62 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 7.09 vpr 65.24 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 34092 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 31 32 353 302 1 150 78 17 17 289 -1 unnamed_device 26.5 MiB 1.17 555 12860 5416 6837 607 65.2 MiB 0.10 0.00 2.76796 -90.6303 -2.76796 2.76796 1.08 0.000484782 0.000444985 0.0434822 0.0397238 46 1740 33 6.95648e+06 217135 828058. 2865.25 2.33 0.149223 0.130974 28066 200906 -1 1448 25 1280 1850 172108 51229 3.25747 3.25747 -114.657 -3.25747 0 0 1.01997e+06 3529.29 0.41 0.08 0.20 -1 -1 0.41 0.0285083 0.0251792 62 77 0 0 89 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 10.44 vpr 65.77 MiB 0.03 7420 -1 -1 1 0.04 -1 -1 34204 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67352 31 32 391 309 1 186 77 17 17 289 -1 unnamed_device 27.1 MiB 0.69 1018 10835 4524 6052 259 65.8 MiB 0.10 0.00 2.5613 -96.8883 -2.5613 2.5613 1.13 0.000535257 0.000487302 0.0439968 0.0402253 40 2604 41 6.95648e+06 202660 706193. 2443.58 6.04 0.335423 0.294266 26914 176310 -1 2501 19 1796 2662 299497 58611 3.51287 3.51287 -131.947 -3.51287 0 0 926341. 3205.33 0.41 0.10 0.16 -1 -1 0.41 0.0288525 0.0259693 79 59 60 30 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 9.82 vpr 66.02 MiB 0.03 7416 -1 -1 1 0.04 -1 -1 34264 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67600 31 32 455 371 1 185 77 17 17 289 -1 unnamed_device 27.3 MiB 2.28 842 8716 3565 4886 265 66.0 MiB 0.08 0.00 3.85289 -126.398 -3.85289 3.85289 1.08 0.00059919 0.000544815 0.0365165 0.0333735 40 2715 37 6.95648e+06 202660 706193. 2443.58 3.88 0.23458 0.20779 26914 176310 -1 2240 20 1569 2353 237318 50049 4.77761 4.77761 -159.432 -4.77761 0 0 926341. 3205.33 0.41 0.10 0.17 -1 -1 0.41 0.036018 0.0324296 78 111 0 0 124 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 8.70 vpr 65.94 MiB 0.02 7360 -1 -1 1 0.04 -1 -1 33980 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67520 31 32 413 333 1 182 76 17 17 289 -1 unnamed_device 27.2 MiB 1.74 735 13196 5631 6832 733 65.9 MiB 0.12 0.00 3.72384 -110.565 -3.72384 3.72384 1.12 0.000580366 0.000522424 0.0537339 0.0489026 46 2644 31 6.95648e+06 188184 828058. 2865.25 3.18 0.209437 0.183436 28066 200906 -1 1717 23 1457 2265 158245 37932 3.90056 3.90056 -137.586 -3.90056 0 0 1.01997e+06 3529.29 0.44 0.08 0.20 -1 -1 0.44 0.0327467 0.0291003 76 86 31 31 89 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 11.96 vpr 65.87 MiB 0.03 7440 -1 -1 1 0.04 -1 -1 34080 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67448 31 32 391 309 1 185 88 17 17 289 -1 unnamed_device 27.2 MiB 0.84 871 15493 4407 9000 2086 65.9 MiB 0.13 0.00 2.6273 -95.9663 -2.6273 2.6273 1.08 0.000528312 0.000481158 0.051397 0.0467376 36 2866 50 6.95648e+06 361892 648988. 2245.63 7.47 0.227732 0.199882 26050 158493 -1 2222 25 1902 2882 287918 64014 3.64617 3.64617 -127.83 -3.64617 0 0 828058. 2865.25 0.37 0.11 0.15 -1 -1 0.37 0.03462 0.03074 85 58 60 31 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 8.62 vpr 66.00 MiB 0.03 7152 -1 -1 1 0.04 -1 -1 34356 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67580 32 32 407 319 1 190 90 17 17 289 -1 unnamed_device 27.2 MiB 0.50 764 11547 4368 5758 1421 66.0 MiB 0.09 0.00 3.16669 -114.068 -3.16669 3.16669 1.11 0.000563266 0.000508544 0.0359361 0.0327021 48 2634 33 6.95648e+06 376368 865456. 2994.66 4.24 0.203287 0.179155 28354 207349 -1 2035 28 2223 3436 391910 103442 4.36886 4.36886 -157.384 -4.36886 0 0 1.05005e+06 3633.38 0.48 0.15 0.21 -1 -1 0.48 0.0415946 0.0370533 86 42 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 28.59 vpr 65.93 MiB 0.03 7496 -1 -1 1 0.04 -1 -1 33952 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67508 32 32 496 380 1 222 95 17 17 289 -1 unnamed_device 27.6 MiB 1.07 1064 13055 3624 7275 2156 65.9 MiB 0.12 0.00 3.32935 -122.578 -3.32935 3.32935 1.09 0.00067496 0.00061301 0.0473366 0.043198 42 3334 34 6.95648e+06 448746 744469. 2576.02 23.78 0.388639 0.338677 27202 183097 -1 2601 23 2225 3354 311735 62698 4.15561 4.15561 -155.747 -4.15561 0 0 949917. 3286.91 0.42 0.12 0.18 -1 -1 0.42 0.0406139 0.0363956 104 91 62 32 96 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 7.06 vpr 65.46 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 33992 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67028 31 32 305 250 1 152 74 17 17 289 -1 unnamed_device 26.7 MiB 0.67 824 9064 3727 5107 230 65.5 MiB 0.07 0.00 2.84796 -103.992 -2.84796 2.84796 1.06 0.000444585 0.000404106 0.0299478 0.0273782 36 2228 31 6.95648e+06 159232 648988. 2245.63 2.89 0.132696 0.116644 26050 158493 -1 1865 21 1387 1937 183808 37291 3.43957 3.43957 -133.223 -3.43957 0 0 828058. 2865.25 0.40 0.09 0.16 -1 -1 0.40 0.0296629 0.0267562 62 24 62 31 31 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 11.68 vpr 65.92 MiB 0.03 7344 -1 -1 1 0.04 -1 -1 34168 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67504 31 32 395 311 1 188 90 17 17 289 -1 unnamed_device 27.2 MiB 0.65 760 12954 5330 6993 631 65.9 MiB 0.11 0.00 3.5328 -117.17 -3.5328 3.5328 1.11 0.000615359 0.000564978 0.0443054 0.0404815 60 2024 24 6.95648e+06 390843 1.01997e+06 3529.29 7.08 0.297678 0.260366 30658 258169 -1 1641 22 1669 2562 199209 42831 3.86582 3.86582 -138.141 -3.86582 0 0 1.27783e+06 4421.56 0.56 0.09 0.27 -1 -1 0.56 0.0315816 0.0281297 86 59 62 31 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 10.94 vpr 65.50 MiB 0.02 7288 -1 -1 1 0.04 -1 -1 33916 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67068 32 32 397 313 1 188 90 17 17 289 -1 unnamed_device 26.7 MiB 0.83 817 14361 4548 7141 2672 65.5 MiB 0.12 0.00 2.79476 -96.8542 -2.79476 2.79476 1.08 0.000610697 0.000555486 0.0472815 0.0431255 40 2977 47 6.95648e+06 376368 706193. 2443.58 6.44 0.245777 0.217471 26914 176310 -1 2389 23 1812 2964 320058 68438 3.38457 3.38457 -128.639 -3.38457 0 0 926341. 3205.33 0.43 0.12 0.17 -1 -1 0.43 0.0400859 0.0358733 85 54 62 32 62 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 30.05 vpr 65.50 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33604 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 345 257 1 187 77 17 17 289 -1 unnamed_device 27.1 MiB 0.96 780 7901 3204 4446 251 65.5 MiB 0.08 0.00 3.03039 -111.418 -3.03039 3.03039 1.12 0.000543055 0.000493017 0.0315126 0.0287716 40 3444 43 6.95648e+06 188184 706193. 2443.58 25.41 0.365334 0.31972 26914 176310 -1 2698 24 2122 3590 474608 100445 4.70696 4.70696 -171.252 -4.70696 0 0 926341. 3205.33 0.39 0.14 0.16 -1 -1 0.39 0.031999 0.0286477 78 -1 128 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 9.57 vpr 65.88 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 33852 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67456 32 32 424 343 1 182 87 17 17 289 -1 unnamed_device 27.1 MiB 1.65 707 12567 4353 6177 2037 65.9 MiB 0.10 0.00 2.5503 -92.1642 -2.5503 2.5503 1.11 0.00061069 0.000548092 0.0444416 0.0404394 46 2411 31 6.95648e+06 332941 828058. 2865.25 4.20 0.21056 0.1854 28066 200906 -1 1755 20 1545 2299 165772 38834 3.20437 3.20437 -121.735 -3.20437 0 0 1.01997e+06 3529.29 0.48 0.09 0.19 -1 -1 0.48 0.0364279 0.0327947 81 81 25 25 96 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 9.33 vpr 65.82 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 33640 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 32 32 395 311 1 186 92 17 17 289 -1 unnamed_device 27.1 MiB 0.90 871 13133 3713 6981 2439 65.8 MiB 0.11 0.00 2.6023 -96.9969 -2.6023 2.6023 1.09 0.000629337 0.000567127 0.0404167 0.0368195 44 2420 24 6.95648e+06 405319 787024. 2723.27 4.67 0.262645 0.228952 27778 195446 -1 1866 21 1452 2261 151568 32846 3.09187 3.09187 -118.51 -3.09187 0 0 997811. 3452.63 0.47 0.08 0.20 -1 -1 0.47 0.0344349 0.0310405 85 58 64 32 60 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 7.90 vpr 66.08 MiB 0.03 7116 -1 -1 1 0.04 -1 -1 34052 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67668 32 32 405 318 1 192 92 17 17 289 -1 unnamed_device 27.3 MiB 0.54 828 15410 5283 8174 1953 66.1 MiB 0.12 0.00 2.6646 -97.6585 -2.6646 2.6646 1.12 0.000632768 0.000574774 0.0469469 0.0426805 44 2775 50 6.95648e+06 405319 787024. 2723.27 3.60 0.21015 0.185055 27778 195446 -1 2040 24 2017 2965 224263 50374 3.15417 3.15417 -128.238 -3.15417 0 0 997811. 3452.63 0.44 0.10 0.20 -1 -1 0.44 0.0371865 0.0331172 88 61 63 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 7.90 vpr 65.54 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 34140 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 32 32 376 288 1 186 92 17 17 289 -1 unnamed_device 27.0 MiB 0.68 813 16238 5039 9230 1969 65.5 MiB 0.14 0.00 3.16669 -113.478 -3.16669 3.16669 1.09 0.000555906 0.000505627 0.0490781 0.04467 46 2389 48 6.95648e+06 405319 828058. 2865.25 3.42 0.214007 0.18748 28066 200906 -1 1869 23 2204 3570 237591 53898 4.01016 4.01016 -149.275 -4.01016 0 0 1.01997e+06 3529.29 0.45 0.10 0.20 -1 -1 0.45 0.03178 0.0281378 85 21 96 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 7.61 vpr 65.96 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 34276 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67548 32 32 407 319 1 189 94 17 17 289 -1 unnamed_device 27.2 MiB 1.00 857 12235 3021 7796 1418 66.0 MiB 0.11 0.00 3.08969 -114.316 -3.08969 3.08969 1.14 0.000617585 0.00055791 0.0387501 0.0351573 38 2746 27 6.95648e+06 434271 678818. 2348.85 2.82 0.168251 0.147899 26626 170182 -1 2095 24 2173 3094 264576 54871 4.04606 4.04606 -150.57 -4.04606 0 0 902133. 3121.57 0.39 0.11 0.17 -1 -1 0.39 0.0350443 0.0311908 88 50 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 10.47 vpr 65.78 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 34208 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67360 31 32 449 367 1 185 88 17 17 289 -1 unnamed_device 27.5 MiB 1.32 967 10813 4019 5155 1639 65.8 MiB 0.10 0.00 3.56395 -115.065 -3.56395 3.56395 1.09 0.000676613 0.000615289 0.0400307 0.0363667 44 3051 26 6.95648e+06 361892 787024. 2723.27 5.50 0.262619 0.228301 27778 195446 -1 2346 21 1529 2523 211630 42966 4.22302 4.22302 -144.922 -4.22302 0 0 997811. 3452.63 0.43 0.09 0.20 -1 -1 0.43 0.0313411 0.0278152 84 110 0 0 122 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 8.35 vpr 66.04 MiB 0.02 7520 -1 -1 1 0.04 -1 -1 34136 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67620 32 32 432 346 1 187 77 17 17 289 -1 unnamed_device 27.2 MiB 1.07 873 11487 4789 6360 338 66.0 MiB 0.10 0.00 3.08604 -106.745 -3.08604 3.08604 1.06 0.000621532 0.000535758 0.0458704 0.0415419 44 3166 45 6.95648e+06 188184 787024. 2723.27 3.60 0.243726 0.21527 27778 195446 -1 2282 33 2654 4586 343904 74964 4.22306 4.22306 -145.525 -4.22306 0 0 997811. 3452.63 0.47 0.15 0.18 -1 -1 0.47 0.050542 0.0450713 78 86 32 32 94 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 8.74 vpr 65.31 MiB 0.02 6968 -1 -1 1 0.03 -1 -1 34152 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66880 32 32 312 255 1 160 87 17 17 289 -1 unnamed_device 26.9 MiB 0.17 664 11223 4561 6257 405 65.3 MiB 0.08 0.00 2.6834 -96.1081 -2.6834 2.6834 1.08 0.000474244 0.000432352 0.030879 0.0279482 40 2362 30 6.95648e+06 332941 706193. 2443.58 4.95 0.178363 0.157768 26914 176310 -1 1785 26 1499 2368 344873 98672 3.36747 3.36747 -127.407 -3.36747 0 0 926341. 3205.33 0.42 0.13 0.17 -1 -1 0.42 0.0339263 0.0303009 71 20 63 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 10.37 vpr 65.13 MiB 0.02 6988 -1 -1 1 0.04 -1 -1 33740 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66696 32 32 370 314 1 158 74 17 17 289 -1 unnamed_device 26.6 MiB 1.10 588 8754 3219 4446 1089 65.1 MiB 0.07 0.00 2.5393 -92.4485 -2.5393 2.5393 1.09 0.000511537 0.000466049 0.032262 0.0293398 46 1984 21 6.95648e+06 144757 828058. 2865.25 5.63 0.23358 0.202679 28066 200906 -1 1631 21 1325 1947 165320 36910 3.02262 3.02262 -118.819 -3.02262 0 0 1.01997e+06 3529.29 0.48 0.08 0.19 -1 -1 0.48 0.0313556 0.0281273 63 91 0 0 94 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 10.72 vpr 66.03 MiB 0.03 7364 -1 -1 1 0.04 -1 -1 34176 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67616 32 32 469 351 1 223 94 17 17 289 -1 unnamed_device 27.6 MiB 0.58 1063 13087 4539 7275 1273 66.0 MiB 0.11 0.00 3.77644 -134.137 -3.77644 3.77644 0.99 0.000629147 0.000577945 0.0423675 0.0386264 48 3134 27 6.95648e+06 434271 865456. 2994.66 6.49 0.305274 0.26641 28354 207349 -1 2519 26 2872 4540 417824 87994 5.50441 5.50441 -182.508 -5.50441 0 0 1.05005e+06 3633.38 0.45 0.14 0.21 -1 -1 0.45 0.040396 0.0358867 103 53 96 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 6.85 vpr 65.81 MiB 0.02 7336 -1 -1 1 0.04 -1 -1 34076 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67392 32 32 368 284 1 186 88 17 17 289 -1 unnamed_device 27.1 MiB 0.91 748 12178 4107 6527 1544 65.8 MiB 0.10 0.00 2.6445 -97.9601 -2.6445 2.6445 1.04 0.000585692 0.000533091 0.0376485 0.0343354 44 2081 27 6.95648e+06 347416 787024. 2723.27 2.39 0.172828 0.151222 27778 195446 -1 1597 21 1464 1866 130220 29190 3.00877 3.00877 -118.964 -3.00877 0 0 997811. 3452.63 0.44 0.07 0.19 -1 -1 0.44 0.0308115 0.0273968 83 31 92 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 8.43 vpr 65.22 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 33624 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 30 32 296 244 1 152 81 17 17 289 -1 unnamed_device 26.7 MiB 0.36 564 11631 4825 6255 551 65.2 MiB 0.08 0.00 2.6426 -90.0278 -2.6426 2.6426 1.00 0.000436111 0.000393352 0.0318796 0.0290578 40 2105 26 6.95648e+06 275038 706193. 2443.58 4.65 0.196336 0.170468 26914 176310 -1 1738 20 1267 1786 169824 37570 3.34357 3.34357 -119.487 -3.34357 0 0 926341. 3205.33 0.41 0.07 0.18 -1 -1 0.41 0.0250517 0.0223741 65 29 60 30 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 10.84 vpr 65.86 MiB 0.03 7532 -1 -1 1 0.04 -1 -1 34580 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67444 32 32 531 413 1 225 95 17 17 289 -1 unnamed_device 27.5 MiB 1.81 1039 14351 3487 9050 1814 65.9 MiB 0.13 0.00 3.74344 -131.999 -3.74344 3.74344 1.03 0.000679284 0.000611699 0.0507723 0.0460925 46 3011 43 6.95648e+06 448746 828058. 2865.25 5.32 0.246455 0.216063 28066 200906 -1 2329 23 2691 4022 286590 59355 4.76651 4.76651 -170.846 -4.76651 0 0 1.01997e+06 3529.29 0.44 0.12 0.20 -1 -1 0.44 0.0419406 0.0372244 103 109 32 32 128 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 8.12 vpr 65.79 MiB 0.02 7208 -1 -1 1 0.04 -1 -1 34080 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67368 32 32 376 288 1 187 92 17 17 289 -1 unnamed_device 27.1 MiB 1.07 840 15617 4919 8071 2627 65.8 MiB 0.11 0.00 3.18389 -115.688 -3.18389 3.18389 1.03 0.00056164 0.000509606 0.0416607 0.0380443 40 2540 41 6.95648e+06 405319 706193. 2443.58 3.57 0.201299 0.177965 26914 176310 -1 2106 20 1923 2654 260397 55904 4.10426 4.10426 -153.139 -4.10426 0 0 926341. 3205.33 0.39 0.10 0.17 -1 -1 0.39 0.0301407 0.0269725 86 31 96 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 10.67 vpr 65.04 MiB 0.02 6992 -1 -1 1 0.03 -1 -1 33936 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 32 32 283 225 1 158 88 17 17 289 -1 unnamed_device 26.4 MiB 0.31 588 14128 4609 6783 2736 65.0 MiB 0.10 0.00 2.45795 -91.5353 -2.45795 2.45795 1.08 0.00048448 0.000441849 0.036058 0.0327715 54 1782 37 6.95648e+06 347416 949917. 3286.91 6.64 0.242796 0.211859 29506 232905 -1 1384 21 1397 2159 203020 59417 3.00252 3.00252 -114.09 -3.00252 0 0 1.17392e+06 4061.99 0.52 0.08 0.23 -1 -1 0.52 0.0238728 0.0211768 70 -1 96 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 7.70 vpr 65.67 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34468 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 32 32 438 320 1 225 95 17 17 289 -1 unnamed_device 27.3 MiB 0.49 952 14999 3951 8768 2280 65.7 MiB 0.14 0.00 3.77644 -131.905 -3.77644 3.77644 1.09 0.000722819 0.000658285 0.0510565 0.0466377 46 3165 31 6.95648e+06 448746 828058. 2865.25 3.47 0.196289 0.174052 28066 200906 -1 2489 23 2666 4249 374005 75777 5.68161 5.68161 -182.324 -5.68161 0 0 1.01997e+06 3529.29 0.43 0.13 0.19 -1 -1 0.43 0.0367982 0.0329925 105 26 128 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 8.69 vpr 65.17 MiB 0.02 7144 -1 -1 1 0.03 -1 -1 33700 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 26.7 MiB 0.38 773 9994 4157 5636 201 65.2 MiB 0.08 0.00 2.42065 -97.1473 -2.42065 2.42065 1.10 0.000480644 0.000441418 0.033547 0.0306182 40 2119 21 6.95648e+06 144757 706193. 2443.58 4.78 0.198145 0.173313 26914 176310 -1 1864 19 1429 1972 186612 40695 3.37972 3.37972 -130.49 -3.37972 0 0 926341. 3205.33 0.39 0.07 0.17 -1 -1 0.39 0.0223212 0.0199262 62 -1 96 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 6.84 vpr 64.97 MiB 0.03 7236 -1 -1 1 0.03 -1 -1 34004 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 30 32 296 244 1 151 83 17 17 289 -1 unnamed_device 26.4 MiB 0.81 699 13043 5450 7041 552 65.0 MiB 0.09 0.00 2.5833 -90.0474 -2.5833 2.5833 1.02 0.000447709 0.000407287 0.0353067 0.0321338 44 1984 50 6.95648e+06 303989 787024. 2723.27 2.59 0.144599 0.126637 27778 195446 -1 1470 18 999 1513 114973 25730 3.14982 3.14982 -115.49 -3.14982 0 0 997811. 3452.63 0.41 0.06 0.19 -1 -1 0.41 0.0208959 0.0186547 65 29 60 30 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 9.97 vpr 65.73 MiB 0.03 7312 -1 -1 1 0.04 -1 -1 33832 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67304 29 32 393 319 1 174 81 17 17 289 -1 unnamed_device 27.0 MiB 1.39 782 13206 5571 7050 585 65.7 MiB 0.11 0.00 2.81496 -90.9115 -2.81496 2.81496 1.04 0.000534216 0.000485486 0.0453048 0.0413214 40 2625 27 6.95648e+06 289514 706193. 2443.58 5.05 0.25451 0.221511 26914 176310 -1 2198 20 1647 2611 263584 60144 3.92492 3.92492 -121.64 -3.92492 0 0 926341. 3205.33 0.40 0.10 0.17 -1 -1 0.40 0.030163 0.0270584 77 81 29 29 85 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 7.76 vpr 65.92 MiB 0.02 7364 -1 -1 1 0.04 -1 -1 34216 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67500 32 32 407 319 1 186 77 17 17 289 -1 unnamed_device 27.2 MiB 0.94 711 12139 5145 6560 434 65.9 MiB 0.10 0.00 3.15569 -115.348 -3.15569 3.15569 1.06 0.000619607 0.000559457 0.0459775 0.0418639 44 2597 44 6.95648e+06 188184 787024. 2723.27 3.12 0.210991 0.184229 27778 195446 -1 2006 65 3642 5280 620131 134047 4.65056 4.65056 -167.607 -4.65056 0 0 997811. 3452.63 0.42 0.24 0.19 -1 -1 0.42 0.0779485 0.0680837 78 53 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 12.34 vpr 65.77 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 34308 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67348 32 32 407 319 1 190 89 17 17 289 -1 unnamed_device 27.1 MiB 1.50 793 15335 6381 8326 628 65.8 MiB 0.13 0.00 3.12869 -112.99 -3.12869 3.12869 1.05 0.000535477 0.000480847 0.0512728 0.0462774 56 2323 27 6.95648e+06 361892 973134. 3367.25 7.04 0.333939 0.292228 29794 239141 -1 2016 20 1792 2781 321147 67854 4.07906 4.07906 -151.477 -4.07906 0 0 1.19926e+06 4149.71 0.53 0.11 0.24 -1 -1 0.53 0.0304747 0.0273337 85 55 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 7.86 vpr 65.48 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 34208 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67056 32 32 345 287 1 155 88 17 17 289 -1 unnamed_device 26.9 MiB 1.05 569 10423 3224 5113 2086 65.5 MiB 0.07 0.00 2.50195 -92.4518 -2.50195 2.50195 1.01 0.000474118 0.000433761 0.0288922 0.0262592 56 1624 26 6.95648e+06 347416 973134. 3367.25 3.24 0.155266 0.13641 29794 239141 -1 1436 26 1447 2234 285733 84961 3.22192 3.22192 -117.216 -3.22192 0 0 1.19926e+06 4149.71 0.49 0.12 0.22 -1 -1 0.49 0.0340491 0.0301926 69 55 32 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 7.64 vpr 65.28 MiB 0.02 7384 -1 -1 1 0.04 -1 -1 33808 -1 -1 10 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66848 31 32 353 302 1 147 73 17 17 289 -1 unnamed_device 26.8 MiB 1.54 555 10865 4566 5787 512 65.3 MiB 0.08 0.00 2.80096 -90.6898 -2.80096 2.80096 1.09 0.000475155 0.000432842 0.0391936 0.0356643 42 2098 48 6.95648e+06 144757 744469. 2576.02 2.54 0.180042 0.157889 27202 183097 -1 1406 30 1593 2455 193405 46001 3.09327 3.09327 -113.851 -3.09327 0 0 949917. 3286.91 0.40 0.09 0.18 -1 -1 0.40 0.0336457 0.029522 59 82 0 0 89 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 10.10 vpr 65.40 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 34124 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 30 32 374 297 1 178 84 17 17 289 -1 unnamed_device 27.0 MiB 1.01 748 12711 5302 6912 497 65.4 MiB 0.11 0.00 2.6866 -93.5828 -2.6866 2.6866 1.05 0.0005527 0.000501421 0.0411185 0.0374468 44 2108 22 6.95648e+06 318465 787024. 2723.27 5.47 0.275975 0.240443 27778 195446 -1 1752 22 1532 2288 178645 39058 2.97277 2.97277 -112.971 -2.97277 0 0 997811. 3452.63 0.44 0.08 0.19 -1 -1 0.44 0.0286665 0.0254087 79 52 60 30 57 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 9.80 vpr 65.30 MiB 0.03 7296 -1 -1 1 0.04 -1 -1 33912 -1 -1 16 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 28 32 332 260 1 172 76 17 17 289 -1 unnamed_device 26.7 MiB 0.97 688 10156 4307 5315 534 65.3 MiB 0.08 0.00 3.68925 -107.014 -3.68925 3.68925 1.05 0.000504682 0.000458725 0.0348082 0.0318145 38 2705 33 6.95648e+06 231611 678818. 2348.85 5.40 0.166742 0.145614 26626 170182 -1 1955 22 1809 2648 219345 47320 4.13356 4.13356 -136.539 -4.13356 0 0 902133. 3121.57 0.39 0.09 0.17 -1 -1 0.39 0.029943 0.0268264 74 20 84 28 28 28 +fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 7.07 vpr 65.36 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34188 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 30 32 325 273 1 151 74 17 17 289 -1 unnamed_device 26.8 MiB 0.85 595 9994 4251 5320 423 65.4 MiB 0.08 0.00 2.5905 -90.2125 -2.5905 2.5905 1.04 0.000479757 0.000435138 0.0338888 0.0309095 44 1958 46 6.95648e+06 173708 787024. 2723.27 2.74 0.145669 0.127509 27778 195446 -1 1325 21 1325 1775 143647 32202 3.22147 3.22147 -111.661 -3.22147 0 0 997811. 3452.63 0.42 0.07 0.19 -1 -1 0.42 0.0252643 0.0223687 61 58 30 30 60 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 10.25 vpr 65.57 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 33600 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67144 32 32 361 308 1 152 74 17 17 289 -1 unnamed_device 26.6 MiB 1.26 647 10149 4231 5612 306 65.6 MiB 0.08 0.00 2.5753 -88.177 -2.5753 2.5753 1.03 0.000484351 0.000435447 0.0367797 0.0334374 46 2123 39 6.95648e+06 144757 828058. 2865.25 5.52 0.239797 0.208147 28066 200906 -1 1678 21 1194 1864 158075 36900 3.37852 3.37852 -120.79 -3.37852 0 0 1.01997e+06 3529.29 0.43 0.07 0.19 -1 -1 0.43 0.0253892 0.0224404 60 88 0 0 91 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 7.17 vpr 65.14 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 34160 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66708 31 32 335 251 1 188 88 17 17 289 -1 unnamed_device 26.8 MiB 0.17 774 10228 3094 4923 2211 65.1 MiB 0.08 0.00 3.37335 -115.517 -3.37335 3.37335 1.07 0.000510933 0.000467666 0.0302481 0.0276413 56 2213 26 6.95648e+06 361892 973134. 3367.25 3.32 0.161848 0.142027 29794 239141 -1 1922 23 1821 2754 260744 61348 4.16842 4.16842 -148.657 -4.16842 0 0 1.19926e+06 4149.71 0.51 0.10 0.24 -1 -1 0.51 0.0301187 0.0268625 86 -1 124 31 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 12.94 vpr 65.97 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34276 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67556 32 32 407 319 1 189 91 17 17 289 -1 unnamed_device 27.2 MiB 1.23 961 16819 4927 9815 2077 66.0 MiB 0.14 0.00 3.15569 -119.151 -3.15569 3.15569 1.04 0.000541475 0.000490249 0.0519406 0.0471458 38 3441 45 6.95648e+06 390843 678818. 2348.85 8.16 0.218779 0.191441 26626 170182 -1 2469 21 1899 3168 295090 59311 4.35786 4.35786 -157.826 -4.35786 0 0 902133. 3121.57 0.40 0.11 0.17 -1 -1 0.40 0.033316 0.0298987 86 57 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 10.59 vpr 65.84 MiB 0.02 7408 -1 -1 1 0.04 -1 -1 34040 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67424 32 32 407 319 1 187 90 17 17 289 -1 unnamed_device 27.1 MiB 1.47 851 10542 3742 5215 1585 65.8 MiB 0.08 0.00 3.18689 -113.996 -3.18689 3.18689 0.99 0.000569406 0.000513714 0.031537 0.0288129 54 2502 23 6.95648e+06 376368 949917. 3286.91 5.51 0.249631 0.219797 29506 232905 -1 1924 22 1796 2845 237279 50005 4.06506 4.06506 -148.979 -4.06506 0 0 1.17392e+06 4061.99 0.50 0.10 0.23 -1 -1 0.50 0.0339817 0.0304862 85 62 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 9.30 vpr 65.91 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 34124 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67492 32 32 399 315 1 188 91 17 17 289 -1 unnamed_device 27.2 MiB 1.00 810 15187 5773 7385 2029 65.9 MiB 0.11 0.00 3.23634 -109.476 -3.23634 3.23634 1.04 0.000549359 0.000498299 0.0449251 0.0408531 48 2565 42 6.95648e+06 390843 865456. 2994.66 4.68 0.201953 0.176777 28354 207349 -1 2137 24 1796 2972 316507 71861 4.07146 4.07146 -145.248 -4.07146 0 0 1.05005e+06 3633.38 0.45 0.11 0.20 -1 -1 0.45 0.0333783 0.0296499 86 62 60 30 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 7.52 vpr 64.90 MiB 0.02 7116 -1 -1 1 0.03 -1 -1 33760 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 30 32 296 244 1 150 74 17 17 289 -1 unnamed_device 26.4 MiB 0.77 601 8134 2712 3908 1514 64.9 MiB 0.06 0.00 2.79296 -91.0963 -2.79296 2.79296 1.02 0.000464823 0.000422942 0.0264621 0.0241812 52 1829 41 6.95648e+06 173708 926341. 3205.33 3.17 0.152393 0.132827 29218 227130 -1 1253 21 1151 1808 138074 33918 3.01162 3.01162 -104.236 -3.01162 0 0 1.14541e+06 3963.36 0.50 0.07 0.22 -1 -1 0.50 0.0251753 0.0225632 62 29 60 30 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 9.44 vpr 65.89 MiB 0.02 7428 -1 -1 1 0.04 -1 -1 33776 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67476 30 32 383 303 1 183 77 17 17 289 -1 unnamed_device 27.2 MiB 0.78 700 11650 4869 6257 524 65.9 MiB 0.10 0.00 3.3885 -110.958 -3.3885 3.3885 1.07 0.000524996 0.000477235 0.0432039 0.0393803 40 2471 26 6.95648e+06 217135 706193. 2443.58 5.13 0.267433 0.233809 26914 176310 -1 2034 21 1963 2694 228996 52216 4.29372 4.29372 -155.149 -4.29372 0 0 926341. 3205.33 0.38 0.09 0.17 -1 -1 0.38 0.0307772 0.027569 78 58 60 30 60 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 8.56 vpr 66.10 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34244 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67688 32 32 469 381 1 190 95 17 17 289 -1 unnamed_device 27.5 MiB 1.45 903 14783 5997 8201 585 66.1 MiB 0.12 0.00 3.17289 -116.806 -3.17289 3.17289 1.07 0.000603258 0.000546286 0.0455695 0.0413509 42 3015 45 6.95648e+06 448746 744469. 2576.02 3.41 0.207083 0.181156 27202 183097 -1 2240 28 2399 3820 466313 117974 3.95196 3.95196 -153.607 -3.95196 0 0 949917. 3286.91 0.42 0.16 0.17 -1 -1 0.42 0.0421781 0.0375588 88 106 0 0 128 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 8.01 vpr 65.88 MiB 0.02 7320 -1 -1 1 0.04 -1 -1 34032 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67456 31 32 425 341 1 182 85 17 17 289 -1 unnamed_device 27.1 MiB 1.03 792 13291 5546 7076 669 65.9 MiB 0.11 0.00 3.3683 -112.062 -3.3683 3.3683 1.06 0.000669853 0.000595314 0.0466343 0.0425786 46 2514 38 6.95648e+06 318465 828058. 2865.25 3.35 0.229945 0.203928 28066 200906 -1 1835 30 2098 3158 309149 89348 3.98312 3.98312 -143.446 -3.98312 0 0 1.01997e+06 3529.29 0.44 0.13 0.18 -1 -1 0.44 0.043776 0.0390023 81 79 31 31 93 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 9.40 vpr 65.91 MiB 0.02 7324 -1 -1 1 0.04 -1 -1 34148 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67488 30 32 404 328 1 173 80 17 17 289 -1 unnamed_device 27.2 MiB 1.74 832 14184 6082 7610 492 65.9 MiB 0.12 0.00 2.82586 -96.8802 -2.82586 2.82586 1.08 0.00059012 0.000538677 0.0532913 0.0487149 38 2627 48 6.95648e+06 260562 678818. 2348.85 4.13 0.220954 0.193831 26626 170182 -1 2100 19 1552 2321 214080 43859 3.47687 3.47687 -126.49 -3.47687 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0281766 0.0251864 75 83 26 26 90 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 12.36 vpr 65.56 MiB 0.03 7092 -1 -1 1 0.04 -1 -1 34132 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 32 32 407 319 1 193 77 17 17 289 -1 unnamed_device 26.8 MiB 1.47 790 12954 4592 6250 2112 65.6 MiB 0.11 0.00 3.03339 -110.592 -3.03339 3.03339 1.04 0.000561163 0.000510825 0.0483537 0.0441273 64 2096 22 6.95648e+06 188184 1.08113e+06 3740.92 7.09 0.273284 0.240171 31522 276338 -1 1632 22 1701 2816 221501 51732 3.49906 3.49906 -131.146 -3.49906 0 0 1.36325e+06 4717.13 0.56 0.09 0.27 -1 -1 0.56 0.0333156 0.0299392 81 58 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 8.70 vpr 65.73 MiB 0.03 7440 -1 -1 1 0.04 -1 -1 34036 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67312 29 32 387 316 1 171 83 17 17 289 -1 unnamed_device 27.1 MiB 1.16 679 10703 3715 4883 2105 65.7 MiB 0.09 0.00 2.6676 -86.1022 -2.6676 2.6676 1.06 0.000570408 0.000518397 0.0350515 0.0319458 38 2352 50 6.95648e+06 318465 678818. 2348.85 4.07 0.190896 0.166401 26626 170182 -1 1603 21 1594 2370 153080 35099 3.06662 3.06662 -110.611 -3.06662 0 0 902133. 3121.57 0.37 0.07 0.16 -1 -1 0.37 0.0277749 0.0246676 77 81 26 26 85 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 7.15 vpr 65.10 MiB 0.02 7040 -1 -1 1 0.03 -1 -1 34072 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 32 32 283 225 1 154 74 17 17 289 -1 unnamed_device 26.6 MiB 1.05 566 10614 4394 5789 431 65.1 MiB 0.08 0.00 2.43165 -92.5942 -2.43165 2.43165 1.02 0.000430722 0.000392202 0.0335164 0.0305701 44 2320 30 6.95648e+06 144757 787024. 2723.27 2.60 0.151327 0.132667 27778 195446 -1 1598 21 1332 2036 173341 40080 3.88442 3.88442 -138.149 -3.88442 0 0 997811. 3452.63 0.45 0.08 0.19 -1 -1 0.45 0.0256662 0.0230459 61 -1 96 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 11.27 vpr 65.73 MiB 0.02 7156 -1 -1 1 0.04 -1 -1 33784 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67312 32 32 407 319 1 187 88 17 17 289 -1 unnamed_device 27.2 MiB 3.41 1019 14518 6066 8240 212 65.7 MiB 0.13 0.00 3.14769 -120.356 -3.14769 3.14769 1.03 0.000636364 0.000577831 0.0505244 0.0462114 44 2785 31 6.95648e+06 347416 787024. 2723.27 4.19 0.20898 0.184182 27778 195446 -1 2266 23 1968 2979 270429 52244 3.98196 3.98196 -151.285 -3.98196 0 0 997811. 3452.63 0.46 0.10 0.19 -1 -1 0.46 0.034982 0.0314182 84 62 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 7.08 vpr 65.88 MiB 0.03 7396 -1 -1 1 0.04 -1 -1 33804 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67464 32 32 407 319 1 193 77 17 17 289 -1 unnamed_device 27.2 MiB 0.61 811 14095 6003 7526 566 65.9 MiB 0.12 0.00 3.05859 -113.458 -3.05859 3.05859 1.08 0.000614212 0.000560906 0.0555727 0.0506553 62 2080 34 6.95648e+06 188184 1.05005e+06 3633.38 2.58 0.202006 0.177243 30946 263737 -1 1652 22 1695 2349 178268 40122 4.02116 4.02116 -144.183 -4.02116 0 0 1.30136e+06 4502.97 0.55 0.08 0.27 -1 -1 0.55 0.0300044 0.0266324 81 62 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 7.96 vpr 65.04 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 33868 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 32 32 315 267 1 152 75 17 17 289 -1 unnamed_device 26.6 MiB 1.18 621 8765 3043 4406 1316 65.0 MiB 0.07 0.00 2.75376 -89.6353 -2.75376 2.75376 1.07 0.0004788 0.000435623 0.0292779 0.0267705 40 1950 26 6.95648e+06 159232 706193. 2443.58 3.18 0.151141 0.131923 26914 176310 -1 1762 21 1224 1718 184154 45426 3.16523 3.16523 -117.349 -3.16523 0 0 926341. 3205.33 0.40 0.08 0.17 -1 -1 0.40 0.02621 0.0234524 60 47 32 32 54 27 +fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 7.54 vpr 65.00 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 33904 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 31 32 275 220 1 154 74 17 17 289 -1 unnamed_device 26.5 MiB 0.30 784 8289 3011 3915 1363 65.0 MiB 0.07 0.00 2.6756 -103.137 -2.6756 2.6756 1.11 0.000417732 0.000380103 0.0269709 0.0246474 36 2288 26 6.95648e+06 159232 648988. 2245.63 3.68 0.144575 0.127406 26050 158493 -1 1937 22 1475 2071 215243 42076 3.13102 3.13102 -129.485 -3.13102 0 0 828058. 2865.25 0.37 0.08 0.15 -1 -1 0.37 0.025897 0.0231239 63 -1 93 31 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 7.72 vpr 65.61 MiB 0.02 7400 -1 -1 1 0.04 -1 -1 33640 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67188 32 32 381 303 1 180 83 17 17 289 -1 unnamed_device 27.2 MiB 1.31 731 14303 6027 7760 516 65.6 MiB 0.12 0.00 3.07684 -104.371 -3.07684 3.07684 1.09 0.00057661 0.000528493 0.04946 0.0450842 62 1808 29 6.95648e+06 275038 1.05005e+06 3633.38 2.55 0.184622 0.161955 30946 263737 -1 1406 20 1375 1825 107510 25583 3.53522 3.53522 -122.775 -3.53522 0 0 1.30136e+06 4502.97 0.53 0.06 0.27 -1 -1 0.53 0.0281284 0.0250633 78 56 60 32 58 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 24.27 vpr 65.75 MiB 0.03 7468 -1 -1 1 0.04 -1 -1 33832 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67332 32 32 406 330 1 182 82 17 17 289 -1 unnamed_device 27.1 MiB 0.89 805 13254 5121 6485 1648 65.8 MiB 0.11 0.00 3.18505 -105.843 -3.18505 3.18505 1.08 0.000565358 0.000518408 0.0478446 0.0436242 50 2745 40 6.95648e+06 260562 902133. 3121.57 19.63 0.357995 0.312099 28642 213929 -1 2043 29 2028 2933 294097 79430 3.81266 3.81266 -137.322 -3.81266 0 0 1.08113e+06 3740.92 0.47 0.12 0.21 -1 -1 0.47 0.0402937 0.0359617 78 81 28 28 88 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 8.60 vpr 65.85 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 33664 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67428 32 32 399 285 1 218 91 17 17 289 -1 unnamed_device 27.3 MiB 0.48 1080 6415 1297 4942 176 65.8 MiB 0.07 0.00 3.73059 -130.235 -3.73059 3.73059 1.08 0.000621191 0.00056572 0.0228523 0.02094 46 3180 23 6.95648e+06 390843 828058. 2865.25 4.37 0.179885 0.158051 28066 200906 -1 2425 24 2060 3395 287049 62118 4.63496 4.63496 -164.809 -4.63496 0 0 1.01997e+06 3529.29 0.46 0.11 0.20 -1 -1 0.46 0.0361948 0.0324808 100 -1 156 32 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 11.38 vpr 65.76 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34172 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67336 30 32 371 295 1 176 80 17 17 289 -1 unnamed_device 27.1 MiB 1.07 723 14872 6482 7565 825 65.8 MiB 0.12 0.00 2.88706 -94.8461 -2.88706 2.88706 1.09 0.000527416 0.000482671 0.0513193 0.0468151 54 1864 27 6.95648e+06 260562 949917. 3286.91 6.53 0.312944 0.275912 29506 232905 -1 1521 23 1483 2095 175431 43661 3.33933 3.33933 -119.369 -3.33933 0 0 1.17392e+06 4061.99 0.51 0.09 0.22 -1 -1 0.51 0.0340594 0.0302721 77 47 60 30 56 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 6.28 vpr 65.03 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 34260 -1 -1 15 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 27 32 269 226 1 137 74 17 17 289 -1 unnamed_device 26.6 MiB 0.71 623 9219 3786 4950 483 65.0 MiB 0.07 0.00 2.6742 -84.6424 -2.6742 2.6742 1.11 0.000406002 0.000369628 0.0283107 0.0258618 34 1779 32 6.95648e+06 217135 618332. 2139.56 2.06 0.140618 0.12267 25762 151098 -1 1422 24 1232 1512 147459 30702 3.08562 3.08562 -110.421 -3.08562 0 0 787024. 2723.27 0.36 0.07 0.15 -1 -1 0.36 0.0253458 0.0224499 57 26 54 27 27 27 +fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 8.33 vpr 65.66 MiB 0.03 7496 -1 -1 1 0.04 -1 -1 34212 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67240 32 32 493 378 1 222 94 17 17 289 -1 unnamed_device 27.3 MiB 0.75 1081 14791 4931 7536 2324 65.7 MiB 0.14 0.00 3.4105 -116.886 -3.4105 3.4105 1.10 0.000686961 0.000628225 0.0526629 0.0479343 48 3234 32 6.95648e+06 434271 865456. 2994.66 3.63 0.238379 0.21035 28354 207349 -1 2736 29 2719 4707 555096 129534 4.60046 4.60046 -154.534 -4.60046 0 0 1.05005e+06 3633.38 0.48 0.18 0.21 -1 -1 0.48 0.0469503 0.0416876 103 85 62 31 95 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 12.11 vpr 65.85 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 34304 -1 -1 14 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67428 31 32 455 371 1 187 77 17 17 289 -1 unnamed_device 27.6 MiB 4.51 686 8716 3535 4672 509 65.8 MiB 0.08 0.00 3.95134 -124.725 -3.95134 3.95134 1.09 0.000641645 0.000587079 0.0374988 0.0341137 50 2150 43 6.95648e+06 202660 902133. 3121.57 3.88 0.210895 0.184193 28642 213929 -1 1704 25 1691 2460 184555 45113 5.19271 5.19271 -160.78 -5.19271 0 0 1.08113e+06 3740.92 0.46 0.09 0.21 -1 -1 0.46 0.0346228 0.0306512 79 105 0 0 124 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 10.49 vpr 65.27 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 33604 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 32 32 355 304 1 147 74 17 17 289 -1 unnamed_device 26.5 MiB 3.05 576 11389 4673 6198 518 65.3 MiB 0.09 0.00 2.5155 -87.9453 -2.5155 2.5155 1.09 0.000530187 0.000484283 0.0421556 0.0384071 38 2066 38 6.95648e+06 144757 678818. 2348.85 3.82 0.190593 0.167559 26626 170182 -1 1547 29 1358 2099 203813 58555 3.22022 3.22022 -121.692 -3.22022 0 0 902133. 3121.57 0.38 0.10 0.17 -1 -1 0.38 0.0375374 0.0332318 58 86 0 0 89 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 7.94 vpr 65.53 MiB 0.03 7132 -1 -1 1 0.04 -1 -1 33716 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67104 32 32 364 282 1 188 86 17 17 289 -1 unnamed_device 27.1 MiB 0.46 755 11804 3744 5542 2518 65.5 MiB 0.09 0.00 3.4405 -113.598 -3.4405 3.4405 1.06 0.000617399 0.00055572 0.0380027 0.034646 56 2354 42 6.95648e+06 318465 973134. 3367.25 3.70 0.20072 0.176436 29794 239141 -1 1672 22 1713 2531 202771 48499 3.93802 3.93802 -139.284 -3.93802 0 0 1.19926e+06 4149.71 0.54 0.09 0.24 -1 -1 0.54 0.0328611 0.0294505 83 31 90 30 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 7.29 vpr 66.04 MiB 0.03 7560 -1 -1 1 0.04 -1 -1 34308 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67620 31 32 443 336 1 210 86 17 17 289 -1 unnamed_device 27.5 MiB 0.94 970 14261 5148 6994 2119 66.0 MiB 0.12 0.00 3.4515 -119.337 -3.4515 3.4515 1.09 0.000596381 0.000535099 0.051648 0.0470964 38 3279 36 6.95648e+06 332941 678818. 2348.85 2.67 0.214988 0.190929 26626 170182 -1 2244 24 2097 2878 237031 57400 4.44222 4.44222 -152.399 -4.44222 0 0 902133. 3121.57 0.40 0.12 0.16 -1 -1 0.40 0.0421019 0.0377838 95 50 87 31 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 7.96 vpr 65.38 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 33892 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 30 32 373 297 1 178 82 17 17 289 -1 unnamed_device 26.9 MiB 1.07 829 11830 4278 5421 2131 65.4 MiB 0.10 0.00 2.77276 -89.8261 -2.77276 2.77276 1.09 0.000561556 0.000512641 0.0412705 0.0377051 44 2693 27 6.95648e+06 289514 787024. 2723.27 3.18 0.186557 0.163748 27778 195446 -1 1902 24 1416 2340 174882 39399 3.11197 3.11197 -115.183 -3.11197 0 0 997811. 3452.63 0.44 0.09 0.20 -1 -1 0.44 0.035695 0.0318755 78 50 58 30 58 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 9.00 vpr 65.86 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34004 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67436 32 32 407 319 1 193 98 17 17 289 -1 unnamed_device 27.2 MiB 0.50 875 18548 7349 9049 2150 65.9 MiB 0.15 0.00 3.16669 -115.498 -3.16669 3.16669 1.12 0.000617186 0.000561078 0.0555669 0.0506661 40 2487 40 6.95648e+06 492173 706193. 2443.58 4.67 0.231739 0.204796 26914 176310 -1 2164 21 2019 2936 271886 56177 4.11646 4.11646 -152.115 -4.11646 0 0 926341. 3205.33 0.42 0.11 0.18 -1 -1 0.42 0.0349894 0.0314502 91 61 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 9.27 vpr 65.83 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 34032 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67408 32 32 405 318 1 192 95 17 17 289 -1 unnamed_device 27.0 MiB 0.51 929 17375 5846 8775 2754 65.8 MiB 0.14 0.00 2.5393 -97.1379 -2.5393 2.5393 1.09 0.000558393 0.000505146 0.05226 0.0474813 38 2468 26 6.95648e+06 448746 678818. 2348.85 5.10 0.288863 0.250974 26626 170182 -1 1960 23 1591 2169 168115 35867 3.23592 3.23592 -127.334 -3.23592 0 0 902133. 3121.57 0.39 0.08 0.17 -1 -1 0.39 0.032999 0.0293396 90 61 63 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 9.80 vpr 65.12 MiB 0.02 7272 -1 -1 1 0.04 -1 -1 33736 -1 -1 13 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 29 32 287 238 1 136 74 17 17 289 -1 unnamed_device 26.7 MiB 4.47 545 8599 3140 3474 1985 65.1 MiB 0.06 0.00 2.67856 -84.8689 -2.67856 2.67856 1.08 0.000404731 0.000367689 0.0274276 0.0250408 34 1575 49 6.95648e+06 188184 618332. 2139.56 1.86 0.161173 0.140759 25762 151098 -1 1361 19 1053 1300 109177 24008 3.08397 3.08397 -113.035 -3.08397 0 0 787024. 2723.27 0.36 0.06 0.15 -1 -1 0.36 0.0238542 0.0213023 56 28 58 29 29 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 7.06 vpr 65.42 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 33868 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66992 32 32 334 290 1 148 74 17 17 289 -1 unnamed_device 26.7 MiB 0.91 609 10459 4536 5667 256 65.4 MiB 0.08 0.00 2.4623 -84.442 -2.4623 2.4623 1.08 0.000451957 0.000409014 0.0375623 0.0341726 38 1826 28 6.95648e+06 144757 678818. 2348.85 2.62 0.16911 0.147945 26626 170182 -1 1367 24 1149 1450 126186 27944 3.13412 3.13412 -107.905 -3.13412 0 0 902133. 3121.57 0.40 0.07 0.17 -1 -1 0.40 0.0261035 0.0230743 58 79 0 0 82 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 9.80 vpr 65.75 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 34112 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67324 31 32 365 281 1 188 91 17 17 289 -1 unnamed_device 27.1 MiB 0.46 815 13963 4937 6975 2051 65.7 MiB 0.11 0.00 3.61895 -118.026 -3.61895 3.61895 1.05 0.000532163 0.000482199 0.043434 0.0396158 48 2251 32 6.95648e+06 405319 865456. 2994.66 5.67 0.252538 0.220945 28354 207349 -1 1870 22 1732 2439 215925 47899 4.10726 4.10726 -151.089 -4.10726 0 0 1.05005e+06 3633.38 0.47 0.09 0.20 -1 -1 0.47 0.0320439 0.0285679 86 29 93 31 31 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 7.85 vpr 65.09 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 33920 -1 -1 14 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66648 29 32 297 254 1 144 75 17 17 289 -1 unnamed_device 26.6 MiB 1.27 508 9081 2822 4954 1305 65.1 MiB 0.07 0.00 2.76175 -82.4444 -2.76175 2.76175 1.09 0.000424678 0.00038652 0.0285874 0.0260326 46 1463 33 6.95648e+06 202660 828058. 2865.25 2.99 0.150086 0.130972 28066 200906 -1 1024 19 888 1243 76970 19018 2.82402 2.82402 -97.1374 -2.82402 0 0 1.01997e+06 3529.29 0.43 0.05 0.20 -1 -1 0.43 0.0210175 0.0185542 59 48 29 29 52 26 +fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 20.13 vpr 65.22 MiB 0.02 7192 -1 -1 1 0.03 -1 -1 33780 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66784 32 32 314 256 1 154 74 17 17 289 -1 unnamed_device 26.8 MiB 1.07 664 10149 4250 5705 194 65.2 MiB 0.08 0.00 2.55695 -96.556 -2.55695 2.55695 1.07 0.000469869 0.000426018 0.0361623 0.03282 40 1973 43 6.95648e+06 144757 706193. 2443.58 14.93 0.315114 0.274538 26914 176310 -1 1810 61 2474 3867 1955238 903430 3.83572 3.83572 -135.932 -3.83572 0 0 926341. 3205.33 0.41 0.68 0.18 -1 -1 0.41 0.064582 0.0568137 61 31 64 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 10.13 vpr 65.38 MiB 0.02 7360 -1 -1 1 0.04 -1 -1 34104 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 31 32 387 307 1 181 87 17 17 289 -1 unnamed_device 26.7 MiB 0.96 707 10839 4390 6036 413 65.4 MiB 0.09 0.00 2.6976 -94.8878 -2.6976 2.6976 1.10 0.000534967 0.000485762 0.0366341 0.0334256 42 2319 50 6.95648e+06 347416 744469. 2576.02 5.53 0.274478 0.238302 27202 183097 -1 1711 20 1710 2265 169420 37740 3.11497 3.11497 -121.214 -3.11497 0 0 949917. 3286.91 0.41 0.08 0.19 -1 -1 0.41 0.0317254 0.0284781 82 60 58 31 62 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 11.11 vpr 65.03 MiB 0.02 7284 -1 -1 1 0.03 -1 -1 33980 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 31 32 308 262 1 143 74 17 17 289 -1 unnamed_device 26.5 MiB 2.57 652 12474 3896 7177 1401 65.0 MiB 0.09 0.00 2.71585 -85.7297 -2.71585 2.71585 1.14 0.000446877 0.000404833 0.0418004 0.0381056 38 1818 24 6.95648e+06 159232 678818. 2348.85 4.91 0.24502 0.213908 26626 170182 -1 1492 20 972 1446 101428 23916 2.89452 2.89452 -112.081 -2.89452 0 0 902133. 3121.57 0.37 0.06 0.17 -1 -1 0.37 0.0238752 0.0210857 57 49 31 31 53 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 8.49 vpr 65.66 MiB 0.02 7068 -1 -1 1 0.04 -1 -1 34100 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67240 32 32 383 307 1 176 83 17 17 289 -1 unnamed_device 27.0 MiB 1.56 668 12863 4041 6004 2818 65.7 MiB 0.10 0.00 2.5143 -86.2247 -2.5143 2.5143 1.06 0.000527237 0.00047481 0.0439117 0.0399401 48 2095 25 6.95648e+06 275038 865456. 2994.66 3.19 0.18181 0.159566 28354 207349 -1 1727 30 1733 2647 402759 148368 3.04317 3.04317 -113.417 -3.04317 0 0 1.05005e+06 3633.38 0.47 0.16 0.21 -1 -1 0.47 0.040199 0.0357196 76 56 52 26 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 10.47 vpr 65.91 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34104 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67492 31 32 422 339 1 187 88 17 17 289 -1 unnamed_device 27.2 MiB 1.35 715 15688 5472 7576 2640 65.9 MiB 0.13 0.00 2.98426 -101.115 -2.98426 2.98426 1.05 0.000571558 0.000517969 0.0517206 0.0471272 44 2193 34 6.95648e+06 361892 787024. 2723.27 5.48 0.280013 0.245019 27778 195446 -1 1632 19 1635 2262 158318 35838 3.06667 3.06667 -117.763 -3.06667 0 0 997811. 3452.63 0.43 0.07 0.20 -1 -1 0.43 0.0273051 0.0242934 85 88 31 31 92 31 +fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 19.72 vpr 65.10 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 34056 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 32 32 333 279 1 154 74 17 17 289 -1 unnamed_device 26.6 MiB 0.85 588 9374 3855 5206 313 65.1 MiB 0.07 0.00 2.4011 -83.9523 -2.4011 2.4011 1.02 0.000594748 0.0005366 0.0326059 0.0296977 48 1980 47 6.95648e+06 144757 865456. 2994.66 15.31 0.310365 0.26783 28354 207349 -1 1588 29 1618 2467 267898 92164 3.02602 3.02602 -116.572 -3.02602 0 0 1.05005e+06 3633.38 0.47 0.12 0.21 -1 -1 0.47 0.0343433 0.0303531 61 54 32 32 60 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 7.85 vpr 65.16 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 33896 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66728 32 32 339 283 1 158 74 17 17 289 -1 unnamed_device 26.6 MiB 1.02 684 8599 3572 4859 168 65.2 MiB 0.07 0.00 2.5503 -94.2523 -2.5503 2.5503 1.10 0.000505638 0.000465049 0.0316096 0.0289832 46 2129 35 6.95648e+06 144757 828058. 2865.25 3.21 0.165671 0.145209 28066 200906 -1 1708 22 1370 1997 159274 35254 3.29047 3.29047 -123.202 -3.29047 0 0 1.01997e+06 3529.29 0.42 0.07 0.19 -1 -1 0.42 0.0270223 0.0239964 63 60 32 32 62 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 9.38 vpr 65.66 MiB 0.02 7452 -1 -1 1 0.04 -1 -1 34348 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 32 32 407 319 1 190 93 17 17 289 -1 unnamed_device 27.0 MiB 0.87 829 11223 3241 6212 1770 65.7 MiB 0.09 0.00 3.12269 -114.052 -3.12269 3.12269 1.03 0.000603353 0.000550251 0.0340548 0.0310334 38 2914 29 6.95648e+06 419795 678818. 2348.85 5.01 0.185684 0.162768 26626 170182 -1 2146 25 2158 3134 273632 55985 4.07146 4.07146 -156.16 -4.07146 0 0 902133. 3121.57 0.39 0.11 0.16 -1 -1 0.39 0.0354011 0.0314331 88 49 64 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 14.74 vpr 65.55 MiB 0.03 7484 -1 -1 1 0.04 -1 -1 34152 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 29 32 367 293 1 175 80 17 17 289 -1 unnamed_device 26.9 MiB 0.99 707 6960 2780 3856 324 65.5 MiB 0.06 0.00 2.7306 -90.9635 -2.7306 2.7306 1.04 0.000604666 0.000547194 0.0256117 0.0232111 40 2174 25 6.95648e+06 275038 706193. 2443.58 10.26 0.327463 0.286379 26914 176310 -1 1741 20 1478 2033 172009 40424 3.35157 3.35157 -117.059 -3.35157 0 0 926341. 3205.33 0.41 0.08 0.17 -1 -1 0.41 0.0320846 0.0287221 77 54 56 29 58 29 +fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 8.37 vpr 66.05 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 34292 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67636 32 32 469 381 1 192 93 17 17 289 -1 unnamed_device 27.4 MiB 1.52 984 16683 4965 9340 2378 66.1 MiB 0.14 0.00 3.08969 -116.839 -3.08969 3.08969 1.07 0.00062788 0.00056843 0.0566325 0.0512084 38 2899 43 6.95648e+06 419795 678818. 2348.85 3.19 0.21623 0.190521 26626 170182 -1 2481 25 2254 3367 309750 60156 4.26076 4.26076 -158.195 -4.26076 0 0 902133. 3121.57 0.38 0.12 0.17 -1 -1 0.38 0.038851 0.034518 89 117 0 0 128 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 10.99 vpr 64.73 MiB 0.02 7092 -1 -1 1 0.03 -1 -1 33968 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66288 31 32 259 212 1 144 74 17 17 289 -1 unnamed_device 26.3 MiB 1.63 617 9529 3920 5263 346 64.7 MiB 0.07 0.00 2.4703 -81.6138 -2.4703 2.4703 1.09 0.000442324 0.000400976 0.0285937 0.0260724 48 1733 41 6.95648e+06 159232 865456. 2994.66 5.75 0.198771 0.173689 28354 207349 -1 1440 20 1053 1537 138092 32135 3.01062 3.01062 -111.005 -3.01062 0 0 1.05005e+06 3633.38 0.48 0.06 0.20 -1 -1 0.48 0.0222488 0.0199162 58 -1 85 31 0 0 +fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 7.69 vpr 65.91 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34036 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67496 32 32 418 338 1 182 87 17 17 289 -1 unnamed_device 27.2 MiB 1.03 756 14679 5714 6385 2580 65.9 MiB 0.10 0.00 3.10505 -105.698 -3.10505 3.10505 1.00 0.000563751 0.000511926 0.0462449 0.0419937 50 2227 31 6.95648e+06 332941 902133. 3121.57 3.08 0.193904 0.169595 28642 213929 -1 1785 29 2064 2713 344437 126996 3.84521 3.84521 -137.995 -3.84521 0 0 1.08113e+06 3740.92 0.46 0.14 0.19 -1 -1 0.46 0.0387069 0.0345363 81 89 28 28 92 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 11.80 vpr 65.48 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 34100 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 26.7 MiB 3.19 580 11079 4717 6073 289 65.5 MiB 0.10 0.00 2.45985 -93.1734 -2.45985 2.45985 1.02 0.000519463 0.000472054 0.0432154 0.0392965 40 2005 28 6.95648e+06 144757 706193. 2443.58 5.16 0.261546 0.227929 26914 176310 -1 1744 22 1574 2206 273613 57594 3.41462 3.41462 -134.035 -3.41462 0 0 926341. 3205.33 0.41 0.10 0.17 -1 -1 0.41 0.0291047 0.0257851 61 93 0 0 96 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 10.32 vpr 65.96 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 33736 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67540 32 32 401 316 1 188 88 17 17 289 -1 unnamed_device 27.2 MiB 0.99 850 12373 5087 6994 292 66.0 MiB 0.10 0.00 2.5393 -95.813 -2.5393 2.5393 1.05 0.000614083 0.000557013 0.0403015 0.0366293 44 2324 24 6.95648e+06 347416 787024. 2723.27 5.74 0.289556 0.252792 27778 195446 -1 1710 22 1669 2447 166579 36291 2.98967 2.98967 -117.101 -2.98967 0 0 997811. 3452.63 0.43 0.08 0.18 -1 -1 0.43 0.0303224 0.0268919 84 59 61 32 64 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 8.81 vpr 65.60 MiB 0.02 7368 -1 -1 1 0.04 -1 -1 34440 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 500 382 1 222 97 17 17 289 -1 unnamed_device 27.2 MiB 1.21 1069 18745 6601 9822 2322 65.6 MiB 0.16 0.00 3.74344 -134.628 -3.74344 3.74344 1.10 0.000765448 0.000687906 0.0634081 0.0576829 44 2968 37 6.95648e+06 477698 787024. 2723.27 3.81 0.24951 0.219599 27778 195446 -1 2411 24 2655 3914 315636 63430 4.95151 4.95151 -176.309 -4.95151 0 0 997811. 3452.63 0.43 0.12 0.18 -1 -1 0.43 0.0409253 0.0366538 104 81 64 32 96 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 7.82 vpr 64.75 MiB 0.02 7168 -1 -1 1 0.03 -1 -1 33496 -1 -1 10 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 30 32 246 229 1 117 72 17 17 289 -1 unnamed_device 26.4 MiB 0.51 426 8714 3565 4722 427 64.8 MiB 0.06 0.00 1.83056 -63.1332 -1.83056 1.83056 1.03 0.000373127 0.000338245 0.0242195 0.0220656 38 999 23 6.95648e+06 144757 678818. 2348.85 4.04 0.165697 0.142574 26626 170182 -1 825 22 641 812 47255 13472 2.15168 2.15168 -78.4256 -2.15168 0 0 902133. 3121.57 0.36 0.04 0.16 -1 -1 0.36 0.0196516 0.017352 45 51 0 0 53 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 17.62 vpr 65.20 MiB 0.02 7092 -1 -1 1 0.03 -1 -1 33772 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 30 32 296 244 1 141 74 17 17 289 -1 unnamed_device 26.7 MiB 2.02 457 10304 4299 5478 527 65.2 MiB 0.07 0.00 2.68956 -87.7818 -2.68956 2.68956 1.07 0.000521808 0.000477121 0.0328073 0.0298934 42 1898 47 6.95648e+06 173708 744469. 2576.02 12.16 0.273056 0.235765 27202 183097 -1 1313 25 1301 1858 178006 42557 3.05997 3.05997 -111.584 -3.05997 0 0 949917. 3286.91 0.41 0.08 0.18 -1 -1 0.41 0.0271116 0.0240108 58 29 60 30 30 30 +fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 7.23 vpr 65.54 MiB 0.02 6912 -1 -1 1 0.03 -1 -1 33552 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 32 32 314 256 1 161 74 17 17 289 -1 unnamed_device 26.7 MiB 0.25 592 10459 4383 5742 334 65.5 MiB 0.08 0.00 2.43165 -93.329 -2.43165 2.43165 1.05 0.000531424 0.00048335 0.0342963 0.0312739 56 1971 32 6.95648e+06 144757 973134. 3367.25 3.36 0.157981 0.138281 29794 239141 -1 1511 21 1475 2415 205539 49201 3.14492 3.14492 -120.717 -3.14492 0 0 1.19926e+06 4149.71 0.48 0.08 0.24 -1 -1 0.48 0.0234564 0.0208237 65 31 64 32 32 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 8.79 vpr 65.06 MiB 0.02 7068 -1 -1 1 0.03 -1 -1 34020 -1 -1 15 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 25 32 251 214 1 132 72 17 17 289 -1 unnamed_device 26.7 MiB 0.59 456 8714 3676 4307 731 65.1 MiB 0.06 0.00 2.73975 -73.8006 -2.73975 2.73975 1.05 0.000379669 0.000343451 0.0243894 0.022198 40 1679 27 6.95648e+06 217135 706193. 2443.58 4.76 0.172333 0.149872 26914 176310 -1 1279 19 1001 1362 99677 25545 3.03682 3.03682 -97.8877 -3.03682 0 0 926341. 3205.33 0.42 0.05 0.17 -1 -1 0.42 0.0194398 0.017225 56 19 50 25 25 25 +fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 11.12 vpr 65.64 MiB 0.02 7364 -1 -1 1 0.04 -1 -1 33748 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67212 32 32 432 346 1 185 77 17 17 289 -1 unnamed_device 26.9 MiB 1.17 777 9368 3861 5200 307 65.6 MiB 0.09 0.00 3.24434 -109.955 -3.24434 3.24434 1.08 0.000576626 0.000522417 0.0385413 0.0349388 46 2554 29 6.95648e+06 188184 828058. 2865.25 6.32 0.295202 0.257507 28066 200906 -1 1942 23 1860 3223 229696 49925 4.05862 4.05862 -143.874 -4.05862 0 0 1.01997e+06 3529.29 0.41 0.09 0.20 -1 -1 0.41 0.0325681 0.0288534 77 84 32 32 94 32 +fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 9.85 vpr 65.97 MiB 0.02 7512 -1 -1 1 0.04 -1 -1 33840 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67556 31 32 421 339 1 185 92 17 17 289 -1 unnamed_device 27.1 MiB 0.92 775 14168 4766 7049 2353 66.0 MiB 0.11 0.00 2.7178 -94.9795 -2.7178 2.7178 1.10 0.000743515 0.000606052 0.0436878 0.0396094 40 2135 29 6.95648e+06 419795 706193. 2443.58 5.33 0.30737 0.268552 26914 176310 -1 1840 25 1910 2539 249090 54258 3.58207 3.58207 -125.662 -3.58207 0 0 926341. 3205.33 0.37 0.10 0.17 -1 -1 0.37 0.0356457 0.0316127 87 88 29 29 93 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 9.78 vpr 65.56 MiB 0.02 7516 -1 -1 1 0.04 -1 -1 34068 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 32 32 438 350 1 287 86 17 17 289 -1 unnamed_device 27.2 MiB 0.82 1162 16718 7118 9209 391 65.6 MiB 0.13 0.00 3.54004 -127.096 -3.54004 3.54004 1.00 0.000625459 0.000572321 0.054686 0.0498233 46 3141 25 6.99608e+06 323745 828058. 2865.25 5.39 0.262758 0.229609 28066 200906 -1 2386 21 2170 2560 180818 39216 4.35531 4.35531 -162.106 -4.35531 0 0 1.01997e+06 3529.29 0.42 0.09 0.20 -1 -1 0.42 0.0330727 0.0296602 130 80 32 32 96 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 8.84 vpr 65.72 MiB 0.03 7576 -1 -1 1 0.04 -1 -1 34000 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67296 30 32 409 330 1 259 82 17 17 289 -1 unnamed_device 27.2 MiB 1.64 1064 16814 6965 8056 1793 65.7 MiB 0.14 0.00 3.52832 -116.536 -3.52832 3.52832 1.07 0.000622241 0.0005076 0.0585104 0.0533612 56 2786 32 6.99608e+06 294314 973134. 3367.25 3.34 0.2127 0.187497 29794 239141 -1 2291 24 2445 3425 314860 68852 4.7491 4.7491 -158.649 -4.7491 0 0 1.19926e+06 4149.71 0.54 0.11 0.24 -1 -1 0.54 0.035757 0.0320829 117 78 30 30 89 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 10.03 vpr 65.54 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 34172 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 387 309 1 241 82 17 17 289 -1 unnamed_device 26.7 MiB 0.99 1164 16458 6490 7573 2395 65.5 MiB 0.13 0.00 2.96629 -109.899 -2.96629 2.96629 1.02 0.000522964 0.000475305 0.0543706 0.049546 42 3358 47 6.99608e+06 264882 744469. 2576.02 5.54 0.305275 0.267922 27202 183097 -1 2377 21 1729 2080 185197 38003 4.01956 4.01956 -139.878 -4.01956 0 0 949917. 3286.91 0.42 0.08 0.18 -1 -1 0.42 0.0296408 0.0263052 106 50 54 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 8.60 vpr 65.23 MiB 0.02 7432 -1 -1 1 0.04 -1 -1 34140 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 29 32 343 267 1 201 79 17 17 289 -1 unnamed_device 26.9 MiB 0.79 831 13430 5751 7055 624 65.2 MiB 0.11 0.00 3.16965 -103.759 -3.16965 3.16965 1.08 0.000501486 0.000454691 0.0436274 0.0397735 40 2637 47 6.99608e+06 264882 706193. 2443.58 4.24 0.194916 0.170808 26914 176310 -1 2206 23 2077 3076 275158 58893 4.08536 4.08536 -141.609 -4.08536 0 0 926341. 3205.33 0.40 0.10 0.17 -1 -1 0.40 0.030809 0.027532 89 25 87 29 29 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 10.98 vpr 65.61 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 33996 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67184 32 32 376 288 1 218 79 17 17 289 -1 unnamed_device 26.9 MiB 0.52 1101 13261 4464 7688 1109 65.6 MiB 0.12 0.00 3.52464 -134.12 -3.52464 3.52464 1.01 0.000583455 0.000535362 0.0454402 0.0414239 46 3391 31 6.99608e+06 220735 828058. 2865.25 6.95 0.279795 0.242807 28066 200906 -1 2666 22 2526 4031 346808 67781 4.48375 4.48375 -168.768 -4.48375 0 0 1.01997e+06 3529.29 0.41 0.11 0.19 -1 -1 0.41 0.0299561 0.0266739 93 31 96 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 8.94 vpr 65.80 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 33972 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67376 32 32 402 316 1 251 94 17 17 289 -1 unnamed_device 27.3 MiB 0.55 1232 16708 5429 8645 2634 65.8 MiB 0.14 0.00 3.08859 -112.1 -3.08859 3.08859 1.12 0.000613034 0.000558782 0.0488219 0.0442533 40 3542 26 6.99608e+06 441471 706193. 2443.58 4.61 0.198687 0.174929 26914 176310 -1 3083 30 2633 3718 514919 157902 3.70341 3.70341 -146.829 -3.70341 0 0 926341. 3205.33 0.40 0.19 0.18 -1 -1 0.40 0.0435245 0.0389291 117 61 63 32 63 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 7.60 vpr 64.92 MiB 0.02 7232 -1 -1 1 0.03 -1 -1 34252 -1 -1 15 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 27 32 269 226 1 158 74 17 17 289 -1 unnamed_device 26.5 MiB 1.59 604 7204 2688 3554 962 64.9 MiB 0.06 0.00 2.64844 -84.8254 -2.64844 2.64844 1.08 0.000413233 0.000374279 0.0214744 0.0195577 36 1903 25 6.99608e+06 220735 648988. 2245.63 2.55 0.133224 0.117166 26050 158493 -1 1572 22 1550 2209 190837 40653 3.35342 3.35342 -112.446 -3.35342 0 0 828058. 2865.25 0.36 0.08 0.15 -1 -1 0.36 0.0237628 0.0211563 68 26 54 27 27 27 +fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 7.49 vpr 64.86 MiB 0.02 7264 -1 -1 1 0.03 -1 -1 34228 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 31 32 317 242 1 178 80 17 17 289 -1 unnamed_device 26.4 MiB 0.57 714 11776 4925 6222 629 64.9 MiB 0.08 0.00 2.49105 -83.6689 -2.49105 2.49105 1.01 0.000487968 0.000431497 0.0352799 0.0321035 62 1745 22 6.99608e+06 250167 1.05005e+06 3633.38 3.35 0.183734 0.160051 30946 263737 -1 1374 20 1286 1961 126578 30257 2.73802 2.73802 -98.2467 -2.73802 0 0 1.30136e+06 4502.97 0.52 0.06 0.26 -1 -1 0.52 0.0233326 0.0206468 77 -1 115 31 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 9.88 vpr 65.25 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33800 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 31 32 338 292 1 222 78 17 17 289 -1 unnamed_device 26.8 MiB 3.36 1012 12030 4994 6504 532 65.2 MiB 0.10 0.00 2.62059 -97.5399 -2.62059 2.62059 1.06 0.000454348 0.000412652 0.039204 0.0356973 40 2776 27 6.99608e+06 220735 706193. 2443.58 3.02 0.181877 0.161057 26914 176310 -1 2323 22 1897 2322 214172 45659 3.59812 3.59812 -135.593 -3.59812 0 0 926341. 3205.33 0.40 0.09 0.16 -1 -1 0.40 0.0291358 0.0261115 96 81 0 0 84 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 7.57 vpr 65.00 MiB 0.02 6952 -1 -1 1 0.04 -1 -1 33804 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 32 32 314 256 1 187 77 17 17 289 -1 unnamed_device 26.4 MiB 0.88 677 8879 3611 5037 231 65.0 MiB 0.07 0.00 2.95409 -110.115 -2.95409 2.95409 1.04 0.000459698 0.000414212 0.0289851 0.0264803 40 2402 43 6.99608e+06 191304 706193. 2443.58 3.21 0.167735 0.147014 26914 176310 -1 1927 20 1701 2097 188017 42122 3.85076 3.85076 -143.507 -3.85076 0 0 926341. 3205.33 0.40 0.08 0.17 -1 -1 0.40 0.0253593 0.0227211 79 31 64 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 9.04 vpr 65.15 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 33640 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66716 30 32 325 273 1 199 77 17 17 289 -1 unnamed_device 26.6 MiB 2.99 761 12791 5202 6282 1307 65.2 MiB 0.10 0.00 3.05483 -105 -3.05483 3.05483 1.06 0.000492157 0.000449201 0.0418917 0.0381966 44 2608 32 6.99608e+06 220735 787024. 2723.27 2.49 0.14195 0.1249 27778 195446 -1 1884 22 1869 2503 217963 45046 3.68841 3.68841 -135.611 -3.68841 0 0 997811. 3452.63 0.43 0.08 0.19 -1 -1 0.43 0.0260328 0.0232139 88 58 30 30 60 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 8.72 vpr 65.25 MiB 0.02 7292 -1 -1 1 0.03 -1 -1 34092 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 331 280 1 210 78 17 17 289 -1 unnamed_device 26.6 MiB 0.95 1019 13192 5109 6222 1861 65.2 MiB 0.10 0.00 2.5521 -98.3165 -2.5521 2.5521 1.04 0.000477148 0.000435013 0.0408627 0.0372421 38 2715 39 6.99608e+06 206020 678818. 2348.85 4.31 0.174323 0.152706 26626 170182 -1 2164 20 1461 1559 148353 29683 3.06197 3.06197 -125.202 -3.06197 0 0 902133. 3121.57 0.40 0.07 0.17 -1 -1 0.40 0.0260206 0.0232764 91 57 25 25 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 9.64 vpr 65.77 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 34060 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67352 32 32 386 305 1 231 80 17 17 289 -1 unnamed_device 27.0 MiB 1.11 951 11260 4298 6104 858 65.8 MiB 0.10 0.00 3.00239 -108.813 -3.00239 3.00239 1.09 0.00055183 0.000502245 0.0404622 0.036967 46 2946 46 6.99608e+06 235451 828058. 2865.25 4.85 0.224819 0.198613 28066 200906 -1 2206 21 1888 2579 221164 49953 3.65646 3.65646 -137.025 -3.65646 0 0 1.01997e+06 3529.29 0.44 0.09 0.18 -1 -1 0.44 0.0291862 0.0259542 101 55 64 32 57 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 7.44 vpr 65.79 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34060 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67364 32 32 407 319 1 254 83 17 17 289 -1 unnamed_device 27.3 MiB 1.00 1154 14663 4397 8300 1966 65.8 MiB 0.13 0.00 3.53814 -127.421 -3.53814 3.53814 1.01 0.0005604 0.000507494 0.0507206 0.0459658 46 3136 24 6.99608e+06 279598 828058. 2865.25 2.86 0.18891 0.16519 28066 200906 -1 2632 24 2830 3685 306578 61887 4.80641 4.80641 -175.744 -4.80641 0 0 1.01997e+06 3529.29 0.42 0.11 0.20 -1 -1 0.42 0.034173 0.0303738 112 60 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 8.93 vpr 64.72 MiB 0.02 7000 -1 -1 1 0.04 -1 -1 33872 -1 -1 14 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 29 32 272 228 1 161 75 17 17 289 -1 unnamed_device 26.3 MiB 2.87 584 11293 4689 6010 594 64.7 MiB 0.08 0.00 2.42075 -79.1279 -2.42075 2.42075 1.09 0.000431273 0.000394668 0.0337493 0.0307446 48 1560 27 6.99608e+06 206020 865456. 2994.66 2.45 0.147896 0.13043 28354 207349 -1 1242 19 1041 1459 96855 25132 3.03562 3.03562 -101.92 -3.03562 0 0 1.05005e+06 3633.38 0.47 0.05 0.21 -1 -1 0.47 0.0204459 0.0181038 67 21 58 29 24 24 +fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 11.28 vpr 65.81 MiB 0.03 7152 -1 -1 1 0.04 -1 -1 33960 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67388 32 32 401 315 1 243 80 17 17 289 -1 unnamed_device 26.9 MiB 2.33 1284 15388 6230 7387 1771 65.8 MiB 0.14 0.00 3.01639 -115.687 -3.01639 3.01639 1.09 0.000555418 0.000505334 0.0561211 0.0512162 44 3419 24 6.99608e+06 235451 787024. 2723.27 5.21 0.273155 0.239729 27778 195446 -1 2616 19 2393 3281 270166 54412 3.67241 3.67241 -147.086 -3.67241 0 0 997811. 3452.63 0.44 0.10 0.19 -1 -1 0.44 0.0307061 0.0275333 106 60 64 32 62 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 8.43 vpr 65.60 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 33928 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 383 303 1 230 81 17 17 289 -1 unnamed_device 26.9 MiB 1.44 1172 13381 4472 7405 1504 65.6 MiB 0.11 0.00 2.74074 -110.372 -2.74074 2.74074 1.05 0.000580859 0.000528394 0.0453391 0.0414241 40 2953 44 6.99608e+06 250167 706193. 2443.58 3.41 0.230864 0.205605 26914 176310 -1 2581 23 2182 2768 269526 53121 3.23221 3.23221 -136.69 -3.23221 0 0 926341. 3205.33 0.41 0.11 0.17 -1 -1 0.41 0.0362881 0.0326255 99 54 64 32 56 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 7.96 vpr 65.53 MiB 0.02 7148 -1 -1 1 0.04 -1 -1 33820 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67104 32 32 339 284 1 218 78 17 17 289 -1 unnamed_device 26.9 MiB 0.91 923 6884 1566 4436 882 65.5 MiB 0.06 0.00 2.74594 -102.452 -2.74594 2.74594 1.08 0.000493439 0.000447218 0.0240064 0.0219393 46 2424 24 6.99608e+06 206020 828058. 2865.25 3.41 0.148602 0.129622 28066 200906 -1 1742 25 1722 2088 177192 48403 3.28271 3.28271 -125.524 -3.28271 0 0 1.01997e+06 3529.29 0.45 0.09 0.20 -1 -1 0.45 0.0313268 0.027769 91 62 29 29 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 9.19 vpr 64.58 MiB 0.02 6876 -1 -1 1 0.03 -1 -1 33788 -1 -1 11 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66128 30 32 226 208 1 139 73 17 17 289 -1 unnamed_device 26.2 MiB 2.92 493 10105 4189 5487 429 64.6 MiB 0.06 0.00 1.97056 -70.6311 -1.97056 1.97056 1.09 0.0003742 0.000331197 0.0268183 0.0244909 40 1592 34 6.99608e+06 161872 706193. 2443.58 2.79 0.132376 0.115751 26914 176310 -1 1217 23 906 993 107638 29566 2.34583 2.34583 -90.0722 -2.34583 0 0 926341. 3205.33 0.40 0.06 0.17 -1 -1 0.40 0.0213674 0.0189731 56 29 24 24 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 11.99 vpr 65.60 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 34060 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 31 32 335 280 1 209 78 17 17 289 -1 unnamed_device 27.0 MiB 2.57 1019 12030 4753 6737 540 65.6 MiB 0.10 0.00 3.17469 -113.444 -3.17469 3.17469 1.08 0.000475431 0.000430461 0.0396387 0.0361549 44 2419 20 6.99608e+06 220735 787024. 2723.27 5.76 0.265277 0.233655 27778 195446 -1 2035 21 1418 1739 143211 29930 3.39781 3.39781 -133.507 -3.39781 0 0 997811. 3452.63 0.45 0.07 0.19 -1 -1 0.45 0.0264775 0.0237107 91 55 31 31 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 7.79 vpr 65.67 MiB 0.03 7144 -1 -1 1 0.04 -1 -1 33868 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 32 32 366 283 1 215 87 17 17 289 -1 unnamed_device 26.9 MiB 0.47 875 12759 4780 6107 1872 65.7 MiB 0.11 0.00 3.29568 -115.809 -3.29568 3.29568 1.10 0.000660496 0.00060256 0.0422135 0.0386462 40 3189 26 6.99608e+06 338461 706193. 2443.58 3.73 0.208772 0.185961 26914 176310 -1 2604 23 2462 3383 370951 78677 4.78765 4.78765 -171.31 -4.78765 0 0 926341. 3205.33 0.38 0.12 0.17 -1 -1 0.38 0.0307565 0.0272747 97 31 91 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 9.76 vpr 65.55 MiB 0.02 7352 -1 -1 1 0.04 -1 -1 34216 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 32 32 460 375 1 303 86 17 17 289 -1 unnamed_device 27.3 MiB 1.55 1256 16529 6962 9041 526 65.5 MiB 0.14 0.00 3.26728 -115.334 -3.26728 3.26728 1.05 0.00065306 0.00059431 0.0581856 0.0529192 46 3848 42 6.99608e+06 323745 828058. 2865.25 4.58 0.234614 0.205754 28066 200906 -1 2550 22 2378 2715 192306 43634 4.51762 4.51762 -151.355 -4.51762 0 0 1.01997e+06 3529.29 0.41 0.09 0.19 -1 -1 0.41 0.0337484 0.0300237 138 108 0 0 125 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 6.80 vpr 64.61 MiB 0.02 7100 -1 -1 1 0.03 -1 -1 34284 -1 -1 15 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66164 26 32 198 186 1 125 73 17 17 289 -1 unnamed_device 26.2 MiB 0.89 399 10713 3973 5519 1221 64.6 MiB 0.06 0.00 2.1814 -62.1378 -2.1814 2.1814 1.08 0.000291662 0.000264382 0.0240818 0.0219434 38 1042 38 6.99608e+06 220735 678818. 2348.85 2.51 0.128663 0.113469 26626 170182 -1 855 17 608 707 47098 12218 2.66797 2.66797 -78.8596 -2.66797 0 0 902133. 3121.57 0.39 0.04 0.16 -1 -1 0.39 0.0156577 0.0139813 52 21 26 26 22 22 +fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 9.26 vpr 65.25 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 34108 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 333 251 1 181 76 17 17 289 -1 unnamed_device 26.7 MiB 0.95 761 7596 3084 4118 394 65.2 MiB 0.07 0.00 3.40945 -111.783 -3.40945 3.40945 1.11 0.000560105 0.00050878 0.028654 0.0262825 48 2468 45 6.99608e+06 176588 865456. 2994.66 4.61 0.196692 0.173389 28354 207349 -1 2073 20 1697 2653 268089 66414 4.14956 4.14956 -147.02 -4.14956 0 0 1.05005e+06 3633.38 0.47 0.10 0.21 -1 -1 0.47 0.0270001 0.0240512 75 -1 122 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 5.60 vpr 64.51 MiB 0.02 6736 -1 -1 1 0.03 -1 -1 33968 -1 -1 8 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66056 32 32 199 182 1 119 72 17 17 289 -1 unnamed_device 26.0 MiB 0.32 753 9608 3553 5125 930 64.5 MiB 0.06 0.00 1.68521 -70.2395 -1.68521 1.68521 1.05 0.00038193 0.000345291 0.0253083 0.0231196 34 1681 44 6.99608e+06 117725 618332. 2139.56 1.98 0.120177 0.104378 25762 151098 -1 1491 22 810 1089 101047 20364 1.94702 1.94702 -89.2217 -1.94702 0 0 787024. 2723.27 0.36 0.05 0.14 -1 -1 0.36 0.0172965 0.0153161 44 -1 53 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 11.35 vpr 65.54 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 34300 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 376 288 1 218 81 17 17 289 -1 unnamed_device 26.8 MiB 1.42 802 12156 4811 5800 1545 65.5 MiB 0.11 0.00 3.25275 -116.923 -3.25275 3.25275 1.08 0.000538549 0.000489201 0.0439088 0.0401559 52 2897 24 6.99608e+06 250167 926341. 3205.33 6.20 0.28275 0.246638 29218 227130 -1 2109 22 2024 2855 257453 56569 4.43602 4.43602 -158.588 -4.43602 0 0 1.14541e+06 3963.36 0.49 0.09 0.23 -1 -1 0.49 0.029261 0.0259632 95 21 96 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 9.19 vpr 65.01 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 34076 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 337 253 1 188 92 17 17 289 -1 unnamed_device 26.4 MiB 0.29 1027 13547 4004 7709 1834 65.0 MiB 0.10 0.00 2.43175 -96.8894 -2.43175 2.43175 1.12 0.000503 0.000457611 0.0376499 0.0343486 36 2621 42 6.99608e+06 412039 648988. 2245.63 5.29 0.200259 0.176765 26050 158493 -1 2290 20 1609 2355 191627 38876 2.93732 2.93732 -121.875 -2.93732 0 0 828058. 2865.25 0.39 0.09 0.16 -1 -1 0.39 0.0290877 0.0261839 87 -1 124 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 10.17 vpr 65.43 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 34188 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 407 319 1 256 85 17 17 289 -1 unnamed_device 26.9 MiB 0.88 1331 13477 5685 7428 364 65.4 MiB 0.11 0.00 3.14945 -119.832 -3.14945 3.14945 1.06 0.000650355 0.00057826 0.0439991 0.040022 40 3454 28 6.99608e+06 309029 706193. 2443.58 5.60 0.323955 0.285609 26914 176310 -1 3118 23 2607 3686 410448 79857 4.66161 4.66161 -170.808 -4.66161 0 0 926341. 3205.33 0.41 0.13 0.17 -1 -1 0.41 0.0346365 0.0307611 115 54 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 9.46 vpr 65.06 MiB 0.02 6980 -1 -1 1 0.03 -1 -1 34060 -1 -1 11 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66620 32 32 294 246 1 175 75 17 17 289 -1 unnamed_device 26.6 MiB 1.27 731 9555 3937 5379 239 65.1 MiB 0.07 0.00 2.4829 -87.8794 -2.4829 2.4829 1.09 0.000525945 0.00047772 0.0306364 0.0279953 44 2220 22 6.99608e+06 161872 787024. 2723.27 4.57 0.205575 0.17956 27778 195446 -1 1646 22 1374 1907 158586 34515 2.88082 2.88082 -115.461 -2.88082 0 0 997811. 3452.63 0.44 0.07 0.20 -1 -1 0.44 0.0251197 0.02239 72 31 54 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 16.00 vpr 65.00 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 33808 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66560 30 32 296 244 1 173 75 17 17 289 -1 unnamed_device 26.5 MiB 9.85 687 8923 3650 4937 336 65.0 MiB 0.07 0.00 2.93029 -98.4514 -2.93029 2.93029 1.04 0.000416189 0.000377812 0.0283091 0.0258192 44 2236 29 6.99608e+06 191304 787024. 2723.27 2.68 0.145995 0.12724 27778 195446 -1 1623 23 1564 2272 174264 39285 3.46701 3.46701 -130.705 -3.46701 0 0 997811. 3452.63 0.41 0.08 0.19 -1 -1 0.41 0.0263171 0.0233165 73 29 60 30 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 8.83 vpr 64.93 MiB 0.02 7252 -1 -1 1 0.03 -1 -1 33824 -1 -1 15 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 28 32 278 232 1 163 75 17 17 289 -1 unnamed_device 26.4 MiB 1.35 631 8607 3745 4367 495 64.9 MiB 0.06 0.00 3.06475 -93.3824 -3.06475 3.06475 1.06 0.000550584 0.000499478 0.026043 0.0237697 40 2416 45 6.99608e+06 220735 706193. 2443.58 4.02 0.152788 0.133021 26914 176310 -1 1856 21 1426 2146 234725 57487 3.47616 3.47616 -124.197 -3.47616 0 0 926341. 3205.33 0.38 0.09 0.17 -1 -1 0.38 0.024152 0.0213743 72 27 56 28 28 28 +fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 8.78 vpr 64.93 MiB 0.02 7016 -1 -1 1 0.04 -1 -1 33748 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 283 225 1 160 74 17 17 289 -1 unnamed_device 26.5 MiB 0.20 871 7204 1745 4616 843 64.9 MiB 0.06 0.00 2.36125 -100.739 -2.36125 2.36125 1.14 0.000436861 0.000397215 0.0247285 0.0224443 44 2237 23 6.99608e+06 147157 787024. 2723.27 4.89 0.204668 0.179161 27778 195446 -1 1929 21 1615 2461 216751 42063 2.75802 2.75802 -124.897 -2.75802 0 0 997811. 3452.63 0.46 0.08 0.19 -1 -1 0.46 0.0249572 0.0223837 64 -1 96 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 17.64 vpr 65.22 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 33848 -1 -1 15 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 31 32 303 249 1 185 78 17 17 289 -1 unnamed_device 26.6 MiB 0.82 963 9042 2168 5909 965 65.2 MiB 0.08 0.00 2.44275 -95.2161 -2.44275 2.44275 1.14 0.000487916 0.000442837 0.0297888 0.0271568 40 2491 49 6.99608e+06 220735 706193. 2443.58 13.16 0.301671 0.262608 26914 176310 -1 2180 21 1446 1950 199538 39978 3.29722 3.29722 -127.19 -3.29722 0 0 926341. 3205.33 0.42 0.08 0.17 -1 -1 0.42 0.0287075 0.0257601 77 26 61 31 31 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 10.55 vpr 65.04 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 34052 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 29 32 312 264 1 197 77 17 17 289 -1 unnamed_device 26.6 MiB 3.38 935 12465 4979 5026 2460 65.0 MiB 0.09 0.00 2.46925 -85.9119 -2.46925 2.46925 1.00 0.000449617 0.000409921 0.0366369 0.0334469 36 2591 48 6.99608e+06 235451 648988. 2245.63 3.85 0.177209 0.154602 26050 158493 -1 2129 18 1441 1747 156903 33178 2.85732 2.85732 -111.361 -2.85732 0 0 828058. 2865.25 0.35 0.07 0.15 -1 -1 0.35 0.0221239 0.019831 86 55 29 29 57 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 11.25 vpr 65.70 MiB 0.02 7400 -1 -1 1 0.04 -1 -1 33952 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67280 32 32 423 310 1 243 84 17 17 289 -1 unnamed_device 27.2 MiB 1.22 1105 15822 6658 8485 679 65.7 MiB 0.15 0.00 3.13845 -116.82 -3.13845 3.13845 1.09 0.000765934 0.000696652 0.0592537 0.0539886 48 3325 28 6.99608e+06 294314 865456. 2994.66 6.16 0.288751 0.253227 28354 207349 -1 2741 26 2574 3805 336230 69202 4.24971 4.24971 -154.033 -4.24971 0 0 1.05005e+06 3633.38 0.48 0.13 0.19 -1 -1 0.48 0.0438045 0.0392084 106 26 128 32 27 27 +fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 7.95 vpr 65.72 MiB 0.02 7288 -1 -1 1 0.04 -1 -1 34160 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67300 32 32 403 317 1 252 82 17 17 289 -1 unnamed_device 26.9 MiB 1.21 1152 11474 3353 6473 1648 65.7 MiB 0.10 0.00 3.57808 -120.336 -3.57808 3.57808 0.99 0.000682351 0.00058846 0.040547 0.0368535 40 3234 36 6.99608e+06 264882 706193. 2443.58 3.21 0.199972 0.174654 26914 176310 -1 2910 21 2404 3141 363523 82217 4.31825 4.31825 -166.164 -4.31825 0 0 926341. 3205.33 0.39 0.13 0.18 -1 -1 0.39 0.0319982 0.0285159 110 62 62 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 8.27 vpr 65.48 MiB 0.02 7104 -1 -1 1 0.03 -1 -1 33996 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67056 31 32 353 302 1 224 79 17 17 289 -1 unnamed_device 26.8 MiB 0.94 1071 7684 1846 5129 709 65.5 MiB 0.07 0.00 2.82209 -104.377 -2.82209 2.82209 1.07 0.000502384 0.000458767 0.0261681 0.0239059 38 2765 27 6.99608e+06 235451 678818. 2348.85 3.84 0.168867 0.14871 26626 170182 -1 2231 20 1555 1618 147730 30225 3.10026 3.10026 -124.754 -3.10026 0 0 902133. 3121.57 0.37 0.07 0.17 -1 -1 0.37 0.0260461 0.0231754 99 77 0 0 89 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 10.24 vpr 65.72 MiB 0.02 7424 -1 -1 1 0.04 -1 -1 34032 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67300 31 32 391 309 1 242 81 17 17 289 -1 unnamed_device 27.0 MiB 0.93 1232 9356 2590 6089 677 65.7 MiB 0.09 0.00 2.97859 -111.89 -2.97859 2.97859 1.01 0.000530125 0.000482919 0.032185 0.0293992 46 3007 28 6.99608e+06 264882 828058. 2865.25 5.79 0.236302 0.205952 28066 200906 -1 2539 22 1932 2621 219265 44441 3.52316 3.52316 -138.052 -3.52316 0 0 1.01997e+06 3529.29 0.44 0.09 0.20 -1 -1 0.44 0.0315322 0.0279362 105 59 60 30 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 7.10 vpr 65.82 MiB 0.02 7384 -1 -1 1 0.04 -1 -1 34224 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 31 32 455 371 1 302 86 17 17 289 -1 unnamed_device 27.6 MiB 1.12 1347 16151 6311 8218 1622 65.8 MiB 0.13 0.00 3.66267 -129.515 -3.66267 3.66267 0.97 0.000560825 0.000509202 0.0539669 0.0491487 46 3354 34 6.99608e+06 338461 828058. 2865.25 2.57 0.189841 0.1692 28066 200906 -1 2620 21 2380 2727 206297 43292 4.62714 4.62714 -167.002 -4.62714 0 0 1.01997e+06 3529.29 0.41 0.09 0.17 -1 -1 0.41 0.0329572 0.029601 138 111 0 0 124 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 13.04 vpr 65.66 MiB 0.03 7528 -1 -1 1 0.04 -1 -1 34024 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 31 32 413 333 1 258 82 17 17 289 -1 unnamed_device 27.1 MiB 3.84 1256 12542 4495 6190 1857 65.7 MiB 0.12 0.00 3.95733 -132.442 -3.95733 3.95733 1.06 0.000618243 0.000560351 0.0456875 0.041417 44 3553 31 6.99608e+06 279598 787024. 2723.27 5.52 0.304768 0.26724 27778 195446 -1 2680 21 2126 2826 217200 45754 4.94004 4.94004 -169.312 -4.94004 0 0 997811. 3452.63 0.47 0.10 0.19 -1 -1 0.47 0.0366792 0.0330363 117 86 31 31 89 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 9.74 vpr 65.76 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34060 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67336 31 32 391 309 1 241 83 17 17 289 -1 unnamed_device 26.9 MiB 2.78 1035 14483 5447 6863 2173 65.8 MiB 0.12 0.00 2.94309 -104.399 -2.94309 2.94309 1.00 0.000540236 0.000492269 0.0468751 0.0427041 48 2996 48 6.99608e+06 294314 865456. 2994.66 3.45 0.204056 0.178876 28354 207349 -1 2519 21 2017 2653 224389 48499 3.59716 3.59716 -139.049 -3.59716 0 0 1.05005e+06 3633.38 0.43 0.10 0.18 -1 -1 0.43 0.0337387 0.0303545 107 58 60 31 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 12.16 vpr 66.00 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 34088 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67580 32 32 407 319 1 252 81 17 17 289 -1 unnamed_device 27.2 MiB 1.00 973 7781 2704 3826 1251 66.0 MiB 0.07 0.00 3.16475 -113.697 -3.16475 3.16475 1.07 0.000550018 0.00050116 0.0285848 0.0261292 64 2354 30 6.99608e+06 250167 1.08113e+06 3740.92 7.35 0.306865 0.270499 31522 276338 -1 1952 19 1823 2223 158427 37423 3.93182 3.93182 -141.261 -3.93182 0 0 1.36325e+06 4717.13 0.58 0.08 0.28 -1 -1 0.58 0.0281135 0.0251209 110 42 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 13.29 vpr 65.98 MiB 0.03 7616 -1 -1 1 0.04 -1 -1 33944 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67568 32 32 496 380 1 313 86 17 17 289 -1 unnamed_device 27.6 MiB 2.41 1461 16340 5665 8438 2237 66.0 MiB 0.16 0.00 3.89803 -142.659 -3.89803 3.89803 1.10 0.000689412 0.000619193 0.0632999 0.0575107 44 4611 38 6.99608e+06 323745 787024. 2723.27 6.92 0.396944 0.348444 27778 195446 -1 3475 24 3498 4835 404322 82931 5.1314 5.1314 -184.53 -5.1314 0 0 997811. 3452.63 0.48 0.16 0.20 -1 -1 0.48 0.0476566 0.0429827 139 91 62 32 96 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 6.83 vpr 64.92 MiB 0.02 7236 -1 -1 1 0.03 -1 -1 33800 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 31 32 305 250 1 181 76 17 17 289 -1 unnamed_device 26.4 MiB 0.93 643 9676 3964 5417 295 64.9 MiB 0.07 0.00 2.6383 -95.3164 -2.6383 2.6383 1.08 0.000463007 0.000422852 0.0294049 0.026878 40 2065 39 6.99608e+06 191304 706193. 2443.58 2.39 0.182591 0.161396 26914 176310 -1 1607 24 1534 1902 187716 54404 3.24217 3.24217 -124.658 -3.24217 0 0 926341. 3205.33 0.42 0.09 0.16 -1 -1 0.42 0.0285906 0.0254607 75 24 62 31 31 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 11.14 vpr 65.95 MiB 0.02 7420 -1 -1 1 0.04 -1 -1 34072 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67528 31 32 395 311 1 243 81 17 17 289 -1 unnamed_device 27.1 MiB 0.80 1057 14606 6252 7894 460 65.9 MiB 0.12 0.00 3.56194 -124.493 -3.56194 3.56194 1.07 0.000518431 0.000470039 0.0500991 0.0456868 44 3300 47 6.99608e+06 264882 787024. 2723.27 6.74 0.335632 0.294632 27778 195446 -1 2466 20 2093 2574 202591 44850 4.34131 4.34131 -156.898 -4.34131 0 0 997811. 3452.63 0.44 0.09 0.18 -1 -1 0.44 0.03164 0.0284638 106 59 62 31 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 10.32 vpr 65.84 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34088 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67416 32 32 397 313 1 246 84 17 17 289 -1 unnamed_device 26.9 MiB 1.28 1286 16554 5588 8812 2154 65.8 MiB 0.13 0.00 2.98659 -112.128 -2.98659 2.98659 1.01 0.00062892 0.000576853 0.0535134 0.0488296 44 3387 28 6.99608e+06 294314 787024. 2723.27 5.50 0.266687 0.232629 27778 195446 -1 2735 21 1994 2857 232652 47426 3.57336 3.57336 -139.796 -3.57336 0 0 997811. 3452.63 0.46 0.10 0.18 -1 -1 0.46 0.03413 0.0306739 108 54 62 32 62 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 8.38 vpr 65.25 MiB 0.02 7060 -1 -1 1 0.04 -1 -1 33708 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 345 257 1 186 77 17 17 289 -1 unnamed_device 26.6 MiB 0.87 838 8716 3616 4807 293 65.3 MiB 0.07 0.00 2.92079 -108.122 -2.92079 2.92079 0.96 0.000465523 0.000424497 0.0286109 0.0261774 48 2993 42 6.99608e+06 191304 865456. 2994.66 4.17 0.185543 0.16418 28354 207349 -1 2416 23 2001 3458 361645 78058 4.42516 4.42516 -167.046 -4.42516 0 0 1.05005e+06 3633.38 0.44 0.12 0.18 -1 -1 0.44 0.0305859 0.0273988 77 -1 128 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 10.60 vpr 65.74 MiB 0.02 7516 -1 -1 1 0.04 -1 -1 34060 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 32 32 424 343 1 266 83 17 17 289 -1 unnamed_device 27.2 MiB 1.51 1140 12863 3244 9166 453 65.7 MiB 0.11 0.00 2.82204 -105.252 -2.82204 2.82204 1.06 0.000666632 0.000613879 0.0459863 0.04203 46 3271 31 6.99608e+06 279598 828058. 2865.25 5.50 0.279163 0.24404 28066 200906 -1 2450 21 2146 2624 209445 44293 3.59341 3.59341 -135.28 -3.59341 0 0 1.01997e+06 3529.29 0.42 0.09 0.20 -1 -1 0.42 0.0316881 0.028182 120 81 25 25 96 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 8.05 vpr 65.54 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33632 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 395 311 1 242 84 17 17 289 -1 unnamed_device 26.8 MiB 1.08 1242 14358 4248 8279 1831 65.5 MiB 0.12 0.00 2.95229 -111.693 -2.95229 2.95229 1.06 0.000552241 0.000502056 0.0473726 0.0432934 40 3382 29 6.99608e+06 294314 706193. 2443.58 3.37 0.215797 0.19186 26914 176310 -1 2891 23 2392 3323 345513 71442 4.07636 4.07636 -155.527 -4.07636 0 0 926341. 3205.33 0.41 0.12 0.16 -1 -1 0.41 0.0362414 0.0325394 106 58 64 32 60 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 8.21 vpr 65.75 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 33752 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67328 32 32 405 318 1 253 81 17 17 289 -1 unnamed_device 27.0 MiB 0.82 1139 14431 5158 6729 2544 65.8 MiB 0.12 0.00 2.95409 -111.977 -2.95409 2.95409 1.04 0.000555931 0.000503159 0.0504037 0.0458216 40 3512 35 6.99608e+06 250167 706193. 2443.58 3.82 0.197211 0.172914 26914 176310 -1 3072 31 2965 3949 589035 156112 3.60016 3.60016 -141.863 -3.60016 0 0 926341. 3205.33 0.37 0.18 0.17 -1 -1 0.37 0.0400162 0.0353839 108 61 63 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 7.54 vpr 65.68 MiB 0.02 7116 -1 -1 1 0.04 -1 -1 34116 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67260 32 32 376 288 1 218 80 17 17 289 -1 unnamed_device 27.0 MiB 1.11 820 12636 5342 6778 516 65.7 MiB 0.11 0.00 3.25275 -116.146 -3.25275 3.25275 1.02 0.000530782 0.000482835 0.0441011 0.0402255 48 2699 26 6.99608e+06 235451 865456. 2994.66 2.92 0.179608 0.157357 28354 207349 -1 2314 23 2099 3015 286727 65265 4.46426 4.46426 -155.583 -4.46426 0 0 1.05005e+06 3633.38 0.44 0.11 0.20 -1 -1 0.44 0.0318336 0.0283913 94 21 96 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 7.16 vpr 65.50 MiB 0.03 7212 -1 -1 1 0.04 -1 -1 34276 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 407 319 1 251 82 17 17 289 -1 unnamed_device 26.7 MiB 0.95 996 15390 5720 7350 2320 65.5 MiB 0.11 0.00 3.20395 -115.16 -3.20395 3.20395 0.97 0.000504775 0.000461059 0.0482828 0.0441156 46 2697 37 6.99608e+06 264882 828058. 2865.25 2.90 0.207654 0.183974 28066 200906 -1 1871 28 2540 3128 200512 48308 4.31872 4.31872 -160.552 -4.31872 0 0 1.01997e+06 3529.29 0.41 0.09 0.17 -1 -1 0.41 0.0364451 0.0325259 110 50 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 9.65 vpr 65.77 MiB 0.03 7580 -1 -1 1 0.04 -1 -1 34248 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67344 31 32 449 367 1 290 85 17 17 289 -1 unnamed_device 27.6 MiB 1.79 1480 17197 6380 8322 2495 65.8 MiB 0.15 0.00 3.20798 -117.116 -3.20798 3.20798 1.04 0.000625772 0.000570266 0.0598684 0.0544924 38 4321 47 6.99608e+06 323745 678818. 2348.85 4.32 0.213824 0.18769 26626 170182 -1 3352 23 2422 2896 266672 53170 4.22995 4.22995 -155.578 -4.22995 0 0 902133. 3121.57 0.37 0.10 0.16 -1 -1 0.37 0.0332991 0.0295341 132 110 0 0 122 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 8.69 vpr 65.73 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 33888 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67308 32 32 432 346 1 281 84 17 17 289 -1 unnamed_device 27.3 MiB 1.17 1498 13809 4316 7356 2137 65.7 MiB 0.12 0.00 3.10545 -118.488 -3.10545 3.10545 1.05 0.00058686 0.000534693 0.048706 0.0443876 48 3714 50 6.99608e+06 294314 865456. 2994.66 3.83 0.229091 0.202035 28354 207349 -1 3165 24 2858 4076 389772 78409 3.99012 3.99012 -154.538 -3.99012 0 0 1.05005e+06 3633.38 0.47 0.13 0.20 -1 -1 0.47 0.0368768 0.0331361 126 86 32 32 94 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 18.17 vpr 65.07 MiB 0.02 6916 -1 -1 1 0.04 -1 -1 34116 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 312 255 1 191 78 17 17 289 -1 unnamed_device 26.6 MiB 0.61 779 10204 4217 5723 264 65.1 MiB 0.08 0.00 2.59005 -96.7251 -2.59005 2.59005 1.06 0.000498635 0.000449009 0.0318717 0.0289725 40 2341 49 6.99608e+06 206020 706193. 2443.58 14.04 0.293736 0.254408 26914 176310 -1 1973 31 1972 2657 372778 138793 3.27222 3.27222 -126.894 -3.27222 0 0 926341. 3205.33 0.37 0.16 0.18 -1 -1 0.37 0.0355313 0.0314866 80 20 63 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 8.07 vpr 65.68 MiB 0.02 7088 -1 -1 1 0.03 -1 -1 33452 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67260 32 32 370 314 1 244 80 17 17 289 -1 unnamed_device 27.0 MiB 1.01 1028 12292 5144 6910 238 65.7 MiB 0.10 0.00 3.05483 -110.957 -3.05483 3.05483 1.00 0.000510953 0.000463005 0.0395947 0.0359803 46 3280 32 6.99608e+06 235451 828058. 2865.25 3.59 0.175861 0.153599 28066 200906 -1 2378 25 2263 2676 285166 57362 4.30411 4.30411 -156.019 -4.30411 0 0 1.01997e+06 3529.29 0.44 0.11 0.18 -1 -1 0.44 0.0368908 0.0329217 108 91 0 0 94 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 9.29 vpr 65.75 MiB 0.03 7424 -1 -1 1 0.04 -1 -1 34204 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67328 32 32 469 351 1 285 84 17 17 289 -1 unnamed_device 27.5 MiB 0.99 1266 14358 5546 6703 2109 65.8 MiB 0.13 0.00 3.74629 -132.006 -3.74629 3.74629 0.99 0.000634064 0.000577439 0.0529759 0.0482342 50 4592 45 6.99608e+06 294314 902133. 3121.57 4.71 0.229742 0.201844 28642 213929 -1 3106 24 2844 3871 356406 74220 5.20516 5.20516 -182.909 -5.20516 0 0 1.08113e+06 3740.92 0.44 0.13 0.21 -1 -1 0.44 0.0389058 0.0345008 126 53 96 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 8.80 vpr 65.56 MiB 0.02 7072 -1 -1 1 0.03 -1 -1 34144 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 368 284 1 217 80 17 17 289 -1 unnamed_device 26.8 MiB 0.72 1085 11776 3392 7101 1283 65.6 MiB 0.10 0.00 2.95409 -117.598 -2.95409 2.95409 1.02 0.000535321 0.000486152 0.0398658 0.0362766 38 3000 39 6.99608e+06 235451 678818. 2348.85 4.65 0.189082 0.165413 26626 170182 -1 2565 22 1901 2489 224024 45475 3.48286 3.48286 -143.144 -3.48286 0 0 902133. 3121.57 0.37 0.09 0.17 -1 -1 0.37 0.0309808 0.0275563 93 31 92 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 7.02 vpr 65.06 MiB 0.02 7000 -1 -1 1 0.03 -1 -1 33552 -1 -1 24 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66624 30 32 296 244 1 177 86 17 17 289 -1 unnamed_device 26.5 MiB 0.80 680 13694 4954 6724 2016 65.1 MiB 0.10 0.00 3.12594 -100.045 -3.12594 3.12594 1.02 0.000512409 0.000465169 0.0357536 0.0325356 46 2388 42 6.99608e+06 353176 828058. 2865.25 2.76 0.142406 0.124537 28066 200906 -1 1624 22 1457 2131 170633 38017 3.58616 3.58616 -128.623 -3.58616 0 0 1.01997e+06 3529.29 0.42 0.07 0.19 -1 -1 0.42 0.0235489 0.0207948 80 29 60 30 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 9.18 vpr 65.96 MiB 0.02 7524 -1 -1 1 0.04 -1 -1 34176 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67548 32 32 531 413 1 346 88 17 17 289 -1 unnamed_device 27.9 MiB 0.91 1577 16078 6408 7943 1727 66.0 MiB 0.14 0.00 4.47287 -159.339 -4.47287 4.47287 0.94 0.000642246 0.00056662 0.0576946 0.0525729 46 4872 28 6.99608e+06 353176 828058. 2865.25 4.86 0.241174 0.214352 28066 200906 -1 3398 25 3580 4519 404493 80576 5.73009 5.73009 -206.988 -5.73009 0 0 1.01997e+06 3529.29 0.41 0.14 0.17 -1 -1 0.41 0.0440479 0.0396024 159 109 32 32 128 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 8.06 vpr 65.81 MiB 0.02 7116 -1 -1 1 0.04 -1 -1 33892 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67388 32 32 376 288 1 217 80 17 17 289 -1 unnamed_device 27.0 MiB 0.88 866 14700 5360 7136 2204 65.8 MiB 0.12 0.00 3.52464 -126.298 -3.52464 3.52464 1.02 0.000533276 0.000485656 0.0504701 0.0459279 38 3132 36 6.99608e+06 235451 678818. 2348.85 3.62 0.189334 0.16698 26626 170182 -1 2356 25 2485 3199 258308 58077 4.54661 4.54661 -170.872 -4.54661 0 0 902133. 3121.57 0.39 0.10 0.16 -1 -1 0.39 0.0345935 0.0308348 92 31 96 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 6.26 vpr 64.96 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 33972 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66524 32 32 283 225 1 158 88 17 17 289 -1 unnamed_device 26.5 MiB 0.28 655 12763 5266 7184 313 65.0 MiB 0.09 0.00 2.48655 -92.9547 -2.48655 2.48655 1.00 0.000428762 0.000388712 0.0319228 0.0291432 48 1874 22 6.99608e+06 353176 865456. 2994.66 2.56 0.138315 0.120939 28354 207349 -1 1599 22 1539 2403 201370 44420 3.00732 3.00732 -120.09 -3.00732 0 0 1.05005e+06 3633.38 0.42 0.08 0.20 -1 -1 0.42 0.0241224 0.0213585 70 -1 96 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 8.35 vpr 65.78 MiB 0.03 7236 -1 -1 1 0.04 -1 -1 34496 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67360 32 32 438 320 1 256 82 17 17 289 -1 unnamed_device 27.1 MiB 0.88 1146 10940 4472 6065 403 65.8 MiB 0.10 0.00 3.69799 -132.667 -3.69799 3.69799 1.05 0.000602215 0.000541318 0.0433055 0.0394394 50 3497 32 6.99608e+06 264882 902133. 3121.57 3.73 0.212321 0.187301 28642 213929 -1 2762 23 2687 3794 353138 83664 5.02986 5.02986 -183.346 -5.02986 0 0 1.08113e+06 3740.92 0.47 0.13 0.21 -1 -1 0.47 0.0370293 0.0332701 112 26 128 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 8.76 vpr 64.91 MiB 0.02 6836 -1 -1 1 0.04 -1 -1 33760 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66468 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 26.5 MiB 0.34 585 10149 4277 5628 244 64.9 MiB 0.08 0.00 2.35025 -91.33 -2.35025 2.35025 1.06 0.000440176 0.000399391 0.0331446 0.0302079 44 1903 25 6.99608e+06 147157 787024. 2723.27 4.93 0.197123 0.172016 27778 195446 -1 1401 23 1493 2229 148049 34635 3.05562 3.05562 -116.589 -3.05562 0 0 997811. 3452.63 0.42 0.07 0.18 -1 -1 0.42 0.0253029 0.0223739 62 -1 96 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 6.73 vpr 64.84 MiB 0.02 7264 -1 -1 1 0.03 -1 -1 33844 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 30 32 296 244 1 179 77 17 17 289 -1 unnamed_device 26.3 MiB 0.83 651 11161 4650 5974 537 64.8 MiB 0.08 0.00 2.68144 -93.3928 -2.68144 2.68144 0.97 0.000391944 0.000355596 0.0306212 0.0279405 52 2145 50 6.99608e+06 220735 926341. 3205.33 2.58 0.167149 0.147355 29218 227130 -1 1615 21 1510 1958 176924 51419 3.58517 3.58517 -124.552 -3.58517 0 0 1.14541e+06 3963.36 0.47 0.08 0.19 -1 -1 0.47 0.0250939 0.0225066 74 29 60 30 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 10.93 vpr 65.59 MiB 0.02 7360 -1 -1 1 0.04 -1 -1 34136 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67164 29 32 393 319 1 245 81 17 17 289 -1 unnamed_device 27.1 MiB 1.97 1114 16706 6522 7409 2775 65.6 MiB 0.13 0.00 3.08733 -101.959 -3.08733 3.08733 0.99 0.000535781 0.00048458 0.055645 0.0505597 46 3110 27 6.99608e+06 294314 828058. 2865.25 5.43 0.244304 0.21284 28066 200906 -1 2320 19 1881 2460 179885 38399 3.69 3.69 -128.952 -3.69 0 0 1.01997e+06 3529.29 0.44 0.08 0.19 -1 -1 0.44 0.0296355 0.0263609 113 81 29 29 85 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 7.66 vpr 65.91 MiB 0.03 7172 -1 -1 1 0.04 -1 -1 34196 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67496 32 32 407 319 1 249 82 17 17 289 -1 unnamed_device 27.1 MiB 1.16 1185 10050 3468 4807 1775 65.9 MiB 0.10 0.00 3.54484 -133.077 -3.54484 3.54484 1.10 0.000573988 0.00052083 0.0372124 0.0338498 42 3575 49 6.99608e+06 264882 744469. 2576.02 2.78 0.212363 0.186857 27202 183097 -1 2883 23 2725 3578 370055 73025 4.65291 4.65291 -178.011 -4.65291 0 0 949917. 3286.91 0.43 0.13 0.18 -1 -1 0.43 0.0354299 0.0318243 109 53 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 10.93 vpr 65.91 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 34216 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67492 32 32 407 319 1 249 82 17 17 289 -1 unnamed_device 27.1 MiB 1.22 1114 14500 4614 8523 1363 65.9 MiB 0.13 0.00 3.53384 -127.384 -3.53384 3.53384 1.10 0.000585863 0.00053444 0.0511871 0.0466489 48 2987 23 6.99608e+06 264882 865456. 2994.66 5.92 0.281341 0.244837 28354 207349 -1 2605 22 2703 3614 345310 70178 4.51061 4.51061 -168.398 -4.51061 0 0 1.05005e+06 3633.38 0.46 0.11 0.21 -1 -1 0.46 0.0319123 0.0283886 110 55 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 6.95 vpr 65.35 MiB 0.02 7268 -1 -1 1 0.03 -1 -1 34192 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66920 32 32 345 287 1 212 79 17 17 289 -1 unnamed_device 26.9 MiB 0.74 872 11909 4956 6693 260 65.4 MiB 0.09 0.00 2.70344 -102.131 -2.70344 2.70344 1.01 0.000487108 0.000445727 0.0354613 0.0323794 38 2802 47 6.99608e+06 220735 678818. 2348.85 2.92 0.172468 0.153071 26626 170182 -1 2021 22 1897 2164 184172 40011 3.34951 3.34951 -130.674 -3.34951 0 0 902133. 3121.57 0.36 0.08 0.14 -1 -1 0.36 0.0297368 0.0268117 92 55 32 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 11.36 vpr 65.33 MiB 0.02 7116 -1 -1 1 0.04 -1 -1 34080 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66900 31 32 353 302 1 230 80 17 17 289 -1 unnamed_device 26.9 MiB 3.07 1062 11948 4657 6135 1156 65.3 MiB 0.10 0.00 2.64844 -100.934 -2.64844 2.64844 1.03 0.000497459 0.000452224 0.038198 0.034798 44 2935 26 6.99608e+06 250167 787024. 2723.27 4.81 0.220912 0.192067 27778 195446 -1 2352 19 1776 2180 190180 39106 3.27451 3.27451 -128.754 -3.27451 0 0 997811. 3452.63 0.39 0.08 0.19 -1 -1 0.39 0.024206 0.021625 102 82 0 0 89 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 25.32 vpr 65.14 MiB 0.02 7156 -1 -1 1 0.04 -1 -1 34164 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66700 30 32 374 297 1 226 81 17 17 289 -1 unnamed_device 26.6 MiB 1.29 934 10231 4137 5520 574 65.1 MiB 0.09 0.00 2.77704 -93.9132 -2.77704 2.77704 1.06 0.000561301 0.00051163 0.0382142 0.0347238 44 3390 38 6.99608e+06 279598 787024. 2723.27 20.52 0.316228 0.274704 27778 195446 -1 2218 19 2015 2787 214209 49007 3.47252 3.47252 -122.864 -3.47252 0 0 997811. 3452.63 0.41 0.08 0.19 -1 -1 0.41 0.0258608 0.0231021 101 52 60 30 57 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 8.26 vpr 65.19 MiB 0.03 7120 -1 -1 1 0.04 -1 -1 34036 -1 -1 18 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66752 28 32 332 260 1 197 78 17 17 289 -1 unnamed_device 26.6 MiB 0.79 828 7548 3007 4138 403 65.2 MiB 0.07 0.00 3.17575 -100.321 -3.17575 3.17575 1.14 0.000490904 0.000436184 0.0262015 0.0240386 40 2612 26 6.99608e+06 264882 706193. 2443.58 3.79 0.166074 0.146309 26914 176310 -1 2129 20 1845 2634 225804 49242 4.23372 4.23372 -142.014 -4.23372 0 0 926341. 3205.33 0.42 0.09 0.17 -1 -1 0.42 0.0293557 0.0264528 87 20 84 28 28 28 +fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 11.98 vpr 65.59 MiB 0.02 7316 -1 -1 1 0.04 -1 -1 33912 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 30 32 325 273 1 204 77 17 17 289 -1 unnamed_device 26.7 MiB 2.05 863 11161 4622 6074 465 65.6 MiB 0.09 0.00 3.64224 -118.696 -3.64224 3.64224 1.05 0.0004186 0.000381209 0.0358011 0.0325793 50 2461 41 6.99608e+06 220735 902133. 3121.57 6.34 0.268506 0.236442 28642 213929 -1 1933 26 1689 2272 201362 43150 4.04571 4.04571 -139.684 -4.04571 0 0 1.08113e+06 3740.92 0.48 0.09 0.20 -1 -1 0.48 0.03051 0.0271878 88 58 30 30 60 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 13.03 vpr 65.66 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 33472 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67236 32 32 361 308 1 241 79 17 17 289 -1 unnamed_device 26.9 MiB 3.62 1136 13430 4903 6791 1736 65.7 MiB 0.12 0.00 3.21599 -114.074 -3.21599 3.21599 1.10 0.000563843 0.000512035 0.0460095 0.0418497 46 2819 35 6.99608e+06 220735 828058. 2865.25 5.69 0.244651 0.213237 28066 200906 -1 2272 21 1807 2209 198351 40349 3.25856 3.25856 -132.742 -3.25856 0 0 1.01997e+06 3529.29 0.45 0.08 0.20 -1 -1 0.45 0.0274714 0.0243012 104 88 0 0 91 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 9.77 vpr 65.12 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33652 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 31 32 335 251 1 188 88 17 17 289 -1 unnamed_device 26.7 MiB 0.16 859 15493 5878 7168 2447 65.1 MiB 0.11 0.00 3.13845 -110.277 -3.13845 3.13845 1.08 0.000496212 0.000453619 0.0445616 0.0405313 54 2167 28 6.99608e+06 367892 949917. 3286.91 5.83 0.243337 0.212582 29506 232905 -1 1724 25 1888 2873 205106 44544 3.90982 3.90982 -140.626 -3.90982 0 0 1.17392e+06 4061.99 0.53 0.09 0.24 -1 -1 0.53 0.0312166 0.0277502 86 -1 124 31 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 8.66 vpr 65.45 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 34040 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 32 32 407 319 1 249 81 17 17 289 -1 unnamed_device 26.7 MiB 0.81 1269 14081 4102 8553 1426 65.5 MiB 0.13 0.00 3.55094 -133.482 -3.55094 3.55094 1.11 0.000624341 0.000560322 0.0522866 0.0475969 44 3652 33 6.99608e+06 250167 787024. 2723.27 4.17 0.189579 0.167486 27778 195446 -1 2899 20 2043 2579 218040 42669 4.3303 4.3303 -160.781 -4.3303 0 0 997811. 3452.63 0.41 0.09 0.19 -1 -1 0.41 0.0296552 0.0264622 110 57 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 10.17 vpr 65.70 MiB 0.02 7420 -1 -1 1 0.03 -1 -1 34084 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67276 32 32 407 319 1 248 82 17 17 289 -1 unnamed_device 27.1 MiB 0.68 1082 13788 5263 6408 2117 65.7 MiB 0.12 0.00 4.23178 -141.686 -4.23178 4.23178 1.00 0.000544739 0.000499822 0.0478986 0.0438734 48 3490 35 6.99608e+06 264882 865456. 2994.66 5.95 0.212512 0.188901 28354 207349 -1 2638 23 2577 3550 394973 88798 4.88579 4.88579 -179.792 -4.88579 0 0 1.05005e+06 3633.38 0.45 0.14 0.18 -1 -1 0.45 0.0366495 0.0331202 108 62 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 8.55 vpr 65.98 MiB 0.03 7360 -1 -1 1 0.04 -1 -1 33996 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67564 32 32 399 315 1 250 82 17 17 289 -1 unnamed_device 27.1 MiB 0.78 1204 15212 6244 6660 2308 66.0 MiB 0.13 0.00 3.36648 -124.354 -3.36648 3.36648 1.04 0.000533963 0.000485512 0.052082 0.0474037 44 3892 41 6.99608e+06 264882 787024. 2723.27 4.20 0.211843 0.185665 27778 195446 -1 2910 21 2263 3233 280941 57401 4.60785 4.60785 -161.062 -4.60785 0 0 997811. 3452.63 0.40 0.10 0.19 -1 -1 0.40 0.0301105 0.0267821 107 62 60 30 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 8.17 vpr 64.93 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 33584 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66492 30 32 296 244 1 179 75 17 17 289 -1 unnamed_device 26.4 MiB 0.98 663 12557 5340 6750 467 64.9 MiB 0.10 0.00 2.92109 -101.256 -2.92109 2.92109 1.08 0.000430534 0.000392002 0.0409651 0.0373035 40 2483 26 6.99608e+06 191304 706193. 2443.58 3.62 0.158489 0.138681 26914 176310 -1 2025 17 1436 1969 181220 41147 3.70046 3.70046 -136.772 -3.70046 0 0 926341. 3205.33 0.40 0.07 0.16 -1 -1 0.40 0.022758 0.0203865 76 29 60 30 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 11.32 vpr 65.73 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 33984 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67304 30 32 383 303 1 237 80 17 17 289 -1 unnamed_device 27.0 MiB 2.88 929 14700 4062 8555 2083 65.7 MiB 0.13 0.00 3.81003 -124.877 -3.81003 3.81003 1.05 0.000557149 0.000510664 0.0520533 0.047623 40 3177 50 6.99608e+06 264882 706193. 2443.58 4.84 0.231837 0.204764 26914 176310 -1 2657 22 2517 3524 337781 74358 4.99204 4.99204 -175.627 -4.99204 0 0 926341. 3205.33 0.37 0.11 0.17 -1 -1 0.37 0.0305906 0.0271227 105 58 60 30 60 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 10.85 vpr 65.67 MiB 0.03 7452 -1 -1 1 0.04 -1 -1 34236 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 32 32 469 381 1 309 86 17 17 289 -1 unnamed_device 27.4 MiB 0.91 1345 15017 6354 8239 424 65.7 MiB 0.14 0.00 3.42564 -127.072 -3.42564 3.42564 1.09 0.000592544 0.000536907 0.0556463 0.0507029 46 3840 47 6.99608e+06 323745 828058. 2865.25 6.17 0.33462 0.291944 28066 200906 -1 2840 24 2657 2726 254819 50257 4.38025 4.38025 -166.865 -4.38025 0 0 1.01997e+06 3529.29 0.43 0.11 0.20 -1 -1 0.43 0.0370364 0.0327555 139 106 0 0 128 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 11.23 vpr 65.59 MiB 0.03 7424 -1 -1 1 0.04 -1 -1 34032 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 31 32 425 341 1 275 85 17 17 289 -1 unnamed_device 27.3 MiB 1.74 1230 13105 5472 7246 387 65.6 MiB 0.12 0.00 3.52904 -124.805 -3.52904 3.52904 1.13 0.000770094 0.000709302 0.0478291 0.0436718 38 3458 41 6.99608e+06 323745 678818. 2348.85 5.86 0.228978 0.201859 26626 170182 -1 2603 21 2384 2843 215615 46282 4.64511 4.64511 -162.859 -4.64511 0 0 902133. 3121.57 0.37 0.10 0.15 -1 -1 0.37 0.0358148 0.0323338 125 79 31 31 93 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 27.11 vpr 65.73 MiB 0.03 7528 -1 -1 1 0.04 -1 -1 34244 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67312 30 32 404 328 1 249 84 17 17 289 -1 unnamed_device 27.2 MiB 3.36 1063 15090 5034 7228 2828 65.7 MiB 0.14 0.00 3.51688 -108.447 -3.51688 3.51688 1.11 0.000611141 0.000562379 0.0554908 0.0507939 38 4125 45 6.99608e+06 323745 678818. 2348.85 19.98 0.366229 0.32119 26626 170182 -1 2813 25 2735 3854 377245 78167 4.8054 4.8054 -169.877 -4.8054 0 0 902133. 3121.57 0.40 0.13 0.17 -1 -1 0.40 0.0383949 0.0344336 114 83 26 26 90 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 9.88 vpr 65.84 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 33948 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67424 32 32 407 319 1 252 82 17 17 289 -1 unnamed_device 27.3 MiB 1.08 1112 15212 5603 7637 1972 65.8 MiB 0.12 0.00 3.54484 -127.964 -3.54484 3.54484 0.96 0.000536751 0.000489439 0.0494838 0.0453031 48 3164 24 6.99608e+06 264882 865456. 2994.66 5.34 0.287493 0.253502 28354 207349 -1 2658 22 2691 3663 377033 79654 5.28741 5.28741 -179.862 -5.28741 0 0 1.05005e+06 3633.38 0.44 0.12 0.18 -1 -1 0.44 0.0337281 0.0302245 110 58 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 11.59 vpr 65.71 MiB 0.03 7484 -1 -1 1 0.03 -1 -1 34196 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67284 29 32 387 316 1 240 81 17 17 289 -1 unnamed_device 27.0 MiB 2.07 1035 11631 4213 5913 1505 65.7 MiB 0.09 0.00 2.90529 -95.1703 -2.90529 2.90529 1.00 0.000524644 0.000470505 0.0386341 0.0351279 54 2385 20 6.99608e+06 294314 949917. 3286.91 5.99 0.27392 0.238668 29506 232905 -1 1981 22 1830 2456 174454 38288 3.42781 3.42781 -119.347 -3.42781 0 0 1.17392e+06 4061.99 0.50 0.09 0.22 -1 -1 0.50 0.0339654 0.0302956 112 81 26 26 85 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 9.45 vpr 64.55 MiB 0.02 7144 -1 -1 1 0.03 -1 -1 33964 -1 -1 10 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66096 32 32 283 225 1 155 74 17 17 289 -1 unnamed_device 26.1 MiB 0.79 616 9684 4011 5353 320 64.5 MiB 0.07 0.00 2.36125 -91.4141 -2.36125 2.36125 0.98 0.000424823 0.000387889 0.0294173 0.0267367 46 2077 31 6.99608e+06 147157 828058. 2865.25 5.26 0.223487 0.195668 28066 200906 -1 1588 23 1515 2362 162461 37752 3.26222 3.26222 -126.442 -3.26222 0 0 1.01997e+06 3529.29 0.46 0.08 0.19 -1 -1 0.46 0.025414 0.022758 62 -1 96 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 11.39 vpr 65.83 MiB 0.02 7404 -1 -1 1 0.04 -1 -1 34128 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67408 32 32 407 319 1 251 82 17 17 289 -1 unnamed_device 27.3 MiB 0.82 1043 14678 6180 8072 426 65.8 MiB 0.13 0.00 4.01233 -140.857 -4.01233 4.01233 1.05 0.000617028 0.000544198 0.052063 0.0474123 46 3763 41 6.99608e+06 264882 828058. 2865.25 6.88 0.215473 0.189134 28066 200906 -1 2585 21 2404 3183 291217 61716 5.2457 5.2457 -182.3 -5.2457 0 0 1.01997e+06 3529.29 0.46 0.11 0.21 -1 -1 0.46 0.0322443 0.028861 110 62 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 7.94 vpr 65.74 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 34068 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 32 32 407 319 1 255 81 17 17 289 -1 unnamed_device 27.2 MiB 0.96 1186 15306 4921 8633 1752 65.7 MiB 0.12 0.00 3.88697 -135.819 -3.88697 3.88697 0.99 0.000556345 0.000508561 0.0515678 0.0469319 50 3091 36 6.99608e+06 250167 902133. 3121.57 3.37 0.217522 0.192292 28642 213929 -1 2640 24 3022 4186 453611 90236 4.90894 4.90894 -178.316 -4.90894 0 0 1.08113e+06 3740.92 0.45 0.14 0.21 -1 -1 0.45 0.0341774 0.0302789 111 62 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 11.90 vpr 65.09 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 33816 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66656 32 32 315 267 1 202 77 17 17 289 -1 unnamed_device 26.5 MiB 2.55 862 12954 5493 7158 303 65.1 MiB 0.10 0.00 2.55629 -91.6388 -2.55629 2.55629 1.03 0.000459523 0.000411822 0.0405286 0.0369219 38 2827 40 6.99608e+06 191304 678818. 2348.85 5.84 0.171125 0.149701 26626 170182 -1 1908 27 1668 1965 202051 51428 3.38276 3.38276 -117.08 -3.38276 0 0 902133. 3121.57 0.40 0.10 0.17 -1 -1 0.40 0.0335575 0.0299516 85 47 32 32 54 27 +fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 7.10 vpr 64.96 MiB 0.02 7084 -1 -1 1 0.03 -1 -1 34020 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66520 31 32 275 220 1 154 74 17 17 289 -1 unnamed_device 26.6 MiB 0.24 830 9529 3700 4702 1127 65.0 MiB 0.08 0.00 2.6052 -100.797 -2.6052 2.6052 1.05 0.000433961 0.00039685 0.0293847 0.0269126 36 2307 34 6.99608e+06 161872 648988. 2245.63 3.57 0.151982 0.133959 26050 158493 -1 1916 20 1411 2093 191831 38259 3.25927 3.25927 -129.794 -3.25927 0 0 828058. 2865.25 0.34 0.07 0.14 -1 -1 0.34 0.0232423 0.0208554 63 -1 93 31 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 8.44 vpr 65.82 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 33628 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67404 32 32 381 303 1 235 81 17 17 289 -1 unnamed_device 27.0 MiB 1.01 900 15306 5732 7360 2214 65.8 MiB 0.12 0.00 3.28468 -109.777 -3.28468 3.28468 1.06 0.00057072 0.000509225 0.0488008 0.0442292 44 3366 48 6.99608e+06 250167 787024. 2723.27 3.84 0.205105 0.180702 27778 195446 -1 1965 20 1791 2125 156808 35106 3.92165 3.92165 -134.768 -3.92165 0 0 997811. 3452.63 0.45 0.07 0.18 -1 -1 0.45 0.0282748 0.0251127 102 56 60 32 58 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 10.21 vpr 65.88 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 33888 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67464 32 32 406 330 1 255 83 17 17 289 -1 unnamed_device 27.4 MiB 1.63 1176 13943 5620 6610 1713 65.9 MiB 0.12 0.00 3.50704 -122.982 -3.50704 3.50704 1.02 0.000624075 0.000555072 0.0495402 0.0451042 38 3524 32 6.99608e+06 279598 678818. 2348.85 5.13 0.201172 0.176939 26626 170182 -1 2539 20 2034 2363 190278 40245 4.50581 4.50581 -155.841 -4.50581 0 0 902133. 3121.57 0.36 0.08 0.16 -1 -1 0.36 0.0290052 0.0259471 115 81 28 28 88 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 9.39 vpr 65.67 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 34076 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67248 32 32 399 285 1 218 91 17 17 289 -1 unnamed_device 27.0 MiB 0.42 1217 11719 2666 8203 850 65.7 MiB 0.10 0.00 3.52884 -132.013 -3.52884 3.52884 1.05 0.000629466 0.000571474 0.0383444 0.0350166 40 3229 36 6.99608e+06 397324 706193. 2443.58 5.00 0.201891 0.178594 26914 176310 -1 2936 64 5473 8758 1576828 660751 4.85635 4.85635 -178.808 -4.85635 0 0 926341. 3205.33 0.38 0.58 0.17 -1 -1 0.38 0.0836968 0.0740101 100 -1 156 32 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 8.44 vpr 65.55 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33964 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67128 30 32 371 295 1 227 81 17 17 289 -1 unnamed_device 26.9 MiB 1.06 948 15131 5887 6822 2422 65.6 MiB 0.12 0.00 2.98539 -97.6966 -2.98539 2.98539 0.98 0.000533243 0.000489388 0.0500936 0.0458717 40 2946 44 6.99608e+06 279598 706193. 2443.58 4.01 0.208829 0.184965 26914 176310 -1 2582 22 1883 2620 290991 65752 4.14061 4.14061 -142.167 -4.14061 0 0 926341. 3205.33 0.38 0.11 0.15 -1 -1 0.38 0.0317136 0.0282888 101 47 60 30 56 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 7.54 vpr 65.10 MiB 0.02 7152 -1 -1 1 0.03 -1 -1 34260 -1 -1 16 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 27 32 269 226 1 152 75 17 17 289 -1 unnamed_device 26.6 MiB 1.60 534 11925 5017 6125 783 65.1 MiB 0.08 0.00 3.14605 -88.3558 -3.14605 3.14605 1.04 0.000407796 0.000371008 0.0338986 0.0309197 40 1723 26 6.99608e+06 235451 706193. 2443.58 2.55 0.142917 0.125704 26914 176310 -1 1448 22 1307 1821 161591 38228 3.47916 3.47916 -114.036 -3.47916 0 0 926341. 3205.33 0.39 0.07 0.17 -1 -1 0.39 0.0234774 0.0209535 67 26 54 27 27 27 +fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 7.83 vpr 65.81 MiB 0.03 7400 -1 -1 1 0.04 -1 -1 34188 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67388 32 32 493 378 1 313 85 17 17 289 -1 unnamed_device 27.5 MiB 1.00 1569 16081 6200 7797 2084 65.8 MiB 0.15 0.00 3.70124 -132.966 -3.70124 3.70124 0.95 0.000611597 0.00055588 0.0597488 0.0545218 48 4577 24 6.99608e+06 309029 865456. 2994.66 3.20 0.227891 0.203093 28354 207349 -1 3903 24 3372 4634 516565 100668 5.2434 5.2434 -173.818 -5.2434 0 0 1.05005e+06 3633.38 0.48 0.16 0.20 -1 -1 0.48 0.0413587 0.0370487 141 85 62 31 95 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 10.73 vpr 65.86 MiB 0.03 7336 -1 -1 1 0.04 -1 -1 34400 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67444 31 32 455 371 1 302 85 17 17 289 -1 unnamed_device 27.7 MiB 3.11 1359 10501 2566 6527 1408 65.9 MiB 0.11 0.00 4.12662 -140.084 -4.12662 4.12662 1.06 0.000640649 0.000581951 0.0411508 0.0374077 40 3643 29 6.99608e+06 323745 706193. 2443.58 3.93 0.199872 0.175763 26914 176310 -1 3187 28 3365 3818 590505 196791 4.64705 4.64705 -173.44 -4.64705 0 0 926341. 3205.33 0.40 0.22 0.18 -1 -1 0.40 0.0438518 0.0392574 138 105 0 0 124 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 11.41 vpr 65.55 MiB 0.02 7320 -1 -1 1 0.03 -1 -1 33840 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 32 32 355 304 1 233 79 17 17 289 -1 unnamed_device 26.8 MiB 3.70 992 11571 4040 5367 2164 65.5 MiB 0.09 0.00 2.99983 -108.221 -2.99983 2.99983 1.07 0.000485429 0.000441003 0.0372653 0.0338472 40 2939 29 6.99608e+06 220735 706193. 2443.58 4.23 0.167903 0.14703 26914 176310 -1 2584 21 1996 2415 291227 69738 4.3708 4.3708 -157.871 -4.3708 0 0 926341. 3205.33 0.37 0.10 0.17 -1 -1 0.37 0.0278327 0.0247655 102 86 0 0 89 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 10.56 vpr 65.51 MiB 0.02 7312 -1 -1 1 0.04 -1 -1 33756 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67080 32 32 364 282 1 217 80 17 17 289 -1 unnamed_device 26.8 MiB 1.12 933 13496 4702 6629 2165 65.5 MiB 0.12 0.00 3.12745 -112.519 -3.12745 3.12745 1.04 0.000467618 0.000425868 0.0463949 0.0423763 54 2477 25 6.99608e+06 235451 949917. 3286.91 5.79 0.289906 0.253977 29506 232905 -1 2016 25 1939 2615 243886 52507 3.90682 3.90682 -139.567 -3.90682 0 0 1.17392e+06 4061.99 0.50 0.10 0.21 -1 -1 0.50 0.0344907 0.030763 92 31 90 30 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 7.40 vpr 65.96 MiB 0.02 7360 -1 -1 1 0.04 -1 -1 34076 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67540 31 32 443 336 1 261 83 17 17 289 -1 unnamed_device 27.4 MiB 1.71 1122 14123 4737 6599 2787 66.0 MiB 0.12 0.00 3.54405 -115.603 -3.54405 3.54405 0.98 0.000551364 0.000503314 0.0492886 0.0450176 46 3122 30 6.99608e+06 294314 828058. 2865.25 2.27 0.18155 0.161198 28066 200906 -1 2355 23 2318 3159 205061 46366 4.20262 4.20262 -149.811 -4.20262 0 0 1.01997e+06 3529.29 0.41 0.09 0.17 -1 -1 0.41 0.0365325 0.0328713 117 50 87 31 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 10.32 vpr 65.61 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 34120 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67180 30 32 373 297 1 228 82 17 17 289 -1 unnamed_device 26.9 MiB 1.27 1155 12720 3812 7416 1492 65.6 MiB 0.11 0.00 2.91629 -97.4384 -2.91629 2.91629 1.05 0.000517474 0.000474591 0.040914 0.0373858 44 3213 24 6.99608e+06 294314 787024. 2723.27 5.49 0.260086 0.226983 27778 195446 -1 2329 19 1770 2504 173824 39999 3.57036 3.57036 -131.439 -3.57036 0 0 997811. 3452.63 0.42 0.08 0.19 -1 -1 0.42 0.02668 0.0238639 101 50 58 30 58 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 9.49 vpr 65.91 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 33980 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67496 32 32 407 319 1 252 81 17 17 289 -1 unnamed_device 27.0 MiB 0.78 1054 14081 5040 6629 2412 65.9 MiB 0.12 0.00 3.42564 -122.142 -3.42564 3.42564 1.07 0.000700976 0.000634955 0.050946 0.0463793 46 3729 45 6.99608e+06 250167 828058. 2865.25 5.16 0.223922 0.197788 28066 200906 -1 2787 24 2616 3229 299865 63487 4.45561 4.45561 -164.097 -4.45561 0 0 1.01997e+06 3529.29 0.41 0.11 0.17 -1 -1 0.41 0.034068 0.0305529 107 61 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 7.54 vpr 65.62 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 34036 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 32 32 405 318 1 253 82 17 17 289 -1 unnamed_device 26.9 MiB 0.78 1165 8626 1928 6090 608 65.6 MiB 0.08 0.00 2.98529 -112.735 -2.98529 2.98529 0.96 0.000539098 0.00049222 0.0299331 0.0272612 40 3464 38 6.99608e+06 264882 706193. 2443.58 3.42 0.19262 0.169493 26914 176310 -1 2913 21 2314 2996 314485 74011 3.48286 3.48286 -145.7 -3.48286 0 0 926341. 3205.33 0.39 0.11 0.17 -1 -1 0.39 0.0314667 0.0281519 108 61 63 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 8.06 vpr 65.15 MiB 0.02 6984 -1 -1 1 0.03 -1 -1 33616 -1 -1 14 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 29 32 287 238 1 172 75 17 17 289 -1 unnamed_device 26.6 MiB 1.37 638 8923 3666 4824 433 65.1 MiB 0.07 0.00 2.67044 -90.526 -2.67044 2.67044 1.07 0.000411933 0.000374039 0.0276029 0.025184 38 2063 30 6.99608e+06 206020 678818. 2348.85 3.30 0.145642 0.127789 26626 170182 -1 1488 22 1668 2178 165665 35537 3.35701 3.35701 -119.714 -3.35701 0 0 902133. 3121.57 0.39 0.07 0.17 -1 -1 0.39 0.0238972 0.0213128 73 28 58 29 29 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 9.70 vpr 65.44 MiB 0.02 7104 -1 -1 1 0.03 -1 -1 34048 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 32 32 334 290 1 207 78 17 17 289 -1 unnamed_device 26.8 MiB 3.03 797 13524 4800 6639 2085 65.4 MiB 0.10 0.00 2.99983 -99.3764 -2.99983 2.99983 1.06 0.000467141 0.000422391 0.0423229 0.0385082 48 2390 29 6.99608e+06 206020 865456. 2994.66 3.10 0.175743 0.155261 28354 207349 -1 1854 21 1639 1940 196134 46580 3.60541 3.60541 -130.573 -3.60541 0 0 1.05005e+06 3633.38 0.42 0.08 0.20 -1 -1 0.42 0.0252732 0.022357 91 79 0 0 82 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 6.78 vpr 65.68 MiB 0.02 7384 -1 -1 1 0.04 -1 -1 34220 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67256 31 32 365 281 1 217 80 17 17 289 -1 unnamed_device 27.0 MiB 0.67 1137 12292 4035 6815 1442 65.7 MiB 0.10 0.00 3.16964 -118.943 -3.16964 3.16964 1.02 0.000482221 0.000438877 0.0387382 0.0353775 40 2892 46 6.99608e+06 250167 706193. 2443.58 2.79 0.201619 0.178607 26914 176310 -1 2544 21 2177 2858 286406 56617 4.18536 4.18536 -161.335 -4.18536 0 0 926341. 3205.33 0.37 0.10 0.16 -1 -1 0.37 0.0296764 0.0265597 92 29 93 31 31 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 9.76 vpr 65.16 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33740 -1 -1 16 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 29 32 297 254 1 191 77 17 17 289 -1 unnamed_device 26.5 MiB 1.90 845 12628 4927 5370 2331 65.2 MiB 0.09 0.00 2.67859 -89.3377 -2.67859 2.67859 1.06 0.000365423 0.00032998 0.0370983 0.033465 44 2212 23 6.99608e+06 235451 787024. 2723.27 4.38 0.209215 0.182539 27778 195446 -1 1801 20 1220 1380 115522 23883 2.94876 2.94876 -106.607 -2.94876 0 0 997811. 3452.63 0.44 0.06 0.18 -1 -1 0.44 0.0216702 0.0192146 81 48 29 29 52 26 +fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 8.62 vpr 65.25 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 33980 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 314 256 1 188 77 17 17 289 -1 unnamed_device 26.7 MiB 0.84 974 11487 4464 5917 1106 65.2 MiB 0.09 0.00 2.94309 -115.02 -2.94309 2.94309 1.07 0.000461283 0.000418401 0.0359288 0.0327926 38 2637 39 6.99608e+06 191304 678818. 2348.85 4.29 0.174014 0.153013 26626 170182 -1 2151 23 1910 2424 223753 44129 3.46386 3.46386 -141.946 -3.46386 0 0 902133. 3121.57 0.35 0.09 0.16 -1 -1 0.35 0.0281343 0.0249727 79 31 64 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 7.84 vpr 65.80 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 34124 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67380 31 32 387 307 1 239 82 17 17 289 -1 unnamed_device 26.9 MiB 1.41 992 12542 4894 6307 1341 65.8 MiB 0.11 0.00 3.32078 -116.501 -3.32078 3.32078 1.06 0.000550909 0.000496643 0.0456918 0.0416662 44 3070 50 6.99608e+06 279598 787024. 2723.27 2.83 0.206659 0.18221 27778 195446 -1 2235 21 2211 3032 254925 54970 4.05665 4.05665 -150.542 -4.05665 0 0 997811. 3452.63 0.41 0.09 0.19 -1 -1 0.41 0.0290066 0.0258229 105 60 58 31 62 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 10.07 vpr 65.18 MiB 0.02 7256 -1 -1 1 0.04 -1 -1 33856 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66740 31 32 308 262 1 192 76 17 17 289 -1 unnamed_device 26.5 MiB 2.95 716 11916 4411 5743 1762 65.2 MiB 0.08 0.00 2.73604 -87.9997 -2.73604 2.73604 1.04 0.000393418 0.000358761 0.0365101 0.0332039 46 2525 33 6.99608e+06 191304 828058. 2865.25 3.65 0.169279 0.148855 28066 200906 -1 1601 23 1425 1776 132559 33050 3.66791 3.66791 -118.36 -3.66791 0 0 1.01997e+06 3529.29 0.43 0.07 0.19 -1 -1 0.43 0.0269774 0.0239733 81 49 31 31 53 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 11.93 vpr 65.37 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33836 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 32 32 383 307 1 232 82 17 17 289 -1 unnamed_device 26.6 MiB 1.94 1008 15034 6370 8312 352 65.4 MiB 0.12 0.00 2.90049 -103.693 -2.90049 2.90049 1.08 0.000520164 0.000468429 0.0502763 0.0458071 46 3000 29 6.99608e+06 264882 828058. 2865.25 6.34 0.298705 0.261612 28066 200906 -1 2161 21 1631 2194 160745 35137 3.31196 3.31196 -128.61 -3.31196 0 0 1.01997e+06 3529.29 0.45 0.08 0.20 -1 -1 0.45 0.0303765 0.0272556 103 56 52 26 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 8.70 vpr 65.64 MiB 0.03 7432 -1 -1 1 0.04 -1 -1 33676 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 31 32 422 339 1 272 85 17 17 289 -1 unnamed_device 27.3 MiB 0.93 1260 14407 3894 8646 1867 65.6 MiB 0.12 0.00 3.87927 -135.357 -3.87927 3.87927 1.12 0.000516671 0.000467094 0.048176 0.04372 40 3491 37 6.99608e+06 323745 706193. 2443.58 4.01 0.222885 0.196157 26914 176310 -1 3180 20 2532 3455 382457 76638 4.71974 4.71974 -174.295 -4.71974 0 0 926341. 3205.33 0.41 0.13 0.16 -1 -1 0.41 0.0339214 0.0304495 123 88 31 31 92 31 +fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 11.63 vpr 65.43 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 34104 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 333 279 1 208 79 17 17 289 -1 unnamed_device 26.8 MiB 2.86 1202 9036 2501 5408 1127 65.4 MiB 0.07 0.00 2.96354 -111.7 -2.96354 2.96354 0.99 0.000436896 0.000398229 0.0277833 0.0253956 44 2824 35 6.99608e+06 220735 787024. 2723.27 5.36 0.229274 0.202098 27778 195446 -1 2360 21 1599 2222 198318 39746 3.35781 3.35781 -133.841 -3.35781 0 0 997811. 3452.63 0.42 0.08 0.17 -1 -1 0.42 0.0271467 0.0243902 88 54 32 32 60 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 18.22 vpr 65.43 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 33656 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 339 283 1 212 78 17 17 289 -1 unnamed_device 27.0 MiB 0.83 782 11034 3077 6506 1451 65.4 MiB 0.09 0.00 2.68144 -96.9417 -2.68144 2.68144 1.02 0.00059626 0.000535939 0.0361048 0.0328568 46 2531 42 6.99608e+06 206020 828058. 2865.25 13.99 0.319064 0.279893 28066 200906 -1 1592 21 1693 2070 144700 33562 3.40042 3.40042 -121.847 -3.40042 0 0 1.01997e+06 3529.29 0.41 0.07 0.17 -1 -1 0.41 0.0260861 0.0232533 91 60 32 32 62 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 10.52 vpr 65.88 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 34068 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67456 32 32 407 319 1 252 82 17 17 289 -1 unnamed_device 27.1 MiB 1.07 882 9516 3546 4737 1233 65.9 MiB 0.10 0.00 3.18865 -115.614 -3.18865 3.18865 1.12 0.000677967 0.000620842 0.0380251 0.0346307 48 2580 23 6.99608e+06 264882 865456. 2994.66 5.65 0.273584 0.238772 28354 207349 -1 2288 22 2241 2759 237741 54920 4.88722 4.88722 -164.737 -4.88722 0 0 1.05005e+06 3633.38 0.43 0.10 0.20 -1 -1 0.43 0.0332446 0.0294816 110 49 64 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 10.98 vpr 65.64 MiB 0.03 7212 -1 -1 1 0.04 -1 -1 34228 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67212 29 32 367 293 1 222 82 17 17 289 -1 unnamed_device 26.9 MiB 1.88 997 12720 5109 5317 2294 65.6 MiB 0.11 0.00 2.77374 -97.0688 -2.77374 2.77374 1.09 0.000565037 0.000514109 0.0436882 0.039666 38 2919 28 6.99608e+06 309029 678818. 2348.85 5.46 0.188826 0.166196 26626 170182 -1 2402 22 2036 2785 243197 49881 3.33981 3.33981 -123.152 -3.33981 0 0 902133. 3121.57 0.40 0.09 0.16 -1 -1 0.40 0.0307405 0.0275315 101 54 56 29 58 29 +fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 8.13 vpr 65.68 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 34272 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67252 32 32 469 381 1 309 86 17 17 289 -1 unnamed_device 27.4 MiB 0.90 1271 15773 4421 8851 2501 65.7 MiB 0.15 0.00 3.68467 -132.727 -3.68467 3.68467 1.04 0.000872125 0.000792733 0.061612 0.0560195 44 3920 34 6.99608e+06 323745 787024. 2723.27 3.48 0.214056 0.188916 27778 195446 -1 2987 25 3240 3790 354870 72238 4.75185 4.75185 -174.986 -4.75185 0 0 997811. 3452.63 0.45 0.14 0.19 -1 -1 0.45 0.0428794 0.0385416 140 117 0 0 128 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 7.60 vpr 64.75 MiB 0.02 7100 -1 -1 1 0.03 -1 -1 33672 -1 -1 11 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66308 31 32 259 212 1 143 74 17 17 289 -1 unnamed_device 26.2 MiB 1.13 558 10459 4349 5630 480 64.8 MiB 0.08 0.00 2.29975 -77.3164 -2.29975 2.29975 1.14 0.00042157 0.000383715 0.0345947 0.0315412 46 1699 23 6.99608e+06 161872 828058. 2865.25 2.77 0.158003 0.139366 28066 200906 -1 1349 18 1073 1608 113142 28557 3.12987 3.12987 -109.221 -3.12987 0 0 1.01997e+06 3529.29 0.49 0.06 0.19 -1 -1 0.49 0.0225042 0.0200866 57 -1 85 31 0 0 +fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 10.56 vpr 65.65 MiB 0.02 7272 -1 -1 1 0.04 -1 -1 33852 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67224 32 32 418 338 1 263 83 17 17 289 -1 unnamed_device 27.0 MiB 3.33 1237 13043 4202 6747 2094 65.6 MiB 0.12 0.00 3.96833 -134.688 -3.96833 3.96833 1.16 0.000612781 0.000554609 0.0498955 0.045388 44 3531 44 6.99608e+06 279598 787024. 2723.27 3.41 0.233052 0.205394 27778 195446 -1 2737 23 2559 3229 289051 59483 4.9511 4.9511 -175.196 -4.9511 0 0 997811. 3452.63 0.45 0.12 0.20 -1 -1 0.45 0.0381546 0.0343639 118 89 28 28 92 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 27.73 vpr 65.71 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 34028 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67288 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 27.0 MiB 1.03 1323 10744 2996 6405 1343 65.7 MiB 0.10 0.00 3.76377 -142.716 -3.76377 3.76377 1.09 0.000521389 0.00047228 0.0382651 0.0349122 44 3443 34 6.99608e+06 235451 787024. 2723.27 23.07 0.325059 0.281818 27778 195446 -1 2796 20 2310 2917 286120 55102 4.54314 4.54314 -172.866 -4.54314 0 0 997811. 3452.63 0.43 0.09 0.19 -1 -1 0.43 0.0263299 0.023522 110 93 0 0 96 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 7.96 vpr 65.62 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 34052 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 32 32 401 316 1 247 83 17 17 289 -1 unnamed_device 26.8 MiB 0.84 1233 5843 1328 4093 422 65.6 MiB 0.07 0.00 2.94304 -113.73 -2.94304 2.94304 1.02 0.000556605 0.000509245 0.0231169 0.0210359 40 3088 24 6.99608e+06 279598 706193. 2443.58 3.67 0.183085 0.162125 26914 176310 -1 2828 23 2138 2761 328215 63535 3.71441 3.71441 -145.846 -3.71441 0 0 926341. 3205.33 0.39 0.13 0.16 -1 -1 0.39 0.0377658 0.0338762 106 59 61 32 64 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 8.00 vpr 65.82 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 34292 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67400 32 32 500 382 1 312 86 17 17 289 -1 unnamed_device 27.5 MiB 0.89 1499 16529 6227 8360 1942 65.8 MiB 0.16 0.00 4.13248 -150.491 -4.13248 4.13248 1.07 0.000633308 0.000574859 0.0665945 0.0604382 40 3929 23 6.99608e+06 323745 706193. 2443.58 3.32 0.232955 0.204982 26914 176310 -1 3378 28 3562 4152 482822 119698 5.64765 5.64765 -202.124 -5.64765 0 0 926341. 3205.33 0.39 0.17 0.18 -1 -1 0.39 0.0455283 0.040402 140 81 64 32 96 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 8.42 vpr 64.90 MiB 0.02 7012 -1 -1 1 0.03 -1 -1 33880 -1 -1 13 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 30 32 246 229 1 154 75 17 17 289 -1 unnamed_device 26.6 MiB 2.66 555 9081 3769 4952 360 64.9 MiB 0.06 0.00 2.25155 -75.007 -2.25155 2.25155 1.07 0.00037255 0.000338038 0.0243901 0.0221982 42 1938 46 6.99608e+06 191304 744469. 2576.02 2.28 0.142689 0.12502 27202 183097 -1 1307 22 905 929 94996 22289 2.41242 2.41242 -91.6687 -2.41242 0 0 949917. 3286.91 0.42 0.06 0.18 -1 -1 0.42 0.0211941 0.0188434 65 51 0 0 53 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 10.92 vpr 65.05 MiB 0.02 7116 -1 -1 1 0.03 -1 -1 34152 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 30 32 296 244 1 167 76 17 17 289 -1 unnamed_device 26.6 MiB 3.52 873 9036 3566 4539 931 65.1 MiB 0.07 0.00 2.78909 -100.953 -2.78909 2.78909 0.98 0.000462593 0.000421721 0.0271404 0.024843 36 2193 21 6.99608e+06 206020 648988. 2245.63 4.21 0.197298 0.173165 26050 158493 -1 1958 20 1504 2153 193118 39336 3.38681 3.38681 -133.817 -3.38681 0 0 828058. 2865.25 0.34 0.08 0.14 -1 -1 0.34 0.0253453 0.0226504 72 29 60 30 30 30 +fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 10.25 vpr 65.11 MiB 0.02 6940 -1 -1 1 0.04 -1 -1 33740 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 314 256 1 192 76 17 17 289 -1 unnamed_device 26.6 MiB 0.22 819 9836 4075 5610 151 65.1 MiB 0.08 0.00 2.73464 -106.1 -2.73464 2.73464 1.05 0.000510411 0.000466981 0.0330515 0.0301692 42 3413 50 6.99608e+06 176588 744469. 2576.02 6.49 0.248697 0.217149 27202 183097 -1 2379 22 1861 2946 306514 63755 3.88801 3.88801 -145.921 -3.88801 0 0 949917. 3286.91 0.41 0.10 0.18 -1 -1 0.41 0.0271741 0.0239529 80 31 64 32 32 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 7.07 vpr 64.60 MiB 0.02 6988 -1 -1 1 0.03 -1 -1 34040 -1 -1 18 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 25 32 251 214 1 151 75 17 17 289 -1 unnamed_device 26.2 MiB 0.78 557 11767 5032 5858 877 64.6 MiB 0.08 0.00 2.79475 -75.6465 -2.79475 2.79475 1.05 0.000367476 0.000334425 0.0314683 0.0286635 36 1852 30 6.99608e+06 264882 648988. 2245.63 2.99 0.144629 0.12724 26050 158493 -1 1423 20 1041 1357 103508 24736 3.57407 3.57407 -104.553 -3.57407 0 0 828058. 2865.25 0.35 0.05 0.14 -1 -1 0.35 0.0203741 0.0180568 68 19 50 25 25 25 +fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 12.09 vpr 65.41 MiB 0.02 7480 -1 -1 1 0.04 -1 -1 34220 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 32 32 432 346 1 281 84 17 17 289 -1 unnamed_device 27.0 MiB 1.02 1474 15273 5152 8434 1687 65.4 MiB 0.13 0.00 3.11645 -119.592 -3.11645 3.11645 1.12 0.000738237 0.000667623 0.0550189 0.0500456 44 3919 32 6.99608e+06 294314 787024. 2723.27 7.24 0.346624 0.302073 27778 195446 -1 3039 21 2593 3730 296378 59435 4.01312 4.01312 -154.313 -4.01312 0 0 997811. 3452.63 0.46 0.11 0.18 -1 -1 0.46 0.0348733 0.031376 125 84 32 32 94 32 +fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 11.50 vpr 65.72 MiB 0.02 7572 -1 -1 1 0.04 -1 -1 33980 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67300 31 32 421 339 1 270 85 17 17 289 -1 unnamed_device 27.1 MiB 1.08 1175 11245 3996 5507 1742 65.7 MiB 0.11 0.00 3.44908 -119.437 -3.44908 3.44908 1.11 0.000564714 0.000511686 0.0419478 0.0382853 44 3539 45 6.99608e+06 323745 787024. 2723.27 6.65 0.326107 0.28368 27778 195446 -1 2577 21 2426 3212 264282 56245 4.29145 4.29145 -155.45 -4.29145 0 0 997811. 3452.63 0.44 0.10 0.20 -1 -1 0.44 0.0313957 0.0280684 121 88 29 29 93 31 +fixed_k6_frac_N8_22nm.xml mult_001.v common 12.71 vpr 64.76 MiB 0.02 7140 -1 -1 14 0.35 -1 -1 36420 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 32 32 280 312 1 207 83 17 17 289 -1 unnamed_device 26.3 MiB 2.70 1171 12323 3404 6298 2621 64.8 MiB 0.12 0.00 7.1786 -141.837 -7.1786 7.1786 1.08 0.000753815 0.000685481 0.0539557 0.048927 48 2882 18 6.79088e+06 255968 865456. 2994.66 5.91 0.31203 0.275137 27694 206865 -1 2498 18 1255 3373 206019 46248 7.3431 7.3431 -157.943 -7.3431 0 0 1.05005e+06 3633.38 0.46 0.09 0.20 -1 -1 0.46 0.0352191 0.0319092 134 185 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_002.v common 10.24 vpr 64.82 MiB 0.02 7252 -1 -1 14 0.38 -1 -1 36668 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 30 32 277 309 1 214 82 17 17 289 -1 unnamed_device 26.3 MiB 2.06 1287 9160 2397 5537 1226 64.8 MiB 0.09 0.00 6.84273 -137.13 -6.84273 6.84273 1.08 0.000654814 0.000590532 0.041153 0.0372752 38 3299 17 6.79088e+06 269440 678818. 2348.85 4.30 0.218023 0.192893 25966 169698 -1 2615 15 1228 3245 165561 37550 7.34393 7.34393 -155.305 -7.34393 0 0 902133. 3121.57 0.41 0.08 0.17 -1 -1 0.41 0.0311077 0.0281976 132 186 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_003.v common 9.71 vpr 64.88 MiB 0.02 7012 -1 -1 11 0.26 -1 -1 36368 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 274 306 1 200 84 17 17 289 -1 unnamed_device 26.4 MiB 2.00 1057 12162 3360 7052 1750 64.9 MiB 0.11 0.00 5.91503 -114.436 -5.91503 5.91503 0.98 0.000809072 0.000747244 0.0461514 0.0419516 38 3486 45 6.79088e+06 269440 678818. 2348.85 4.05 0.247594 0.219332 25966 169698 -1 2520 25 1281 4021 344390 130781 6.00113 6.00113 -133.489 -6.00113 0 0 902133. 3121.57 0.38 0.16 0.15 -1 -1 0.38 0.0490174 0.0444486 138 179 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_004.v common 10.90 vpr 65.03 MiB 0.02 7068 -1 -1 12 0.45 -1 -1 36712 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66592 29 32 269 301 1 191 83 17 17 289 -1 unnamed_device 26.5 MiB 1.84 1073 9443 2539 5189 1715 65.0 MiB 0.09 0.00 6.07188 -117.783 -6.07188 6.07188 1.05 0.000760716 0.000688115 0.0390533 0.0354186 44 2817 32 6.79088e+06 296384 787024. 2723.27 5.06 0.322126 0.284691 27118 194962 -1 2245 17 1119 3497 170212 39432 6.32248 6.32248 -131.319 -6.32248 0 0 997811. 3452.63 0.46 0.08 0.19 -1 -1 0.46 0.0339115 0.0306012 136 180 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_005.v common 10.84 vpr 65.38 MiB 0.02 7016 -1 -1 13 0.41 -1 -1 36804 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 317 349 1 251 88 17 17 289 -1 unnamed_device 26.8 MiB 2.76 1433 11788 2599 7883 1306 65.4 MiB 0.12 0.00 6.54861 -138.074 -6.54861 6.54861 1.05 0.00075059 0.000679005 0.0529692 0.0481425 38 3800 45 6.79088e+06 323328 678818. 2348.85 4.11 0.29106 0.258347 25966 169698 -1 3148 16 1663 4462 222256 51465 6.99942 6.99942 -161.931 -6.99942 0 0 902133. 3121.57 0.39 0.10 0.17 -1 -1 0.39 0.0405964 0.0369831 160 222 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_006.v common 11.36 vpr 64.92 MiB 0.02 7008 -1 -1 12 0.33 -1 -1 36344 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 32 32 299 331 1 221 88 17 17 289 -1 unnamed_device 26.4 MiB 2.68 1311 5158 978 3976 204 64.9 MiB 0.06 0.00 6.25532 -135.367 -6.25532 6.25532 0.95 0.000660502 0.000600906 0.0232204 0.0212837 38 3780 23 6.79088e+06 323328 678818. 2348.85 5.14 0.221166 0.196408 25966 169698 -1 3004 17 1482 4315 229945 51713 6.83487 6.83487 -156.714 -6.83487 0 0 902133. 3121.57 0.36 0.10 0.15 -1 -1 0.36 0.0367923 0.0336348 150 204 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_007.v common 8.86 vpr 64.44 MiB 0.02 6996 -1 -1 12 0.24 -1 -1 36080 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65984 27 32 210 242 1 166 79 17 17 289 -1 unnamed_device 25.9 MiB 1.84 972 6332 1567 4234 531 64.4 MiB 0.06 0.00 5.95433 -114.661 -5.95433 5.95433 1.05 0.000542261 0.000492035 0.0233074 0.0213588 36 2813 22 6.79088e+06 269440 648988. 2245.63 3.47 0.157343 0.138081 25390 158009 -1 2344 16 1131 2898 183908 40583 6.4016 6.4016 -131.785 -6.4016 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0239289 0.021592 101 125 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_008.v common 10.59 vpr 64.73 MiB 0.02 7108 -1 -1 11 0.23 -1 -1 36520 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 31 32 264 296 1 191 81 17 17 289 -1 unnamed_device 26.4 MiB 1.57 1181 12331 3573 7109 1649 64.7 MiB 0.10 0.00 5.36687 -116.355 -5.36687 5.36687 1.07 0.000667427 0.000607443 0.046314 0.0418491 38 3186 24 6.79088e+06 242496 678818. 2348.85 5.34 0.211195 0.18649 25966 169698 -1 2543 18 1209 3681 191974 42449 5.52788 5.52788 -131.717 -5.52788 0 0 902133. 3121.57 0.39 0.08 0.15 -1 -1 0.39 0.0328533 0.0297946 118 171 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_009.v common 11.43 vpr 64.55 MiB 0.02 7084 -1 -1 12 0.22 -1 -1 36240 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 31 32 234 266 1 191 81 17 17 289 -1 unnamed_device 26.0 MiB 3.29 1126 12681 3896 6610 2175 64.6 MiB 0.11 0.00 5.49223 -117.258 -5.49223 5.49223 1.05 0.000601211 0.00054549 0.0464435 0.0420235 38 2615 21 6.79088e+06 242496 678818. 2348.85 4.51 0.21746 0.190399 25966 169698 -1 2220 15 1028 2381 129338 29291 5.86813 5.86813 -131.941 -5.86813 0 0 902133. 3121.57 0.37 0.06 0.16 -1 -1 0.37 0.025867 0.0233924 111 141 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_010.v common 9.22 vpr 64.67 MiB 0.02 7020 -1 -1 13 0.25 -1 -1 36208 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66220 32 32 253 285 1 183 80 17 17 289 -1 unnamed_device 26.1 MiB 1.93 1052 5240 987 4120 133 64.7 MiB 0.06 0.00 5.99697 -135.029 -5.99697 5.99697 1.01 0.000623131 0.000542983 0.0225388 0.0205285 36 3183 50 6.79088e+06 215552 648988. 2245.63 3.76 0.182467 0.160779 25390 158009 -1 2533 14 1109 2700 167205 37799 6.49817 6.49817 -163.727 -6.49817 0 0 828058. 2865.25 0.36 0.07 0.15 -1 -1 0.36 0.027392 0.0250102 107 158 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_011.v common 7.56 vpr 64.56 MiB 0.02 7104 -1 -1 12 0.23 -1 -1 36668 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66112 30 32 217 249 1 159 78 17 17 289 -1 unnamed_device 26.1 MiB 1.77 828 4892 1016 3711 165 64.6 MiB 0.05 0.00 5.99697 -122.038 -5.99697 5.99697 1.05 0.000506474 0.000455052 0.0189688 0.0173214 38 2340 26 6.79088e+06 215552 678818. 2348.85 2.17 0.139874 0.123195 25966 169698 -1 1901 18 938 2456 141158 33095 6.24757 6.24757 -137.45 -6.24757 0 0 902133. 3121.57 0.40 0.07 0.16 -1 -1 0.40 0.0266616 0.0241618 93 126 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_012.v common 9.46 vpr 64.72 MiB 0.02 7092 -1 -1 12 0.17 -1 -1 36096 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 227 259 1 170 78 17 17 289 -1 unnamed_device 26.3 MiB 2.22 1053 6552 1504 4379 669 64.7 MiB 0.06 0.00 5.6029 -133.233 -5.6029 5.6029 0.98 0.000538068 0.000487789 0.0238168 0.0216383 38 2791 29 6.79088e+06 188608 678818. 2348.85 3.88 0.172908 0.152705 25966 169698 -1 2413 16 996 2561 149682 32693 5.8535 5.8535 -151.162 -5.8535 0 0 902133. 3121.57 0.37 0.07 0.15 -1 -1 0.37 0.0264287 0.0241184 94 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_013.v common 9.75 vpr 65.12 MiB 0.02 7024 -1 -1 13 0.32 -1 -1 36688 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66684 32 32 306 338 1 226 85 17 17 289 -1 unnamed_device 26.6 MiB 1.68 1240 14965 4802 7701 2462 65.1 MiB 0.13 0.00 6.71306 -141.158 -6.71306 6.71306 0.97 0.000664091 0.00059687 0.060147 0.0543672 44 3042 21 6.79088e+06 282912 787024. 2723.27 4.44 0.314964 0.279498 27118 194962 -1 2575 17 1240 3597 179990 41377 6.83836 6.83836 -154.705 -6.83836 0 0 997811. 3452.63 0.40 0.08 0.17 -1 -1 0.40 0.0371674 0.0340192 148 211 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_014.v common 11.23 vpr 65.12 MiB 0.02 7176 -1 -1 14 0.42 -1 -1 36768 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 32 32 302 334 1 227 85 17 17 289 -1 unnamed_device 26.5 MiB 2.21 1378 14407 4698 7749 1960 65.1 MiB 0.13 0.00 7.55456 -155.259 -7.55456 7.55456 1.02 0.000705975 0.000635708 0.0594012 0.0537108 44 3389 32 6.79088e+06 282912 787024. 2723.27 5.02 0.352517 0.311117 27118 194962 -1 2815 18 1397 3707 204852 46291 7.67985 7.67985 -168.616 -7.67985 0 0 997811. 3452.63 0.46 0.09 0.19 -1 -1 0.46 0.0364964 0.0330203 149 207 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_015.v common 10.60 vpr 64.55 MiB 0.02 6880 -1 -1 11 0.22 -1 -1 36232 -1 -1 20 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 29 32 238 270 1 181 81 17 17 289 -1 unnamed_device 26.0 MiB 1.86 994 11981 3577 6276 2128 64.6 MiB 0.10 0.00 5.70368 -111.95 -5.70368 5.70368 1.05 0.000549 0.000499436 0.0416847 0.0379145 36 2967 24 6.79088e+06 269440 648988. 2245.63 5.17 0.18755 0.164641 25390 158009 -1 2344 18 1133 2714 160584 36469 6.16568 6.16568 -128.404 -6.16568 0 0 828058. 2865.25 0.34 0.08 0.15 -1 -1 0.34 0.0292471 0.026272 111 149 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_016.v common 10.12 vpr 65.17 MiB 0.02 7208 -1 -1 12 0.35 -1 -1 36644 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 306 338 1 232 84 17 17 289 -1 unnamed_device 26.8 MiB 3.00 1315 15639 5438 7836 2365 65.2 MiB 0.15 0.00 6.17261 -133.634 -6.17261 6.17261 1.08 0.000635494 0.000570609 0.0696892 0.0631131 46 4069 24 6.79088e+06 269440 828058. 2865.25 3.08 0.233283 0.207127 27406 200422 -1 3091 19 1776 5610 293916 65162 6.29791 6.29791 -150.722 -6.29791 0 0 1.01997e+06 3529.29 0.45 0.12 0.19 -1 -1 0.45 0.0433241 0.0394554 146 211 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_017.v common 11.44 vpr 65.52 MiB 0.02 7124 -1 -1 13 0.34 -1 -1 36848 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67096 32 32 311 343 1 226 85 17 17 289 -1 unnamed_device 26.7 MiB 2.00 1342 12733 4211 6185 2337 65.5 MiB 0.13 0.00 6.92025 -144.778 -6.92025 6.92025 1.06 0.000780892 0.000700963 0.0588783 0.0536581 38 3496 33 6.79088e+06 282912 678818. 2348.85 5.60 0.353014 0.310207 25966 169698 -1 2898 17 1348 3871 205831 46111 7.42145 7.42145 -164.991 -7.42145 0 0 902133. 3121.57 0.36 0.09 0.16 -1 -1 0.36 0.0381584 0.0347267 144 216 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_018.v common 10.06 vpr 64.72 MiB 0.02 7056 -1 -1 12 0.19 -1 -1 36292 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 32 32 230 262 1 172 80 17 17 289 -1 unnamed_device 26.2 MiB 2.36 897 7992 1874 4598 1520 64.7 MiB 0.07 0.00 5.57833 -123.346 -5.57833 5.57833 1.01 0.000545527 0.000496572 0.0274805 0.0250615 34 2982 30 6.79088e+06 215552 618332. 2139.56 4.37 0.237169 0.208727 25102 150614 -1 2269 18 1127 2966 185033 45728 5.95423 5.95423 -146.111 -5.95423 0 0 787024. 2723.27 0.33 0.08 0.13 -1 -1 0.33 0.0293743 0.0265521 104 135 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_019.v common 9.28 vpr 63.88 MiB 0.02 6796 -1 -1 10 0.12 -1 -1 36264 -1 -1 12 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65408 30 32 176 208 1 138 74 17 17 289 -1 unnamed_device 25.4 MiB 2.94 726 10459 2751 7298 410 63.9 MiB 0.07 0.00 4.41351 -104.197 -4.41351 4.41351 0.96 0.000407659 0.000366686 0.0279867 0.0252799 36 2288 45 6.79088e+06 161664 648988. 2245.63 3.22 0.154925 0.136415 25390 158009 -1 1798 17 813 1838 133043 29160 4.58571 4.58571 -122.678 -4.58571 0 0 828058. 2865.25 0.33 0.06 0.13 -1 -1 0.33 0.0204697 0.0183874 67 85 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_020.v common 16.29 vpr 64.68 MiB 0.02 6932 -1 -1 13 0.22 -1 -1 36084 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66228 31 32 226 258 1 173 79 17 17 289 -1 unnamed_device 26.2 MiB 2.54 981 7346 1830 5059 457 64.7 MiB 0.07 0.00 6.53742 -143.365 -6.53742 6.53742 1.05 0.000521988 0.000473588 0.0271946 0.0247832 30 2737 24 6.79088e+06 215552 556674. 1926.21 10.23 0.214527 0.186958 24526 138013 -1 2282 27 1020 2438 248208 101216 6.53742 6.53742 -157.534 -6.53742 0 0 706193. 2443.58 0.31 0.11 0.13 -1 -1 0.31 0.0353368 0.0315264 99 133 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_021.v common 12.06 vpr 65.17 MiB 0.02 7200 -1 -1 13 0.39 -1 -1 36976 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 302 334 1 222 86 17 17 289 -1 unnamed_device 26.6 MiB 1.56 1291 8213 2024 5481 708 65.2 MiB 0.08 0.00 6.19723 -135.08 -6.19723 6.19723 1.03 0.000686255 0.000618823 0.0349352 0.031623 36 3756 46 6.79088e+06 296384 648988. 2245.63 6.66 0.247239 0.217218 25390 158009 -1 2975 22 1713 4887 289551 64430 6.65923 6.65923 -155.781 -6.65923 0 0 828058. 2865.25 0.35 0.12 0.15 -1 -1 0.35 0.0438281 0.0395262 143 207 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_022.v common 11.11 vpr 65.07 MiB 0.02 7140 -1 -1 13 0.39 -1 -1 36560 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 299 331 1 221 83 17 17 289 -1 unnamed_device 26.5 MiB 2.53 1427 11243 3192 5864 2187 65.1 MiB 0.11 0.00 6.55321 -141.386 -6.55321 6.55321 1.06 0.000730885 0.000659171 0.0500352 0.0454434 36 4097 35 6.79088e+06 255968 648988. 2245.63 4.73 0.225539 0.200596 25390 158009 -1 3215 17 1487 3976 272480 58399 7.18741 7.18741 -165.315 -7.18741 0 0 828058. 2865.25 0.36 0.10 0.15 -1 -1 0.36 0.0372882 0.0339299 141 204 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_023.v common 6.05 vpr 63.45 MiB 0.02 6716 -1 -1 9 0.11 -1 -1 36000 -1 -1 16 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64976 26 32 149 181 1 119 74 17 17 289 -1 unnamed_device 25.1 MiB 1.89 609 10614 3184 5729 1701 63.5 MiB 0.06 0.00 3.9703 -76.7287 -3.9703 3.9703 0.96 0.000336968 0.000307356 0.025146 0.0228618 30 1777 32 6.79088e+06 215552 556674. 1926.21 1.07 0.0918933 0.0814708 24526 138013 -1 1379 16 618 1338 75193 18278 4.7221 4.7221 -96.9409 -4.7221 0 0 706193. 2443.58 0.30 0.04 0.11 -1 -1 0.30 0.0144066 0.0128708 64 66 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_024.v common 21.07 vpr 64.88 MiB 0.02 6984 -1 -1 13 0.41 -1 -1 36692 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 304 336 1 222 86 17 17 289 -1 unnamed_device 26.3 MiB 2.88 1392 8591 2186 5720 685 64.9 MiB 0.09 0.00 7.1002 -146.855 -7.1002 7.1002 1.02 0.000752817 0.000683458 0.0371614 0.0337838 36 3969 41 6.79088e+06 296384 648988. 2245.63 14.32 0.364283 0.319799 25390 158009 -1 3161 21 1654 4602 340203 97790 7.55101 7.55101 -168.187 -7.55101 0 0 828058. 2865.25 0.36 0.14 0.15 -1 -1 0.36 0.0440268 0.0399465 137 209 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_025.v common 8.85 vpr 63.59 MiB 0.02 6628 -1 -1 8 0.11 -1 -1 36000 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65116 32 32 155 187 1 128 81 17 17 289 -1 unnamed_device 25.2 MiB 3.23 577 11456 4026 5365 2065 63.6 MiB 0.07 0.00 3.9703 -81.1298 -3.9703 3.9703 1.03 0.000349472 0.00031645 0.0262324 0.0238286 34 2057 38 6.79088e+06 229024 618332. 2139.56 2.26 0.117834 0.103429 25102 150614 -1 1554 18 736 1655 123744 35968 4.43224 4.43224 -103.73 -4.43224 0 0 787024. 2723.27 0.35 0.06 0.14 -1 -1 0.35 0.0192391 0.0173061 64 60 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_026.v common 9.48 vpr 64.80 MiB 0.02 7220 -1 -1 15 0.28 -1 -1 36548 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 32 32 253 285 1 192 81 17 17 289 -1 unnamed_device 26.4 MiB 2.18 1179 11631 3583 5915 2133 64.8 MiB 0.10 0.00 7.29713 -149.008 -7.29713 7.29713 0.98 0.000625873 0.000567252 0.0441708 0.0402031 38 3338 45 6.79088e+06 229024 678818. 2348.85 3.76 0.239596 0.213069 25966 169698 -1 2839 30 1347 3597 382768 148143 7.67303 7.67303 -167.573 -7.67303 0 0 902133. 3121.57 0.35 0.16 0.14 -1 -1 0.35 0.0453338 0.0407347 118 158 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_027.v common 9.02 vpr 65.39 MiB 0.02 7000 -1 -1 12 0.34 -1 -1 36648 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 309 341 1 218 86 17 17 289 -1 unnamed_device 26.6 MiB 2.11 1276 11993 2910 7652 1431 65.4 MiB 0.11 0.00 6.08302 -130.979 -6.08302 6.08302 1.04 0.000766547 0.000695004 0.050505 0.0456826 38 3216 21 6.79088e+06 296384 678818. 2348.85 3.10 0.227493 0.200364 25966 169698 -1 2663 16 1204 3717 176397 40799 6.08302 6.08302 -142.256 -6.08302 0 0 902133. 3121.57 0.36 0.08 0.16 -1 -1 0.36 0.0333929 0.0303137 145 214 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_028.v common 9.32 vpr 65.01 MiB 0.02 7056 -1 -1 13 0.37 -1 -1 36732 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66568 32 32 289 321 1 212 84 17 17 289 -1 unnamed_device 26.5 MiB 1.81 1201 5025 880 3885 260 65.0 MiB 0.05 0.00 6.84955 -138.036 -6.84955 6.84955 1.05 0.00074362 0.000689188 0.0223577 0.0204101 36 3429 48 6.79088e+06 269440 648988. 2245.63 3.69 0.220335 0.193053 25390 158009 -1 2821 20 1481 4257 276751 68119 7.31155 7.31155 -162.07 -7.31155 0 0 828058. 2865.25 0.38 0.12 0.15 -1 -1 0.38 0.0409548 0.037128 136 194 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_029.v common 9.05 vpr 64.30 MiB 0.02 7024 -1 -1 12 0.21 -1 -1 36456 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65848 32 32 239 271 1 179 83 17 17 289 -1 unnamed_device 25.8 MiB 2.56 1099 8363 1933 5634 796 64.3 MiB 0.08 0.00 5.40269 -124.362 -5.40269 5.40269 1.00 0.000565896 0.000516483 0.0324435 0.029539 36 2844 20 6.79088e+06 255968 648988. 2245.63 3.06 0.182197 0.161113 25390 158009 -1 2419 18 1042 2737 160232 35695 5.48874 5.48874 -139.192 -5.48874 0 0 828058. 2865.25 0.34 0.07 0.13 -1 -1 0.34 0.028255 0.0256018 106 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_030.v common 11.46 vpr 64.50 MiB 0.02 6912 -1 -1 11 0.21 -1 -1 36356 -1 -1 20 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66044 30 32 213 245 1 166 82 17 17 289 -1 unnamed_device 26.1 MiB 2.68 976 9694 2441 6317 936 64.5 MiB 0.09 0.00 5.19894 -112.905 -5.19894 5.19894 1.09 0.000515664 0.000468738 0.0350658 0.0320143 38 2447 44 6.79088e+06 269440 678818. 2348.85 5.09 0.256823 0.224799 25966 169698 -1 2110 18 1073 2633 171724 51239 5.32424 5.32424 -127.417 -5.32424 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0258255 0.0232357 97 122 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_031.v common 9.72 vpr 64.46 MiB 0.02 7000 -1 -1 11 0.22 -1 -1 36652 -1 -1 19 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 28 32 221 253 1 179 79 17 17 289 -1 unnamed_device 25.9 MiB 1.62 998 9374 2550 6233 591 64.5 MiB 0.08 0.00 5.52794 -112.188 -5.52794 5.52794 1.12 0.000900265 0.000848211 0.0353967 0.0322494 36 2943 46 6.79088e+06 255968 648988. 2245.63 4.43 0.210815 0.186285 25390 158009 -1 2263 15 1015 2545 157371 35459 5.74283 5.74283 -126.364 -5.74283 0 0 828058. 2865.25 0.37 0.07 0.15 -1 -1 0.37 0.0275922 0.0251897 107 134 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_032.v common 9.53 vpr 64.80 MiB 0.02 6840 -1 -1 12 0.24 -1 -1 35960 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66352 32 32 273 305 1 207 83 17 17 289 -1 unnamed_device 26.4 MiB 2.41 1284 8903 2302 5113 1488 64.8 MiB 0.09 0.00 5.78978 -138.424 -5.78978 5.78978 0.99 0.000672474 0.000618222 0.0352337 0.0321931 38 3314 23 6.79088e+06 255968 678818. 2348.85 3.62 0.210148 0.187211 25966 169698 -1 2689 17 1355 3290 175065 39228 6.29098 6.29098 -160.622 -6.29098 0 0 902133. 3121.57 0.36 0.08 0.14 -1 -1 0.36 0.031545 0.0287806 119 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_033.v common 8.63 vpr 64.65 MiB 0.02 6868 -1 -1 11 0.23 -1 -1 36276 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 31 32 238 270 1 181 80 17 17 289 -1 unnamed_device 26.1 MiB 2.16 933 10228 3104 5099 2025 64.6 MiB 0.09 0.00 5.15968 -117.446 -5.15968 5.15968 1.08 0.000657388 0.000594061 0.0397307 0.0361067 38 2626 19 6.79088e+06 229024 678818. 2348.85 2.75 0.18236 0.160068 25966 169698 -1 2163 16 1081 2908 148229 35178 5.56365 5.56365 -136.265 -5.56365 0 0 902133. 3121.57 0.38 0.07 0.17 -1 -1 0.38 0.0267266 0.0241305 107 145 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_034.v common 7.05 vpr 64.61 MiB 0.02 6940 -1 -1 10 0.20 -1 -1 36692 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 29 32 221 253 1 160 79 17 17 289 -1 unnamed_device 26.1 MiB 1.91 957 10219 2846 5746 1627 64.6 MiB 0.08 0.00 4.95172 -107.836 -4.95172 4.95172 1.07 0.000622179 0.000562067 0.035881 0.032544 30 2382 22 6.79088e+06 242496 556674. 1926.21 1.55 0.125735 0.111976 24526 138013 -1 1957 18 796 2065 106464 24923 5.28493 5.28493 -123.539 -5.28493 0 0 706193. 2443.58 0.33 0.06 0.13 -1 -1 0.33 0.0281427 0.0254158 103 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_035.v common 26.07 vpr 65.34 MiB 0.02 7240 -1 -1 13 0.44 -1 -1 37068 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66904 32 32 333 365 1 236 86 17 17 289 -1 unnamed_device 26.9 MiB 2.03 1470 6323 1367 4581 375 65.3 MiB 0.08 0.00 6.50941 -142.111 -6.50941 6.50941 1.10 0.00111806 0.000909627 0.0342933 0.0311242 36 4505 46 6.79088e+06 296384 648988. 2245.63 19.96 0.426996 0.375962 25390 158009 -1 3495 16 1750 5437 336089 73437 6.79921 6.79921 -161.47 -6.79921 0 0 828058. 2865.25 0.37 0.12 0.15 -1 -1 0.37 0.0401146 0.0365428 162 238 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_036.v common 13.85 vpr 65.07 MiB 0.02 6976 -1 -1 13 0.42 -1 -1 36772 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 32 32 297 329 1 231 85 17 17 289 -1 unnamed_device 26.7 MiB 2.35 1307 14593 5126 7256 2211 65.1 MiB 0.16 0.00 6.38406 -137.253 -6.38406 6.38406 1.11 0.000721925 0.000651845 0.069464 0.0629225 38 4083 47 6.79088e+06 282912 678818. 2348.85 7.45 0.298592 0.263243 25966 169698 -1 3078 19 1636 4646 254148 57852 6.92097 6.92097 -162.411 -6.92097 0 0 902133. 3121.57 0.39 0.10 0.16 -1 -1 0.39 0.04048 0.0368639 152 202 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_037.v common 9.55 vpr 64.55 MiB 0.02 6880 -1 -1 12 0.18 -1 -1 36584 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 31 32 234 266 1 169 81 17 17 289 -1 unnamed_device 26.1 MiB 1.58 946 9356 3043 4641 1672 64.6 MiB 0.08 0.00 5.78978 -125.721 -5.78978 5.78978 1.03 0.000724326 0.000614699 0.0321703 0.0292663 44 2454 19 6.79088e+06 242496 787024. 2723.27 4.42 0.239823 0.211612 27118 194962 -1 2065 15 938 2610 146602 32739 6.16563 6.16563 -142.122 -6.16563 0 0 997811. 3452.63 0.44 0.07 0.17 -1 -1 0.44 0.0281089 0.0255988 102 141 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_038.v common 9.07 vpr 64.84 MiB 0.02 7188 -1 -1 12 0.32 -1 -1 36852 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 31 32 310 342 1 217 86 17 17 289 -1 unnamed_device 26.2 MiB 1.49 1373 13694 4387 6924 2383 64.8 MiB 0.13 0.00 6.29452 -134.455 -6.29452 6.29452 1.00 0.000795499 0.000726348 0.0595205 0.0540572 36 4456 43 6.79088e+06 309856 648988. 2245.63 3.77 0.249684 0.222845 25390 158009 -1 3369 35 2037 6933 703998 248727 6.96022 6.96022 -157.527 -6.96022 0 0 828058. 2865.25 0.34 0.28 0.14 -1 -1 0.34 0.0656126 0.0591996 148 217 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_039.v common 11.15 vpr 64.75 MiB 0.02 7084 -1 -1 14 0.47 -1 -1 36752 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 31 32 284 316 1 219 84 17 17 289 -1 unnamed_device 26.2 MiB 1.58 1374 6855 1635 4548 672 64.8 MiB 0.08 0.00 6.92457 -144.114 -6.92457 6.92457 1.11 0.000830236 0.000751445 0.035329 0.032046 44 3360 23 6.79088e+06 282912 787024. 2723.27 5.38 0.306798 0.271654 27118 194962 -1 2872 15 1303 3699 196251 44533 7.34737 7.34737 -163.516 -7.34737 0 0 997811. 3452.63 0.47 0.09 0.20 -1 -1 0.47 0.0369507 0.033881 146 191 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_040.v common 9.88 vpr 65.09 MiB 0.02 7172 -1 -1 13 0.36 -1 -1 37244 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66652 31 32 271 303 1 211 84 17 17 289 -1 unnamed_device 26.6 MiB 3.08 1281 12345 3910 6076 2359 65.1 MiB 0.11 0.00 6.45902 -136.076 -6.45902 6.45902 1.08 0.000620502 0.000561732 0.0481607 0.0436965 38 3663 33 6.79088e+06 282912 678818. 2348.85 2.87 0.200496 0.176502 25966 169698 -1 2794 18 1436 3798 225092 50471 6.95679 6.95679 -156.19 -6.95679 0 0 902133. 3121.57 0.39 0.10 0.17 -1 -1 0.39 0.0346955 0.0312171 126 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_041.v common 7.58 vpr 65.04 MiB 0.02 7148 -1 -1 12 0.33 -1 -1 36628 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 31 32 280 312 1 206 86 17 17 289 -1 unnamed_device 26.6 MiB 1.27 1334 10103 2806 6088 1209 65.0 MiB 0.10 0.00 6.29447 -134.048 -6.29447 6.29447 1.08 0.000771157 0.000705796 0.0429565 0.0391 38 3380 23 6.79088e+06 309856 678818. 2348.85 2.42 0.18016 0.160386 25966 169698 -1 2799 19 1200 3583 197278 43359 6.67037 6.67037 -151.273 -6.67037 0 0 902133. 3121.57 0.39 0.09 0.16 -1 -1 0.39 0.0375715 0.0340959 135 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_042.v common 10.12 vpr 64.87 MiB 0.02 7208 -1 -1 12 0.25 -1 -1 36508 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66424 32 32 264 296 1 188 81 17 17 289 -1 unnamed_device 26.5 MiB 1.49 1085 11106 4075 5060 1971 64.9 MiB 0.10 0.00 6.03612 -122.551 -6.03612 6.03612 1.08 0.000592845 0.000537094 0.0441057 0.0400613 36 3009 41 6.79088e+06 229024 648988. 2245.63 4.90 0.228452 0.201175 25390 158009 -1 2455 17 1147 3013 186024 42116 6.31473 6.31473 -140.05 -6.31473 0 0 828058. 2865.25 0.34 0.08 0.16 -1 -1 0.34 0.0304149 0.0273322 113 169 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_043.v common 15.00 vpr 65.57 MiB 0.03 7316 -1 -1 14 0.63 -1 -1 36828 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 32 32 339 371 1 247 89 17 17 289 -1 unnamed_device 26.8 MiB 1.80 1630 10187 2514 6182 1491 65.6 MiB 0.11 0.00 6.99643 -149.016 -6.99643 6.99643 1.07 0.00081889 0.000737769 0.0474948 0.0428936 44 4356 41 6.79088e+06 336800 787024. 2723.27 8.78 0.391131 0.344563 27118 194962 -1 3341 19 1594 4681 255181 56256 7.28623 7.28623 -167.312 -7.28623 0 0 997811. 3452.63 0.48 0.12 0.20 -1 -1 0.48 0.0451037 0.0408351 169 244 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_044.v common 12.21 vpr 64.65 MiB 0.02 6860 -1 -1 11 0.27 -1 -1 36096 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66204 31 32 246 278 1 185 81 17 17 289 -1 unnamed_device 26.1 MiB 2.27 1108 9531 2615 5195 1721 64.7 MiB 0.09 0.00 5.57057 -117.295 -5.57057 5.57057 1.16 0.000751254 0.000688786 0.0409103 0.0371845 36 3053 28 6.79088e+06 242496 648988. 2245.63 6.01 0.326082 0.287285 25390 158009 -1 2539 29 1255 3390 314969 113766 5.69587 5.69587 -135.619 -5.69587 0 0 828058. 2865.25 0.38 0.15 0.15 -1 -1 0.38 0.0474752 0.0426197 113 153 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_045.v common 9.55 vpr 64.99 MiB 0.02 7312 -1 -1 13 0.35 -1 -1 36984 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 31 32 268 300 1 191 82 17 17 289 -1 unnamed_device 26.5 MiB 1.95 1202 5422 1141 3981 300 65.0 MiB 0.06 0.00 6.34486 -127.069 -6.34486 6.34486 1.02 0.000604004 0.000548498 0.024624 0.0224264 36 3312 48 6.79088e+06 255968 648988. 2245.63 3.94 0.223923 0.199299 25390 158009 -1 2642 17 1208 3875 236564 51704 6.54507 6.54507 -143.228 -6.54507 0 0 828058. 2865.25 0.35 0.10 0.13 -1 -1 0.35 0.0376353 0.0343633 132 175 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_046.v common 11.10 vpr 65.19 MiB 0.02 7000 -1 -1 12 0.31 -1 -1 36404 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 32 32 318 350 1 227 85 17 17 289 -1 unnamed_device 26.8 MiB 1.64 1296 14965 4146 8867 1952 65.2 MiB 0.13 0.00 6.04038 -128.677 -6.04038 6.04038 0.97 0.000649556 0.000586338 0.0606491 0.0548657 38 3781 38 6.79088e+06 282912 678818. 2348.85 5.87 0.288094 0.255728 25966 169698 -1 2812 18 1529 4541 228826 53870 6.29098 6.29098 -145.421 -6.29098 0 0 902133. 3121.57 0.36 0.10 0.14 -1 -1 0.36 0.0389683 0.0354839 153 223 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_047.v common 10.26 vpr 64.93 MiB 0.02 7204 -1 -1 13 0.32 -1 -1 36608 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66488 32 32 273 305 1 198 83 17 17 289 -1 unnamed_device 26.5 MiB 1.67 1205 13763 4977 6597 2189 64.9 MiB 0.12 0.00 5.99697 -128.982 -5.99697 5.99697 1.03 0.00064217 0.000581387 0.0555413 0.0502857 38 3440 31 6.79088e+06 255968 678818. 2348.85 4.79 0.242715 0.214386 25966 169698 -1 2606 19 1302 3707 192722 44432 6.45897 6.45897 -146.747 -6.45897 0 0 902133. 3121.57 0.38 0.09 0.17 -1 -1 0.38 0.0349366 0.0315853 131 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_048.v common 11.06 vpr 65.03 MiB 0.02 7192 -1 -1 13 0.30 -1 -1 36372 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66588 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 26.6 MiB 2.56 1142 9706 2833 4964 1909 65.0 MiB 0.09 0.00 6.79572 -137.321 -6.79572 6.79572 1.04 0.000620777 0.000568008 0.0406576 0.0367213 38 2958 19 6.79088e+06 229024 678818. 2348.85 4.80 0.259991 0.229273 25966 169698 -1 2335 15 1082 2890 149421 34304 7.00712 7.00712 -154.104 -7.00712 0 0 902133. 3121.57 0.36 0.07 0.16 -1 -1 0.36 0.0281748 0.025566 118 174 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_049.v common 12.86 vpr 65.35 MiB 0.02 7188 -1 -1 12 0.37 -1 -1 37020 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66916 32 32 298 330 1 217 87 17 17 289 -1 unnamed_device 26.6 MiB 2.56 1451 6039 1220 4218 601 65.3 MiB 0.07 0.00 6.49047 -143.269 -6.49047 6.49047 1.06 0.00074075 0.000672929 0.0282874 0.0257838 38 3768 49 6.79088e+06 309856 678818. 2348.85 6.37 0.353367 0.309854 25966 169698 -1 3119 18 1371 4362 252936 53546 6.62347 6.62347 -157.81 -6.62347 0 0 902133. 3121.57 0.40 0.11 0.17 -1 -1 0.40 0.0415318 0.0377511 150 203 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_050.v common 11.84 vpr 65.16 MiB 0.02 7088 -1 -1 13 0.37 -1 -1 36940 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 299 331 1 229 84 17 17 289 -1 unnamed_device 26.7 MiB 2.76 1376 11613 2958 6676 1979 65.2 MiB 0.12 0.00 6.71306 -143.577 -6.71306 6.71306 1.04 0.000670516 0.00060062 0.0510536 0.0463275 44 3361 18 6.79088e+06 269440 787024. 2723.27 5.13 0.30595 0.268756 27118 194962 -1 2762 17 1255 3418 176067 40541 6.96366 6.96366 -160.542 -6.96366 0 0 997811. 3452.63 0.43 0.08 0.19 -1 -1 0.43 0.0355764 0.0322824 143 204 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_051.v common 10.19 vpr 64.98 MiB 0.02 7052 -1 -1 14 0.33 -1 -1 36600 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66536 32 32 259 291 1 195 82 17 17 289 -1 unnamed_device 26.6 MiB 2.53 1167 8092 1853 5907 332 65.0 MiB 0.08 0.00 6.96377 -144.578 -6.96377 6.96377 0.99 0.000625918 0.000572692 0.0312953 0.0284667 38 3331 22 6.79088e+06 242496 678818. 2348.85 4.07 0.202103 0.179489 25966 169698 -1 2739 17 1294 3690 203166 45436 7.29349 7.29349 -164.451 -7.29349 0 0 902133. 3121.57 0.36 0.09 0.15 -1 -1 0.36 0.0345597 0.0315805 123 164 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_052.v common 12.19 vpr 65.29 MiB 0.02 7048 -1 -1 13 0.34 -1 -1 36672 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 32 32 293 325 1 216 84 17 17 289 -1 unnamed_device 26.6 MiB 3.98 1273 8502 2009 5793 700 65.3 MiB 0.08 0.00 6.91681 -139.809 -6.91681 6.91681 1.02 0.000696157 0.000631733 0.0359437 0.0323866 34 3530 26 6.79088e+06 269440 618332. 2139.56 4.41 0.295635 0.259594 25102 150614 -1 3085 19 1543 4243 264572 60017 7.04976 7.04976 -163.526 -7.04976 0 0 787024. 2723.27 0.37 0.12 0.15 -1 -1 0.37 0.0431359 0.0391581 134 198 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_053.v common 10.49 vpr 65.46 MiB 0.02 7172 -1 -1 13 0.36 -1 -1 36408 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67028 31 32 311 343 1 236 86 17 17 289 -1 unnamed_device 26.9 MiB 1.48 1315 9347 2397 6636 314 65.5 MiB 0.10 0.00 6.76001 -146.752 -6.76001 6.76001 1.00 0.000731062 0.000664403 0.0418816 0.0379613 44 3453 38 6.79088e+06 309856 787024. 2723.27 5.24 0.349789 0.30978 27118 194962 -1 2816 20 1630 4713 241902 55499 7.04638 7.04638 -161.745 -7.04638 0 0 997811. 3452.63 0.41 0.10 0.17 -1 -1 0.41 0.041509 0.0377213 154 218 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_054.v common 10.40 vpr 65.47 MiB 0.02 7256 -1 -1 12 0.39 -1 -1 36708 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67044 32 32 324 356 1 230 88 17 17 289 -1 unnamed_device 26.9 MiB 1.70 1348 11203 2729 6471 2003 65.5 MiB 0.12 0.00 6.37282 -138.756 -6.37282 6.37282 1.04 0.000768653 0.000700785 0.0509765 0.0460848 38 4044 30 6.79088e+06 323328 678818. 2348.85 4.76 0.255269 0.224711 25966 169698 -1 3025 20 1790 5021 261696 61077 6.99937 6.99937 -169.641 -6.99937 0 0 902133. 3121.57 0.37 0.11 0.16 -1 -1 0.37 0.041365 0.0373346 157 229 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_055.v common 11.09 vpr 64.18 MiB 0.02 6868 -1 -1 11 0.17 -1 -1 36568 -1 -1 13 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65720 32 32 216 248 1 162 77 17 17 289 -1 unnamed_device 25.8 MiB 1.84 905 10183 2784 6110 1289 64.2 MiB 0.08 0.00 5.1486 -113.282 -5.1486 5.1486 1.10 0.000513922 0.00046708 0.0360558 0.0327706 44 2210 21 6.79088e+06 175136 787024. 2723.27 5.59 0.246402 0.216621 27118 194962 -1 1785 14 908 2242 124200 30189 5.59941 5.59941 -133.018 -5.59941 0 0 997811. 3452.63 0.43 0.06 0.19 -1 -1 0.43 0.0240241 0.0219395 90 121 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_056.v common 12.04 vpr 64.60 MiB 0.02 6880 -1 -1 13 0.25 -1 -1 36188 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 32 32 245 277 1 192 81 17 17 289 -1 unnamed_device 26.3 MiB 3.21 1100 10581 3170 5156 2255 64.6 MiB 0.10 0.00 6.38411 -139.812 -6.38411 6.38411 1.11 0.000599397 0.000546551 0.0419062 0.0381124 40 2477 15 6.79088e+06 229024 706193. 2443.58 4.97 0.250029 0.221408 26254 175826 -1 2518 16 1116 2774 201576 45090 7.13591 7.13591 -162.483 -7.13591 0 0 926341. 3205.33 0.40 0.08 0.17 -1 -1 0.40 0.0304133 0.0277839 113 150 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_057.v common 12.76 vpr 65.71 MiB 0.02 7308 -1 -1 14 0.61 -1 -1 36592 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67284 32 32 361 393 1 262 88 17 17 289 -1 unnamed_device 27.0 MiB 1.44 1451 15103 4235 8170 2698 65.7 MiB 0.17 0.00 7.1786 -148.537 -7.1786 7.1786 1.08 0.000921536 0.000833555 0.0759948 0.0688436 46 4592 39 6.79088e+06 323328 828058. 2865.25 6.91 0.410727 0.359777 27406 200422 -1 3408 22 2257 6882 361495 81743 7.6798 7.6798 -176.216 -7.6798 0 0 1.01997e+06 3529.29 0.43 0.14 0.20 -1 -1 0.43 0.0488906 0.044063 180 266 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_058.v common 12.95 vpr 65.42 MiB 0.02 6960 -1 -1 13 0.42 -1 -1 36960 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66988 32 32 318 350 1 242 85 17 17 289 -1 unnamed_device 26.8 MiB 2.95 1494 10129 2614 6422 1093 65.4 MiB 0.11 0.00 6.72087 -147.435 -6.72087 6.72087 1.07 0.00122655 0.00114373 0.0505377 0.0455899 38 3571 22 6.79088e+06 282912 678818. 2348.85 6.04 0.380627 0.3349 25966 169698 -1 2964 15 1369 3751 192500 43651 7.1863 7.1863 -167.298 -7.1863 0 0 902133. 3121.57 0.37 0.09 0.16 -1 -1 0.37 0.0384899 0.0348553 154 223 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_059.v common 9.89 vpr 64.46 MiB 0.02 7072 -1 -1 11 0.20 -1 -1 36364 -1 -1 17 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 30 32 223 255 1 164 79 17 17 289 -1 unnamed_device 26.0 MiB 0.82 862 13768 5629 6168 1971 64.5 MiB 0.11 0.00 5.53143 -117.9 -5.53143 5.53143 1.02 0.000476823 0.000432964 0.0458648 0.0415977 34 2921 46 6.79088e+06 229024 618332. 2139.56 5.60 0.277218 0.244279 25102 150614 -1 2288 16 1083 3033 189211 43265 5.65673 5.65673 -134.585 -5.65673 0 0 787024. 2723.27 0.35 0.08 0.13 -1 -1 0.35 0.0281284 0.0255024 99 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_060.v common 27.44 vpr 65.48 MiB 0.03 7492 -1 -1 15 0.59 -1 -1 37548 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 335 367 1 254 88 17 17 289 -1 unnamed_device 27.0 MiB 1.67 1646 7693 1869 5001 823 65.5 MiB 0.09 0.00 7.5189 -157.368 -7.5189 7.5189 1.07 0.000809334 0.000731519 0.0393029 0.0357235 36 4613 46 6.79088e+06 323328 648988. 2245.63 21.55 0.41654 0.366832 25390 158009 -1 3851 20 1971 5801 398443 87439 8.30292 8.30292 -194.228 -8.30292 0 0 828058. 2865.25 0.40 0.15 0.16 -1 -1 0.40 0.0503289 0.0457341 172 240 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_061.v common 16.15 vpr 65.27 MiB 0.02 7228 -1 -1 13 0.42 -1 -1 36496 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66840 32 32 301 333 1 229 86 17 17 289 -1 unnamed_device 26.6 MiB 1.38 1396 10103 2895 6092 1116 65.3 MiB 0.10 0.00 6.61551 -143.991 -6.61551 6.61551 0.97 0.000743313 0.000677276 0.0422389 0.0384738 34 4155 30 6.79088e+06 296384 618332. 2139.56 11.12 0.380806 0.338268 25102 150614 -1 3267 20 1490 4102 250685 55939 6.96017 6.96017 -169.513 -6.96017 0 0 787024. 2723.27 0.34 0.11 0.13 -1 -1 0.34 0.0429383 0.0390536 149 206 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_062.v common 10.91 vpr 64.44 MiB 0.02 7048 -1 -1 11 0.17 -1 -1 36460 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65988 32 32 238 270 1 173 80 17 17 289 -1 unnamed_device 25.9 MiB 2.10 1004 11432 3918 5353 2161 64.4 MiB 0.09 0.00 5.82549 -130.589 -5.82549 5.82549 1.02 0.000514838 0.000465447 0.0386936 0.0350768 34 2943 29 6.79088e+06 215552 618332. 2139.56 5.23 0.240646 0.210574 25102 150614 -1 2405 30 1076 2725 295684 111421 5.95079 5.95079 -145.374 -5.95079 0 0 787024. 2723.27 0.36 0.14 0.15 -1 -1 0.36 0.0417797 0.0373274 97 143 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_063.v common 12.21 vpr 65.39 MiB 0.03 7440 -1 -1 12 0.38 -1 -1 36380 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66964 32 32 308 340 1 226 85 17 17 289 -1 unnamed_device 26.6 MiB 2.03 1356 13663 3509 8297 1857 65.4 MiB 0.13 0.00 6.32253 -138.894 -6.32253 6.32253 1.07 0.000735055 0.000659227 0.059245 0.0533364 44 3287 29 6.79088e+06 282912 787024. 2723.27 6.18 0.328949 0.289533 27118 194962 -1 2834 15 1285 3883 210856 46826 6.67037 6.67037 -155.086 -6.67037 0 0 997811. 3452.63 0.40 0.08 0.19 -1 -1 0.40 0.0330823 0.0300538 152 213 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_064.v common 12.00 vpr 64.73 MiB 0.02 7012 -1 -1 12 0.28 -1 -1 36012 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66284 32 32 253 285 1 190 80 17 17 289 -1 unnamed_device 26.4 MiB 2.36 1037 7820 2590 3887 1343 64.7 MiB 0.08 0.00 6.04387 -130.269 -6.04387 6.04387 1.08 0.000604512 0.000543205 0.0339362 0.0308274 44 3041 47 6.79088e+06 215552 787024. 2723.27 5.77 0.283542 0.247884 27118 194962 -1 2442 16 1190 3201 208965 47109 6.29447 6.29447 -153.193 -6.29447 0 0 997811. 3452.63 0.44 0.09 0.19 -1 -1 0.44 0.0306798 0.0279428 115 158 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_065.v common 6.63 vpr 64.56 MiB 0.02 6888 -1 -1 12 0.25 -1 -1 36244 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66108 30 32 227 259 1 163 81 17 17 289 -1 unnamed_device 26.1 MiB 1.73 881 12856 3743 7194 1919 64.6 MiB 0.09 0.00 6.34486 -126.195 -6.34486 6.34486 0.96 0.000478791 0.00043365 0.0408075 0.0370593 30 2208 25 6.79088e+06 255968 556674. 1926.21 1.42 0.136742 0.121978 24526 138013 -1 1868 17 868 2338 113709 28546 6.59546 6.59546 -141.505 -6.59546 0 0 706193. 2443.58 0.31 0.06 0.13 -1 -1 0.31 0.0284039 0.025638 105 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_066.v common 9.66 vpr 65.10 MiB 0.03 7112 -1 -1 12 0.38 -1 -1 36836 -1 -1 24 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66664 29 32 292 324 1 202 85 17 17 289 -1 unnamed_device 26.6 MiB 1.73 1153 8269 2129 5014 1126 65.1 MiB 0.09 0.00 6.55742 -124.037 -6.55742 6.55742 1.08 0.000846732 0.000764016 0.0373553 0.0338391 34 3508 41 6.79088e+06 323328 618332. 2139.56 4.00 0.219175 0.193812 25102 150614 -1 2892 18 1343 4193 271108 59741 6.83487 6.83487 -144.049 -6.83487 0 0 787024. 2723.27 0.36 0.10 0.14 -1 -1 0.36 0.0375365 0.0341156 144 203 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_067.v common 13.84 vpr 65.30 MiB 0.02 7232 -1 -1 14 0.44 -1 -1 36768 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66868 32 32 316 348 1 248 86 17 17 289 -1 unnamed_device 26.6 MiB 3.19 1427 8780 2238 5534 1008 65.3 MiB 0.09 0.00 6.92451 -144.913 -6.92451 6.92451 1.03 0.000721747 0.000652002 0.0401866 0.0364574 44 3901 41 6.79088e+06 296384 787024. 2723.27 6.59 0.389988 0.341694 27118 194962 -1 3021 18 1619 4099 228995 50978 7.30041 7.30041 -165.868 -7.30041 0 0 997811. 3452.63 0.46 0.11 0.19 -1 -1 0.46 0.0421151 0.0384025 155 221 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_068.v common 10.40 vpr 65.14 MiB 0.02 7032 -1 -1 12 0.31 -1 -1 36876 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66704 32 32 286 318 1 212 83 17 17 289 -1 unnamed_device 26.6 MiB 1.79 1248 11243 3116 6113 2014 65.1 MiB 0.12 0.00 6.20837 -138.563 -6.20837 6.20837 1.06 0.000764302 0.000701544 0.0532668 0.0488244 38 3532 22 6.79088e+06 255968 678818. 2348.85 4.78 0.248782 0.222184 25966 169698 -1 2850 17 1400 3971 222581 48958 6.45897 6.45897 -155.894 -6.45897 0 0 902133. 3121.57 0.37 0.10 0.14 -1 -1 0.37 0.0374552 0.0340187 137 191 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_069.v common 7.26 vpr 64.36 MiB 0.02 7040 -1 -1 12 0.20 -1 -1 36524 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65904 32 32 221 253 1 164 79 17 17 289 -1 unnamed_device 25.9 MiB 1.74 985 9036 2218 6308 510 64.4 MiB 0.08 0.00 5.91857 -125.482 -5.91857 5.91857 1.08 0.000506301 0.000459355 0.0320123 0.0290485 34 2664 23 6.79088e+06 202080 618332. 2139.56 1.88 0.166099 0.145517 25102 150614 -1 2220 15 857 2300 142338 31335 6.04387 6.04387 -139.173 -6.04387 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0230346 0.020771 95 126 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_070.v common 8.76 vpr 64.81 MiB 0.02 7128 -1 -1 12 0.29 -1 -1 36076 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 31 32 261 293 1 187 81 17 17 289 -1 unnamed_device 26.4 MiB 2.58 1080 7606 1876 4477 1253 64.8 MiB 0.07 0.00 6.07958 -129.05 -6.07958 6.07958 1.07 0.000675103 0.000608626 0.0306552 0.0278124 36 3111 35 6.79088e+06 242496 648988. 2245.63 2.43 0.173902 0.152867 25390 158009 -1 2495 16 1162 3118 191500 42883 6.36938 6.36938 -148.809 -6.36938 0 0 828058. 2865.25 0.35 0.08 0.15 -1 -1 0.35 0.0320187 0.0291587 114 168 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_071.v common 11.36 vpr 64.82 MiB 0.02 7052 -1 -1 11 0.25 -1 -1 36628 -1 -1 22 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66372 30 32 277 309 1 200 84 17 17 289 -1 unnamed_device 26.2 MiB 3.37 1171 10149 2747 5514 1888 64.8 MiB 0.09 0.00 5.61753 -118.579 -5.61753 5.61753 1.01 0.000727388 0.000661608 0.0409086 0.0370549 36 3708 36 6.79088e+06 296384 648988. 2245.63 4.34 0.201739 0.18001 25390 158009 -1 2900 24 1556 5189 417848 125249 6.07947 6.07947 -141.27 -6.07947 0 0 828058. 2865.25 0.34 0.15 0.13 -1 -1 0.34 0.0419033 0.0377116 129 186 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_072.v common 9.57 vpr 64.60 MiB 0.02 7132 -1 -1 11 0.28 -1 -1 36468 -1 -1 21 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 28 32 251 283 1 191 81 17 17 289 -1 unnamed_device 26.2 MiB 1.80 968 12331 5121 6767 443 64.6 MiB 0.11 0.00 5.70363 -105.841 -5.70363 5.70363 1.15 0.000709429 0.000645705 0.0497125 0.0450911 44 2924 27 6.79088e+06 282912 787024. 2723.27 3.71 0.221772 0.195201 27118 194962 -1 2219 20 1182 3266 176346 41413 5.95074 5.95074 -120.892 -5.95074 0 0 997811. 3452.63 0.45 0.08 0.20 -1 -1 0.45 0.0334512 0.0299447 125 164 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_073.v common 10.97 vpr 64.61 MiB 0.02 7084 -1 -1 13 0.25 -1 -1 36348 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 30 32 223 255 1 173 78 17 17 289 -1 unnamed_device 26.0 MiB 3.81 1091 11532 3532 6500 1500 64.6 MiB 0.10 0.00 6.25532 -124.609 -6.25532 6.25532 1.12 0.000722578 0.000663705 0.0438179 0.039551 36 2771 44 6.79088e+06 215552 648988. 2245.63 3.34 0.209234 0.183752 25390 158009 -1 2325 16 1051 2617 149329 34154 6.50592 6.50592 -141.87 -6.50592 0 0 828058. 2865.25 0.36 0.07 0.16 -1 -1 0.36 0.0277817 0.0252788 104 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_074.v common 10.21 vpr 64.95 MiB 0.02 6892 -1 -1 12 0.24 -1 -1 36200 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 32 32 269 301 1 197 84 17 17 289 -1 unnamed_device 26.5 MiB 2.32 1227 4659 829 3322 508 65.0 MiB 0.05 0.00 6.07958 -132.59 -6.07958 6.07958 1.08 0.000640903 0.000585379 0.0217817 0.0199631 36 3027 38 6.79088e+06 269440 648988. 2245.63 4.23 0.225427 0.200079 25390 158009 -1 2569 17 1111 2857 175508 38939 6.33018 6.33018 -151.646 -6.33018 0 0 828058. 2865.25 0.37 0.09 0.14 -1 -1 0.37 0.0355728 0.0324239 125 174 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_075.v common 11.97 vpr 65.02 MiB 0.03 7224 -1 -1 13 0.39 -1 -1 36428 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66584 31 32 283 315 1 193 83 17 17 289 -1 unnamed_device 26.5 MiB 2.57 1211 9983 2563 5846 1574 65.0 MiB 0.10 0.00 6.54518 -134.181 -6.54518 6.54518 1.12 0.000815746 0.000743962 0.0454751 0.041238 36 3127 34 6.79088e+06 269440 648988. 2245.63 5.24 0.23935 0.210111 25390 158009 -1 2817 30 1298 3870 393068 160512 7.00718 7.00718 -153.673 -7.00718 0 0 828058. 2865.25 0.38 0.19 0.16 -1 -1 0.38 0.0535743 0.047733 137 190 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_076.v common 9.95 vpr 65.43 MiB 0.02 7184 -1 -1 14 0.35 -1 -1 36612 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67000 32 32 308 340 1 224 85 17 17 289 -1 unnamed_device 26.6 MiB 1.79 1335 13849 3841 7381 2627 65.4 MiB 0.14 0.00 7.22905 -148.195 -7.22905 7.22905 1.13 0.000772026 0.000700328 0.0627158 0.0567611 36 3519 23 6.79088e+06 282912 648988. 2245.63 4.12 0.259545 0.229145 25390 158009 -1 2969 19 1466 3928 222434 49949 7.73024 7.73024 -174.874 -7.73024 0 0 828058. 2865.25 0.38 0.11 0.16 -1 -1 0.38 0.0430126 0.0390934 149 213 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_077.v common 10.34 vpr 64.90 MiB 0.02 7052 -1 -1 14 0.33 -1 -1 36472 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 277 309 1 209 84 17 17 289 -1 unnamed_device 26.4 MiB 2.92 1267 13260 4128 6827 2305 64.9 MiB 0.12 0.00 6.79583 -138.47 -6.79583 6.79583 1.04 0.000644809 0.000586902 0.055469 0.0504537 36 3821 36 6.79088e+06 269440 648988. 2245.63 3.49 0.224877 0.20118 25390 158009 -1 3131 22 1386 4022 332419 89996 7.17173 7.17173 -157.966 -7.17173 0 0 828058. 2865.25 0.41 0.14 0.15 -1 -1 0.41 0.0439564 0.0397965 136 182 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_078.v common 10.23 vpr 65.10 MiB 0.02 7220 -1 -1 13 0.46 -1 -1 36964 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 32 32 288 320 1 210 83 17 17 289 -1 unnamed_device 26.6 MiB 2.40 1211 9803 2713 5326 1764 65.1 MiB 0.11 0.00 6.67391 -137.428 -6.67391 6.67391 1.09 0.00064743 0.000581079 0.0454543 0.0412424 40 2953 19 6.79088e+06 255968 706193. 2443.58 3.72 0.235522 0.208569 26254 175826 -1 2865 19 1463 4308 281698 61460 7.14705 7.14705 -156.84 -7.14705 0 0 926341. 3205.33 0.42 0.12 0.17 -1 -1 0.42 0.0428972 0.039093 139 193 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_079.v common 8.29 vpr 64.64 MiB 0.02 6940 -1 -1 13 0.25 -1 -1 36480 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66192 30 32 230 262 1 176 78 17 17 289 -1 unnamed_device 26.1 MiB 2.14 1056 10204 2997 6469 738 64.6 MiB 0.09 0.00 5.84133 -125.224 -5.84133 5.84133 1.13 0.000820604 0.000751288 0.0414194 0.0376702 36 2747 49 6.79088e+06 215552 648988. 2245.63 2.31 0.197596 0.173626 25390 158009 -1 2310 14 989 2388 141454 31706 6.47553 6.47553 -144.68 -6.47553 0 0 828058. 2865.25 0.38 0.07 0.16 -1 -1 0.38 0.0256142 0.0232594 106 139 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_080.v common 10.78 vpr 65.20 MiB 0.02 7084 -1 -1 13 0.56 -1 -1 36564 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 30 32 294 326 1 222 85 17 17 289 -1 unnamed_device 26.6 MiB 1.70 1353 11989 3177 6595 2217 65.2 MiB 0.11 0.00 6.80265 -142.99 -6.80265 6.80265 1.02 0.000701943 0.000636994 0.0521128 0.0475257 34 3865 49 6.79088e+06 309856 618332. 2139.56 5.16 0.284324 0.25412 25102 150614 -1 3240 21 1670 4279 337255 86329 7.71551 7.71551 -171.108 -7.71551 0 0 787024. 2723.27 0.33 0.14 0.13 -1 -1 0.33 0.0457464 0.0417537 144 203 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_081.v common 10.39 vpr 65.10 MiB 0.02 7268 -1 -1 14 0.40 -1 -1 36580 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 32 32 276 308 1 206 84 17 17 289 -1 unnamed_device 26.6 MiB 2.23 1338 11979 3434 6428 2117 65.1 MiB 0.12 0.00 6.68167 -146.217 -6.68167 6.68167 1.10 0.000631491 0.000572223 0.051574 0.0467794 38 3324 18 6.79088e+06 269440 678818. 2348.85 4.12 0.221877 0.195459 25966 169698 -1 2701 18 1293 3740 197175 43907 7.21858 7.21858 -169.126 -7.21858 0 0 902133. 3121.57 0.40 0.09 0.17 -1 -1 0.40 0.0358857 0.0322767 133 181 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_082.v common 10.64 vpr 65.11 MiB 0.03 7076 -1 -1 12 0.35 -1 -1 36760 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 31 32 293 325 1 212 84 17 17 289 -1 unnamed_device 26.6 MiB 2.30 1193 11979 3443 6000 2536 65.1 MiB 0.12 0.00 6.54856 -132.625 -6.54856 6.54856 1.10 0.000730604 0.000664396 0.0562795 0.0511177 30 3990 35 6.79088e+06 282912 556674. 1926.21 4.33 0.192786 0.171107 24526 138013 -1 2829 31 1472 4206 420790 164301 6.67386 6.67386 -151.863 -6.67386 0 0 706193. 2443.58 0.33 0.20 0.14 -1 -1 0.33 0.058757 0.052991 143 200 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_083.v common 11.41 vpr 64.97 MiB 0.03 7320 -1 -1 13 0.32 -1 -1 36388 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 30 32 273 305 1 208 83 17 17 289 -1 unnamed_device 26.5 MiB 2.60 1262 12323 3382 7149 1792 65.0 MiB 0.12 0.00 6.93338 -129.368 -6.93338 6.93338 1.09 0.000738629 0.000667957 0.0530132 0.0482631 38 3285 18 6.79088e+06 282912 678818. 2348.85 4.93 0.270382 0.239631 25966 169698 -1 2785 15 1200 3386 176822 39439 7.12477 7.12477 -146.079 -7.12477 0 0 902133. 3121.57 0.41 0.08 0.16 -1 -1 0.41 0.032961 0.0300106 126 182 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_084.v common 9.41 vpr 65.50 MiB 0.02 7032 -1 -1 14 0.44 -1 -1 37148 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 310 342 1 235 85 17 17 289 -1 unnamed_device 26.7 MiB 1.80 1496 5851 1160 4193 498 65.5 MiB 0.07 0.00 6.83847 -145.508 -6.83847 6.83847 1.01 0.000870168 0.000804044 0.0317976 0.0290648 40 3511 30 6.79088e+06 282912 706193. 2443.58 3.51 0.260327 0.232279 26254 175826 -1 3454 38 2357 7011 787946 317034 7.29703 7.29703 -171.5 -7.29703 0 0 926341. 3205.33 0.39 0.35 0.15 -1 -1 0.39 0.0810511 0.0734559 154 215 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_085.v common 11.89 vpr 65.07 MiB 0.02 7156 -1 -1 11 0.36 -1 -1 36492 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66636 29 32 259 291 1 194 83 17 17 289 -1 unnamed_device 26.6 MiB 1.55 1099 13763 4218 7150 2395 65.1 MiB 0.12 0.00 5.74283 -113.79 -5.74283 5.74283 1.04 0.000809394 0.000726129 0.0549642 0.0498166 36 3311 35 6.79088e+06 296384 648988. 2245.63 6.56 0.256164 0.227573 25390 158009 -1 2589 20 1206 3669 216009 47704 6.11175 6.11175 -131.949 -6.11175 0 0 828058. 2865.25 0.38 0.10 0.14 -1 -1 0.38 0.038527 0.0350472 130 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_086.v common 12.37 vpr 64.62 MiB 0.02 6864 -1 -1 13 0.21 -1 -1 36576 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66176 32 32 225 257 1 182 78 17 17 289 -1 unnamed_device 26.1 MiB 3.85 956 3896 751 3064 81 64.6 MiB 0.05 0.00 5.77864 -135.969 -5.77864 5.77864 1.12 0.00055984 0.000500514 0.0191674 0.0173332 36 3043 31 6.79088e+06 188608 648988. 2245.63 4.73 0.188681 0.16616 25390 158009 -1 2317 19 1150 2615 160726 37934 6.11534 6.11534 -155.122 -6.11534 0 0 828058. 2865.25 0.39 0.08 0.15 -1 -1 0.39 0.0324961 0.029403 99 130 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_087.v common 12.14 vpr 64.88 MiB 0.02 7164 -1 -1 14 0.31 -1 -1 36492 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66436 32 32 273 305 1 212 83 17 17 289 -1 unnamed_device 26.4 MiB 2.47 1305 5123 1053 3790 280 64.9 MiB 0.06 0.00 7.04217 -146.535 -7.04217 7.04217 1.10 0.000633698 0.000573326 0.025294 0.0231141 36 3524 22 6.79088e+06 255968 648988. 2245.63 5.82 0.195644 0.171408 25390 158009 -1 2913 15 1343 3450 213179 47025 7.84435 7.84435 -172.205 -7.84435 0 0 828058. 2865.25 0.37 0.09 0.16 -1 -1 0.37 0.0307107 0.0278387 129 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_088.v common 9.76 vpr 65.60 MiB 0.02 7036 -1 -1 15 0.45 -1 -1 36932 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67172 32 32 322 354 1 240 86 17 17 289 -1 unnamed_device 26.9 MiB 2.19 1452 14828 4097 8696 2035 65.6 MiB 0.15 0.00 7.3152 -155.529 -7.3152 7.3152 1.02 0.000762541 0.00068769 0.0691615 0.0629925 36 4203 41 6.79088e+06 296384 648988. 2245.63 3.75 0.284373 0.254204 25390 158009 -1 3324 18 1795 4742 281237 62610 7.84862 7.84862 -179.446 -7.84862 0 0 828058. 2865.25 0.35 0.12 0.14 -1 -1 0.35 0.0413579 0.0376834 153 227 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_089.v common 9.85 vpr 64.61 MiB 0.02 6896 -1 -1 11 0.22 -1 -1 36372 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66160 32 32 218 250 1 160 78 17 17 289 -1 unnamed_device 26.2 MiB 2.65 1007 7714 2045 5188 481 64.6 MiB 0.07 0.00 5.37463 -117.408 -5.37463 5.37463 1.11 0.000507906 0.000461617 0.0283961 0.0258323 34 2626 46 6.79088e+06 188608 618332. 2139.56 3.59 0.19574 0.172003 25102 150614 -1 2279 16 946 2442 161948 35384 5.82544 5.82544 -137.184 -5.82544 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0266636 0.0241263 91 123 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_090.v common 10.53 vpr 64.62 MiB 0.02 6884 -1 -1 12 0.25 -1 -1 36400 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 31 32 244 276 1 185 79 17 17 289 -1 unnamed_device 26.0 MiB 1.99 1069 11402 3699 5509 2194 64.6 MiB 0.10 0.00 5.82898 -130.331 -5.82898 5.82898 1.02 0.000565339 0.000511705 0.0431243 0.0391175 36 3108 50 6.79088e+06 215552 648988. 2245.63 4.93 0.300945 0.264521 25390 158009 -1 2531 19 1170 2978 175560 40360 6.20488 6.20488 -150.218 -6.20488 0 0 828058. 2865.25 0.37 0.08 0.15 -1 -1 0.37 0.0326038 0.0295221 111 151 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_091.v common 8.55 vpr 65.16 MiB 0.02 7028 -1 -1 12 0.38 -1 -1 36568 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 32 32 301 333 1 214 84 17 17 289 -1 unnamed_device 26.6 MiB 1.65 1333 7770 1879 4830 1061 65.2 MiB 0.08 0.00 6.42321 -133.875 -6.42321 6.42321 0.99 0.000713497 0.000650692 0.037328 0.0340617 36 3576 34 6.79088e+06 269440 648988. 2245.63 3.26 0.230144 0.205304 25390 158009 -1 2881 15 1292 3519 202549 46714 6.54851 6.54851 -153.254 -6.54851 0 0 828058. 2865.25 0.34 0.09 0.14 -1 -1 0.34 0.0359955 0.0330917 145 206 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_092.v common 10.75 vpr 64.94 MiB 0.02 7260 -1 -1 12 0.29 -1 -1 36460 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 278 310 1 207 83 17 17 289 -1 unnamed_device 26.5 MiB 1.94 1323 13043 3771 7206 2066 64.9 MiB 0.11 0.00 6.47021 -137.3 -6.47021 6.47021 0.97 0.000588593 0.000536225 0.0495388 0.0450738 44 3202 22 6.79088e+06 255968 787024. 2723.27 5.13 0.310625 0.277131 27118 194962 -1 2706 17 1184 3456 200664 43933 6.93221 6.93221 -155.193 -6.93221 0 0 997811. 3452.63 0.43 0.09 0.17 -1 -1 0.43 0.0357134 0.0325672 133 183 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_093.v common 10.76 vpr 65.35 MiB 0.02 7204 -1 -1 14 0.60 -1 -1 36844 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66916 32 32 333 365 1 242 87 17 17 289 -1 unnamed_device 26.9 MiB 1.69 1389 5271 1002 4135 134 65.3 MiB 0.07 0.00 7.34316 -151.316 -7.34316 7.34316 1.08 0.000814078 0.000725888 0.0302201 0.0275261 38 3992 33 6.79088e+06 309856 678818. 2348.85 4.84 0.269707 0.238938 25966 169698 -1 3178 19 1619 4903 246728 56660 7.46846 7.46846 -167.758 -7.46846 0 0 902133. 3121.57 0.42 0.13 0.16 -1 -1 0.42 0.0514052 0.0466011 170 238 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_094.v common 8.84 vpr 64.95 MiB 0.02 7268 -1 -1 11 0.29 -1 -1 36436 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 30 32 261 293 1 195 83 17 17 289 -1 unnamed_device 26.5 MiB 2.26 1141 13583 4534 6898 2151 65.0 MiB 0.11 0.00 5.74632 -117.652 -5.74632 5.74632 0.98 0.000554994 0.000500023 0.0485254 0.0439737 36 3367 35 6.79088e+06 282912 648988. 2245.63 3.08 0.206977 0.18412 25390 158009 -1 2753 19 1376 4024 242405 52504 6.08296 6.08296 -138.485 -6.08296 0 0 828058. 2865.25 0.33 0.10 0.13 -1 -1 0.33 0.0347786 0.0315074 128 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_095.v common 6.08 vpr 64.52 MiB 0.02 7092 -1 -1 11 0.22 -1 -1 36616 -1 -1 19 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66064 27 32 217 249 1 154 78 17 17 289 -1 unnamed_device 26.1 MiB 1.37 905 9706 2487 6569 650 64.5 MiB 0.07 0.00 5.56719 -104.624 -5.56719 5.56719 0.97 0.000463498 0.00042049 0.0314541 0.0286756 30 2647 32 6.79088e+06 255968 556674. 1926.21 1.44 0.136007 0.121071 24526 138013 -1 2013 16 933 2520 135822 31296 5.94309 5.94309 -123.755 -5.94309 0 0 706193. 2443.58 0.30 0.06 0.12 -1 -1 0.30 0.026786 0.0242392 101 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_096.v common 12.15 vpr 65.84 MiB 0.02 7208 -1 -1 13 0.58 -1 -1 36616 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67424 32 32 373 405 1 276 93 17 17 289 -1 unnamed_device 27.2 MiB 2.34 1666 15003 4055 7926 3022 65.8 MiB 0.17 0.00 6.72081 -139.329 -6.72081 6.72081 1.05 0.000886035 0.000790588 0.0719426 0.0645874 40 4247 23 6.79088e+06 390688 706193. 2443.58 5.49 0.292978 0.258161 26254 175826 -1 4044 29 2125 6347 592785 186198 7.22196 7.22196 -161.184 -7.22196 0 0 926341. 3205.33 0.39 0.23 0.17 -1 -1 0.39 0.0641365 0.0576752 191 278 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_097.v common 20.76 vpr 64.80 MiB 0.02 7324 -1 -1 14 0.37 -1 -1 36824 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 31 32 269 301 1 201 83 17 17 289 -1 unnamed_device 26.4 MiB 1.81 1146 8903 2281 5745 877 64.8 MiB 0.09 0.00 7.18979 -146.393 -7.18979 7.18979 1.03 0.000655339 0.000594382 0.03767 0.0341398 30 3316 24 6.79088e+06 269440 556674. 1926.21 15.18 0.263995 0.231146 24526 138013 -1 2807 21 1428 3869 256174 67221 7.51186 7.51186 -167.43 -7.51186 0 0 706193. 2443.58 0.32 0.11 0.14 -1 -1 0.32 0.0385606 0.0346406 128 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_098.v common 9.22 vpr 64.60 MiB 0.02 6860 -1 -1 12 0.19 -1 -1 36472 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66148 32 32 228 260 1 188 83 17 17 289 -1 unnamed_device 26.1 MiB 2.68 1175 8183 2029 5543 611 64.6 MiB 0.07 0.00 5.79327 -138.237 -5.79327 5.79327 0.98 0.000533376 0.000488291 0.0272337 0.0248206 46 2675 20 6.79088e+06 255968 828058. 2865.25 3.03 0.176017 0.156587 27406 200422 -1 2468 16 1065 2638 153037 32561 6.38057 6.38057 -157.994 -6.38057 0 0 1.01997e+06 3529.29 0.43 0.07 0.17 -1 -1 0.43 0.0271938 0.0246579 109 133 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_099.v common 13.73 vpr 64.94 MiB 0.02 6996 -1 -1 13 0.38 -1 -1 36500 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 32 32 265 297 1 195 82 17 17 289 -1 unnamed_device 26.5 MiB 3.56 1222 6312 1404 4514 394 64.9 MiB 0.07 0.00 6.79927 -139.987 -6.79927 6.79927 1.08 0.000657746 0.000594586 0.027525 0.0250488 44 3204 32 6.79088e+06 242496 787024. 2723.27 6.30 0.287987 0.252964 27118 194962 -1 2541 16 1078 3098 176661 38736 6.79927 6.79927 -154.777 -6.79927 0 0 997811. 3452.63 0.40 0.08 0.19 -1 -1 0.40 0.0305642 0.027736 125 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_100.v common 12.26 vpr 64.99 MiB 0.02 7292 -1 -1 13 0.41 -1 -1 37068 -1 -1 25 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66548 31 32 325 357 1 249 88 17 17 289 -1 unnamed_device 26.6 MiB 2.53 1512 12568 3220 7578 1770 65.0 MiB 0.13 0.00 6.12997 -135.199 -6.12997 6.12997 1.04 0.000757751 0.000666814 0.054114 0.0486388 38 4352 25 6.79088e+06 336800 678818. 2348.85 5.70 0.267341 0.236162 25966 169698 -1 3412 28 1989 5890 509840 178791 6.50233 6.50233 -155.387 -6.50233 0 0 902133. 3121.57 0.39 0.22 0.16 -1 -1 0.39 0.0591776 0.0532803 159 232 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_101.v common 9.80 vpr 65.04 MiB 0.03 7124 -1 -1 11 0.29 -1 -1 36792 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66596 30 32 287 319 1 197 85 17 17 289 -1 unnamed_device 26.5 MiB 1.97 1073 12175 3171 6460 2544 65.0 MiB 0.12 0.00 5.83242 -116.072 -5.83242 5.83242 1.06 0.000757204 0.000677517 0.0528282 0.0475565 38 3286 40 6.79088e+06 309856 678818. 2348.85 4.03 0.258145 0.227357 25966 169698 -1 2550 17 1256 3970 205924 48451 6.33362 6.33362 -136.242 -6.33362 0 0 902133. 3121.57 0.40 0.09 0.15 -1 -1 0.40 0.034646 0.0313804 140 196 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_102.v common 8.26 vpr 65.20 MiB 0.02 7052 -1 -1 15 0.39 -1 -1 36660 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66760 32 32 297 329 1 220 83 17 17 289 -1 unnamed_device 26.6 MiB 1.75 1286 11243 3039 6558 1646 65.2 MiB 0.10 0.00 7.46856 -150.693 -7.46856 7.46856 0.97 0.000626623 0.000566593 0.0472258 0.0426227 40 2940 29 6.79088e+06 255968 706193. 2443.58 2.83 0.264144 0.236067 26254 175826 -1 2796 20 1376 3779 228983 52879 7.84441 7.84441 -168.32 -7.84441 0 0 926341. 3205.33 0.38 0.10 0.15 -1 -1 0.38 0.040819 0.0371241 142 202 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_103.v common 9.53 vpr 65.38 MiB 0.02 6968 -1 -1 13 0.38 -1 -1 36668 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 311 343 1 230 87 17 17 289 -1 unnamed_device 26.8 MiB 2.32 1370 8151 1826 5570 755 65.4 MiB 0.08 0.00 6.80265 -145.399 -6.80265 6.80265 0.95 0.000863911 0.000789583 0.0361818 0.0328996 38 3611 32 6.79088e+06 309856 678818. 2348.85 3.62 0.255246 0.227564 25966 169698 -1 2942 16 1363 4076 210389 47111 7.42915 7.42915 -167.096 -7.42915 0 0 902133. 3121.57 0.35 0.09 0.14 -1 -1 0.35 0.037101 0.0339079 154 216 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_104.v common 7.64 vpr 64.64 MiB 0.02 6980 -1 -1 12 0.27 -1 -1 36276 -1 -1 18 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 29 32 236 268 1 182 79 17 17 289 -1 unnamed_device 26.1 MiB 2.59 964 11909 4050 5724 2135 64.6 MiB 0.10 0.00 6.04736 -125.367 -6.04736 6.04736 1.05 0.000554159 0.00050456 0.0430904 0.0389873 30 2783 23 6.79088e+06 242496 556674. 1926.21 1.47 0.134916 0.119022 24526 138013 -1 2079 14 1031 2381 113792 27913 6.54856 6.54856 -148.372 -6.54856 0 0 706193. 2443.58 0.31 0.06 0.13 -1 -1 0.31 0.0245156 0.0221835 109 147 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_105.v common 8.65 vpr 64.59 MiB 0.02 7068 -1 -1 11 0.18 -1 -1 36412 -1 -1 14 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66136 32 32 231 263 1 184 78 17 17 289 -1 unnamed_device 26.1 MiB 1.68 1145 7216 1861 4799 556 64.6 MiB 0.06 0.00 5.71482 -126.252 -5.71482 5.71482 0.98 0.000527797 0.000481567 0.0255312 0.0232053 36 3704 50 6.79088e+06 188608 648988. 2245.63 3.61 0.180624 0.159985 25390 158009 -1 2719 18 1225 3147 209786 45796 6.09066 6.09066 -152.673 -6.09066 0 0 828058. 2865.25 0.36 0.08 0.14 -1 -1 0.36 0.0301351 0.0274166 98 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_106.v common 8.59 vpr 65.27 MiB 0.02 6984 -1 -1 13 0.41 -1 -1 36712 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66836 31 32 294 326 1 214 85 17 17 289 -1 unnamed_device 26.6 MiB 1.47 1146 13105 4549 6597 1959 65.3 MiB 0.12 0.00 6.58427 -131.594 -6.58427 6.58427 1.09 0.00065373 0.000592265 0.0545773 0.0492693 38 3533 30 6.79088e+06 296384 678818. 2348.85 3.11 0.219324 0.194762 25966 169698 -1 2790 22 1828 5120 283085 64346 7.53628 7.53628 -159.043 -7.53628 0 0 902133. 3121.57 0.37 0.11 0.17 -1 -1 0.37 0.0418123 0.0375281 144 201 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_107.v common 8.29 vpr 64.64 MiB 0.02 7092 -1 -1 10 0.23 -1 -1 36208 -1 -1 17 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66196 29 32 221 253 1 164 78 17 17 289 -1 unnamed_device 26.2 MiB 2.24 866 7216 1729 5173 314 64.6 MiB 0.07 0.00 4.98748 -104.487 -4.98748 4.98748 1.09 0.000601199 0.00053649 0.0270835 0.0245861 30 2848 39 6.79088e+06 229024 556674. 1926.21 2.42 0.130511 0.115332 24526 138013 -1 2140 25 1021 2862 249179 91155 5.23808 5.23808 -123.204 -5.23808 0 0 706193. 2443.58 0.32 0.11 0.13 -1 -1 0.32 0.0335754 0.0298261 98 132 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_108.v common 15.12 vpr 64.43 MiB 0.02 6856 -1 -1 14 0.25 -1 -1 36692 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65976 32 32 240 272 1 188 82 17 17 289 -1 unnamed_device 25.9 MiB 3.65 1120 5778 1200 4327 251 64.4 MiB 0.06 0.00 6.37298 -133.274 -6.37298 6.37298 1.05 0.000544935 0.000492697 0.0221739 0.0202061 36 3081 26 6.79088e+06 242496 648988. 2245.63 7.87 0.243098 0.211757 25390 158009 -1 2591 19 1153 3032 196294 42821 7.12467 7.12467 -159.681 -7.12467 0 0 828058. 2865.25 0.35 0.08 0.15 -1 -1 0.35 0.0315528 0.028393 110 145 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_109.v common 10.36 vpr 64.92 MiB 0.02 7008 -1 -1 12 0.40 -1 -1 36552 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66480 31 32 292 324 1 210 85 17 17 289 -1 unnamed_device 26.4 MiB 1.44 1228 12175 3322 6427 2426 64.9 MiB 0.12 0.00 6.13341 -132.612 -6.13341 6.13341 1.06 0.000730775 0.00065989 0.0515272 0.0463398 36 3486 49 6.79088e+06 296384 648988. 2245.63 5.01 0.275812 0.24354 25390 158009 -1 2807 19 1413 4228 241784 53579 6.54851 6.54851 -153.579 -6.54851 0 0 828058. 2865.25 0.36 0.10 0.14 -1 -1 0.36 0.040056 0.0362883 143 199 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_110.v common 8.59 vpr 64.59 MiB 0.02 6916 -1 -1 12 0.18 -1 -1 36076 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66144 31 32 229 261 1 179 79 17 17 289 -1 unnamed_device 26.1 MiB 2.42 1035 7684 2025 5265 394 64.6 MiB 0.06 0.00 5.4976 -121.005 -5.4976 5.4976 0.95 0.000483557 0.000439705 0.0262575 0.0238798 34 2731 34 6.79088e+06 215552 618332. 2139.56 2.96 0.15773 0.139887 25102 150614 -1 2460 15 1030 2439 158519 35835 6.11529 6.11529 -143.123 -6.11529 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0243023 0.0220607 101 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_111.v common 10.17 vpr 64.86 MiB 0.02 7160 -1 -1 12 0.24 -1 -1 36688 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 282 314 1 202 82 17 17 289 -1 unnamed_device 26.4 MiB 1.75 1236 7914 1783 5757 374 64.9 MiB 0.08 0.00 6.25876 -129.091 -6.25876 6.25876 1.05 0.000696304 0.00063353 0.0351782 0.0319914 36 3628 48 6.79088e+06 242496 648988. 2245.63 4.81 0.215256 0.191606 25390 158009 -1 2974 20 1380 4153 266676 56862 6.54507 6.54507 -152.855 -6.54507 0 0 828058. 2865.25 0.34 0.10 0.13 -1 -1 0.34 0.038696 0.0350869 123 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_112.v common 10.07 vpr 64.92 MiB 0.02 7248 -1 -1 13 0.38 -1 -1 36508 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66476 31 32 269 301 1 204 82 17 17 289 -1 unnamed_device 26.4 MiB 1.99 1279 10050 2777 5979 1294 64.9 MiB 0.10 0.00 6.33367 -133.326 -6.33367 6.33367 1.05 0.000680687 0.000618022 0.0415119 0.0375934 38 3331 38 6.79088e+06 255968 678818. 2348.85 4.23 0.22513 0.19681 25966 169698 -1 2731 17 1298 3748 206916 45902 6.54507 6.54507 -152.641 -6.54507 0 0 902133. 3121.57 0.37 0.08 0.16 -1 -1 0.37 0.0320413 0.0289055 134 176 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_113.v common 8.26 vpr 64.73 MiB 0.02 6948 -1 -1 11 0.22 -1 -1 36352 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 32 32 237 269 1 188 79 17 17 289 -1 unnamed_device 26.1 MiB 1.74 1170 5825 1263 4080 482 64.7 MiB 0.06 0.00 5.66792 -123.968 -5.66792 5.66792 1.07 0.000553518 0.0005028 0.0239207 0.021767 38 2980 30 6.79088e+06 202080 678818. 2348.85 2.91 0.172651 0.151255 25966 169698 -1 2509 17 1120 2990 165940 37112 6.16912 6.16912 -144.524 -6.16912 0 0 902133. 3121.57 0.37 0.07 0.16 -1 -1 0.37 0.0274094 0.0246314 105 142 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_114.v common 11.22 vpr 64.91 MiB 0.02 6868 -1 -1 13 0.27 -1 -1 36372 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 259 291 1 191 81 17 17 289 -1 unnamed_device 26.3 MiB 2.45 1063 13556 4573 7043 1940 64.9 MiB 0.12 0.00 6.03617 -131.152 -6.03617 6.03617 1.09 0.000686787 0.00062947 0.0545016 0.0493072 38 2744 28 6.79088e+06 229024 678818. 2348.85 5.00 0.301244 0.265358 25966 169698 -1 2282 18 1121 3098 154638 36298 6.24757 6.24757 -145.565 -6.24757 0 0 902133. 3121.57 0.37 0.07 0.16 -1 -1 0.37 0.0296248 0.0266575 116 164 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_115.v common 12.55 vpr 64.93 MiB 0.02 7060 -1 -1 13 0.34 -1 -1 36224 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66484 32 32 277 309 1 213 82 17 17 289 -1 unnamed_device 26.4 MiB 2.07 1354 12720 3433 7838 1449 64.9 MiB 0.12 0.00 6.11878 -133.886 -6.11878 6.11878 1.05 0.000693693 0.000625182 0.0537241 0.0487872 54 2946 20 6.79088e+06 242496 949917. 3286.91 6.48 0.334429 0.294774 28846 232421 -1 2556 17 1370 3762 201310 43900 6.28328 6.28328 -147.289 -6.28328 0 0 1.17392e+06 4061.99 0.49 0.09 0.23 -1 -1 0.49 0.0354543 0.0321915 130 182 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_116.v common 9.35 vpr 64.40 MiB 0.02 7292 -1 -1 11 0.23 -1 -1 35920 -1 -1 22 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65948 29 32 245 277 1 176 83 17 17 289 -1 unnamed_device 25.8 MiB 1.83 964 11243 3400 5698 2145 64.4 MiB 0.09 0.00 5.53486 -106.345 -5.53486 5.53486 1.01 0.000510505 0.000461776 0.0386224 0.0350997 36 2780 44 6.79088e+06 296384 648988. 2245.63 4.08 0.220798 0.195681 25390 158009 -1 2224 19 1034 3013 185421 40571 5.66016 5.66016 -121.842 -5.66016 0 0 828058. 2865.25 0.34 0.08 0.13 -1 -1 0.34 0.0311137 0.0281661 115 156 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_117.v common 9.77 vpr 65.28 MiB 0.02 7060 -1 -1 14 0.44 -1 -1 37424 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 316 348 1 232 86 17 17 289 -1 unnamed_device 26.7 MiB 1.99 1491 8213 2026 5627 560 65.3 MiB 0.09 0.00 7.40125 -159.232 -7.40125 7.40125 1.07 0.000737778 0.000664956 0.040604 0.0367278 36 3844 48 6.79088e+06 296384 648988. 2245.63 3.72 0.222206 0.195366 25390 158009 -1 3332 22 1597 4429 395529 137199 8.02774 8.02774 -183.413 -8.02774 0 0 828058. 2865.25 0.36 0.16 0.16 -1 -1 0.36 0.0454787 0.0410929 160 221 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_118.v common 12.42 vpr 64.60 MiB 0.02 7036 -1 -1 12 0.22 -1 -1 36176 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66152 31 32 230 262 1 188 81 17 17 289 -1 unnamed_device 26.1 MiB 3.60 1073 12856 3930 7113 1813 64.6 MiB 0.11 0.00 5.82893 -123.636 -5.82893 5.82893 1.11 0.000546279 0.000469888 0.0461443 0.0416163 38 2740 24 6.79088e+06 242496 678818. 2348.85 5.04 0.243481 0.214955 25966 169698 -1 2279 15 1035 2499 132791 30162 5.82893 5.82893 -135.892 -5.82893 0 0 902133. 3121.57 0.39 0.07 0.16 -1 -1 0.39 0.0266322 0.0242481 108 137 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_119.v common 11.65 vpr 65.07 MiB 0.02 7036 -1 -1 13 0.36 -1 -1 36864 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 32 32 282 314 1 208 83 17 17 289 -1 unnamed_device 26.6 MiB 2.45 1246 13223 4375 6451 2397 65.1 MiB 0.13 0.00 6.37287 -130.265 -6.37287 6.37287 1.09 0.000661254 0.000599964 0.0572928 0.0518713 38 3717 38 6.79088e+06 255968 678818. 2348.85 5.22 0.292051 0.260334 25966 169698 -1 2805 19 1455 4093 231455 52130 6.70957 6.70957 -152.409 -6.70957 0 0 902133. 3121.57 0.40 0.10 0.16 -1 -1 0.40 0.0407262 0.0371728 132 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_120.v common 11.05 vpr 64.62 MiB 0.02 7024 -1 -1 13 0.25 -1 -1 36128 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66168 32 32 235 267 1 182 80 17 17 289 -1 unnamed_device 26.1 MiB 2.82 1106 12292 3626 6609 2057 64.6 MiB 0.11 0.00 6.20842 -140.032 -6.20842 6.20842 1.09 0.000553562 0.000499428 0.0455427 0.0412151 36 2910 20 6.79088e+06 215552 648988. 2245.63 4.45 0.187746 0.164887 25390 158009 -1 2393 21 1101 2767 161053 36624 6.70613 6.70613 -164.31 -6.70613 0 0 828058. 2865.25 0.36 0.08 0.16 -1 -1 0.36 0.0318762 0.0284506 104 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_121.v common 8.44 vpr 65.17 MiB 0.02 7088 -1 -1 12 0.26 -1 -1 36340 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66732 32 32 265 297 1 189 83 17 17 289 -1 unnamed_device 26.5 MiB 2.33 1222 10343 2693 5983 1667 65.2 MiB 0.09 0.00 5.83242 -130.968 -5.83242 5.83242 1.03 0.000572872 0.000519395 0.0405515 0.0368366 36 3244 45 6.79088e+06 255968 648988. 2245.63 2.51 0.223415 0.199365 25390 158009 -1 2640 15 1047 3239 191366 41946 6.08302 6.08302 -145.739 -6.08302 0 0 828058. 2865.25 0.36 0.08 0.14 -1 -1 0.36 0.0311656 0.0284335 121 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_122.v common 10.85 vpr 65.54 MiB 0.03 7300 -1 -1 15 0.65 -1 -1 36504 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 344 376 1 260 88 17 17 289 -1 unnamed_device 27.1 MiB 2.67 1464 11788 3306 6614 1868 65.5 MiB 0.14 0.00 8.1062 -160.068 -8.1062 8.1062 1.12 0.00088977 0.000801386 0.060566 0.0547921 46 4175 40 6.79088e+06 323328 828058. 2865.25 3.71 0.27433 0.243105 27406 200422 -1 3311 18 1815 5507 289346 66058 8.3568 8.3568 -180.662 -8.3568 0 0 1.01997e+06 3529.29 0.42 0.11 0.20 -1 -1 0.42 0.0423513 0.0384364 176 249 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_123.v common 7.72 vpr 64.04 MiB 0.02 6764 -1 -1 10 0.13 -1 -1 35704 -1 -1 11 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65572 30 32 173 205 1 129 73 17 17 289 -1 unnamed_device 25.6 MiB 2.14 720 9801 3395 4774 1632 64.0 MiB 0.07 0.00 4.44354 -100.588 -4.44354 4.44354 1.09 0.000377119 0.000342562 0.0300548 0.0273659 34 1737 25 6.79088e+06 148192 618332. 2139.56 2.08 0.140463 0.122923 25102 150614 -1 1592 14 645 1545 91058 21380 4.44354 4.44354 -112.141 -4.44354 0 0 787024. 2723.27 0.35 0.05 0.15 -1 -1 0.35 0.0173062 0.0156251 63 82 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_124.v common 11.24 vpr 64.34 MiB 0.02 6896 -1 -1 13 0.24 -1 -1 36544 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65884 30 32 229 261 1 179 81 17 17 289 -1 unnamed_device 25.8 MiB 2.31 1009 10581 3564 5329 1688 64.3 MiB 0.09 0.00 6.07969 -127.299 -6.07969 6.07969 1.04 0.000650138 0.000589953 0.0373168 0.0338936 38 2567 23 6.79088e+06 255968 678818. 2348.85 5.26 0.302405 0.265797 25966 169698 -1 2110 17 1057 2568 125843 30360 6.07969 6.07969 -140.018 -6.07969 0 0 902133. 3121.57 0.39 0.07 0.17 -1 -1 0.39 0.0299972 0.0271951 105 138 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_125.v common 27.92 vpr 64.69 MiB 0.02 6888 -1 -1 12 0.23 -1 -1 36568 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 261 293 1 204 81 17 17 289 -1 unnamed_device 26.1 MiB 2.30 1055 12506 5150 7144 212 64.7 MiB 0.11 0.00 6.40514 -135.594 -6.40514 6.40514 1.00 0.000580417 0.000526867 0.0479008 0.0436402 40 2952 26 6.79088e+06 229024 706193. 2443.58 21.98 0.395774 0.349281 26254 175826 -1 2862 28 1548 3896 382677 135840 6.65574 6.65574 -155.293 -6.65574 0 0 926341. 3205.33 0.39 0.16 0.15 -1 -1 0.39 0.046057 0.0416096 115 166 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_126.v common 6.55 vpr 64.25 MiB 0.02 7080 -1 -1 9 0.18 -1 -1 36256 -1 -1 20 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65796 25 32 184 216 1 138 77 17 17 289 -1 unnamed_device 25.7 MiB 1.51 775 9368 2461 5476 1431 64.3 MiB 0.07 0.00 4.29134 -83.4176 -4.29134 4.29134 1.08 0.000420056 0.000382299 0.0282769 0.025764 28 2274 48 6.79088e+06 269440 531479. 1839.03 1.56 0.12405 0.108776 23950 126010 -1 1887 17 803 2086 134547 30286 4.75781 4.75781 -102.218 -4.75781 0 0 648988. 2245.63 0.29 0.06 0.12 -1 -1 0.29 0.021491 0.0192046 86 103 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_127.v common 12.02 vpr 65.28 MiB 0.02 7024 -1 -1 12 0.35 -1 -1 36240 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 302 334 1 236 87 17 17 289 -1 unnamed_device 26.5 MiB 3.06 1469 12375 3106 7573 1696 65.3 MiB 0.13 0.00 6.34142 -146.405 -6.34142 6.34142 1.11 0.000957427 0.000860379 0.0562646 0.0505874 40 3510 41 6.79088e+06 309856 706193. 2443.58 4.74 0.291565 0.258052 26254 175826 -1 3463 31 1672 4447 447127 145803 6.71732 6.71732 -167.061 -6.71732 0 0 926341. 3205.33 0.45 0.21 0.17 -1 -1 0.45 0.0612438 0.0551646 146 207 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_128.v common 11.60 vpr 65.14 MiB 0.02 7144 -1 -1 14 0.42 -1 -1 36988 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66708 31 32 295 327 1 217 85 17 17 289 -1 unnamed_device 26.3 MiB 1.58 1254 11989 3109 6424 2456 65.1 MiB 0.12 0.00 7.22905 -149.343 -7.22905 7.22905 1.09 0.000756266 0.00068726 0.0546765 0.0496846 38 3428 50 6.79088e+06 296384 678818. 2348.85 5.93 0.45338 0.404249 25966 169698 -1 2840 17 1361 4017 219577 49336 7.47965 7.47965 -167.982 -7.47965 0 0 902133. 3121.57 0.41 0.11 0.16 -1 -1 0.41 0.0438708 0.0401859 151 202 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 6.80 vpr 65.68 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 34188 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67260 32 32 438 350 1 202 101 17 17 289 -1 unnamed_device 26.9 MiB 1.69 944 12556 3244 8430 882 65.7 MiB 0.14 0.00 3.32249 -114.905 -3.32249 3.32249 1.07 0.000710376 0.00064743 0.041501 0.0378139 30 2943 36 6.87369e+06 517032 556674. 1926.21 1.60 0.14774 0.130057 25186 138497 -1 2003 20 1605 2585 128443 34218 3.6718 3.6718 -144.311 -3.6718 0 0 706193. 2443.58 0.31 0.07 0.14 -1 -1 0.31 0.0272035 0.023998 155 80 32 32 96 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 8.74 vpr 65.28 MiB 0.02 7528 -1 -1 1 0.04 -1 -1 34088 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66848 30 32 409 330 1 192 85 17 17 289 -1 unnamed_device 26.6 MiB 4.19 820 11803 2959 7058 1786 65.3 MiB 0.11 0.00 3.28949 -104.618 -3.28949 3.28949 0.99 0.000621126 0.000571098 0.0375713 0.0343854 32 2881 39 6.87369e+06 321398 586450. 2029.24 1.29 0.146806 0.13053 25474 144626 -1 2099 18 1709 2824 237521 56440 4.2133 4.2133 -142.017 -4.2133 0 0 744469. 2576.02 0.32 0.09 0.12 -1 -1 0.32 0.0289369 0.0260461 141 78 30 30 89 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 7.32 vpr 65.62 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 34164 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67196 32 32 387 309 1 191 100 17 17 289 -1 unnamed_device 27.0 MiB 2.50 1048 14716 4083 9435 1198 65.6 MiB 0.14 0.00 3.09176 -110.61 -3.09176 3.09176 1.07 0.000566362 0.000515249 0.0420017 0.0381239 28 2693 22 6.87369e+06 503058 531479. 1839.03 1.35 0.125596 0.111052 24610 126494 -1 2469 23 1644 2556 220862 49672 3.7734 3.7734 -143.739 -3.7734 0 0 648988. 2245.63 0.30 0.09 0.12 -1 -1 0.30 0.0297209 0.0260773 145 50 54 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 7.97 vpr 65.37 MiB 0.03 7420 -1 -1 1 0.04 -1 -1 34064 -1 -1 23 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66940 29 32 343 267 1 184 84 17 17 289 -1 unnamed_device 26.5 MiB 1.79 811 14724 5436 6558 2730 65.4 MiB 0.13 0.00 3.28949 -101.39 -3.28949 3.28949 1.06 0.000528311 0.000481124 0.0463778 0.0422987 36 2239 33 6.87369e+06 321398 648988. 2245.63 2.76 0.187689 0.164774 26050 158493 -1 1808 19 1591 2673 181877 44404 3.8484 3.8484 -134.443 -3.8484 0 0 828058. 2865.25 0.38 0.07 0.16 -1 -1 0.38 0.0244636 0.0216574 136 25 87 29 29 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 8.66 vpr 65.55 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 33868 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67120 32 32 376 288 1 202 85 17 17 289 -1 unnamed_device 26.9 MiB 2.10 988 14779 5494 6432 2853 65.5 MiB 0.14 0.00 3.44779 -122.107 -3.44779 3.44779 1.03 0.00060487 0.000554371 0.0478805 0.043857 34 3681 32 6.87369e+06 293451 618332. 2139.56 3.14 0.211008 0.187046 25762 151098 -1 2611 22 2288 4162 339766 77152 4.121 4.121 -157.425 -4.121 0 0 787024. 2723.27 0.33 0.12 0.13 -1 -1 0.33 0.0329488 0.0295071 147 31 96 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 6.38 vpr 65.74 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34124 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67316 32 32 402 316 1 200 103 17 17 289 -1 unnamed_device 27.0 MiB 1.57 1085 13840 4071 8630 1139 65.7 MiB 0.13 0.00 2.83325 -102.585 -2.83325 2.83325 1.07 0.000594754 0.000539452 0.0382735 0.0347025 28 2449 23 6.87369e+06 544980 531479. 1839.03 1.31 0.124634 0.109737 24610 126494 -1 2238 20 1642 2549 186181 43390 2.88996 2.88996 -121.499 -2.88996 0 0 648988. 2245.63 0.29 0.08 0.12 -1 -1 0.29 0.0279734 0.0247365 154 61 63 32 63 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 8.15 vpr 64.46 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 34408 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66004 27 32 269 226 1 146 79 17 17 289 -1 unnamed_device 26.1 MiB 2.94 819 13261 4441 7277 1543 64.5 MiB 0.11 0.00 2.9476 -92.9982 -2.9476 2.9476 1.09 0.000429719 0.000392934 0.0361304 0.0328172 34 1909 37 6.87369e+06 279477 618332. 2139.56 1.73 0.147183 0.128142 25762 151098 -1 1621 21 1144 1877 131979 30947 2.88796 2.88796 -107.356 -2.88796 0 0 787024. 2723.27 0.35 0.06 0.15 -1 -1 0.35 0.0213352 0.0188043 102 26 54 27 27 27 +fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 5.33 vpr 65.25 MiB 0.02 7340 -1 -1 1 0.03 -1 -1 33856 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 26.4 MiB 1.07 1029 12023 3097 7616 1310 65.2 MiB 0.10 0.00 2.67795 -92.7667 -2.67795 2.67795 0.99 0.000459045 0.00041899 0.0296243 0.0269476 28 2576 21 6.87369e+06 489084 531479. 1839.03 1.14 0.10977 0.0975373 24610 126494 -1 2274 23 1402 2204 170544 39683 2.97426 2.97426 -117.37 -2.97426 0 0 648988. 2245.63 0.28 0.08 0.11 -1 -1 0.28 0.0283975 0.025094 141 -1 115 31 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 8.26 vpr 65.12 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 33680 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 31 32 338 292 1 153 79 17 17 289 -1 unnamed_device 26.5 MiB 3.63 728 10219 2495 6935 789 65.1 MiB 0.09 0.00 2.60257 -87.8304 -2.60257 2.60257 1.13 0.000500788 0.000451302 0.0329911 0.029948 30 1803 21 6.87369e+06 223581 556674. 1926.21 1.04 0.105825 0.0933479 25186 138497 -1 1552 21 875 1413 89257 22726 2.90831 2.90831 -107.88 -2.90831 0 0 706193. 2443.58 0.33 0.06 0.14 -1 -1 0.33 0.0260101 0.0230565 103 81 0 0 84 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 10.18 vpr 64.80 MiB 0.02 7008 -1 -1 1 0.03 -1 -1 33732 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66356 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 26.4 MiB 4.80 754 14528 4419 8161 1948 64.8 MiB 0.12 0.00 3.0558 -108.546 -3.0558 3.0558 1.11 0.000466995 0.000424656 0.0439318 0.0400627 34 2333 21 6.87369e+06 223581 618332. 2139.56 1.82 0.178734 0.158747 25762 151098 -1 1800 20 1482 2301 164555 38978 3.15451 3.15451 -129.443 -3.15451 0 0 787024. 2723.27 0.36 0.08 0.14 -1 -1 0.36 0.0273818 0.0243765 114 31 64 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 8.69 vpr 64.94 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 33836 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 30 32 325 273 1 160 80 17 17 289 -1 unnamed_device 26.4 MiB 4.19 858 14528 4543 7906 2079 64.9 MiB 0.12 0.00 2.9678 -101.964 -2.9678 2.9678 1.11 0.000496323 0.000453659 0.0447694 0.0408097 30 1955 49 6.87369e+06 251529 556674. 1926.21 1.13 0.136771 0.120219 25186 138497 -1 1569 23 1135 1750 103964 24549 2.81591 2.81591 -116.213 -2.81591 0 0 706193. 2443.58 0.30 0.06 0.12 -1 -1 0.30 0.024695 0.0218322 109 58 30 30 60 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 6.94 vpr 65.32 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 34108 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66892 32 32 331 280 1 161 96 17 17 289 -1 unnamed_device 26.6 MiB 1.84 954 15426 4475 9001 1950 65.3 MiB 0.12 0.00 2.77825 -99.3641 -2.77825 2.77825 1.05 0.000489862 0.000444006 0.037338 0.0338652 34 2244 29 6.87369e+06 447163 618332. 2139.56 1.72 0.159334 0.139102 25762 151098 -1 1920 20 1141 1902 135812 31458 2.73166 2.73166 -114.811 -2.73166 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0232954 0.0204746 116 57 25 25 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 10.62 vpr 65.54 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 33588 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67108 32 32 386 305 1 195 99 17 17 289 -1 unnamed_device 26.8 MiB 5.98 857 14691 3897 9448 1346 65.5 MiB 0.14 0.00 2.84425 -98.8761 -2.84425 2.84425 1.03 0.000601385 0.000548199 0.0397153 0.0359295 30 2530 24 6.87369e+06 489084 556674. 1926.21 1.17 0.123914 0.108961 25186 138497 -1 1865 17 1330 2347 115375 30435 2.96496 2.96496 -121.831 -2.96496 0 0 706193. 2443.58 0.35 0.07 0.14 -1 -1 0.35 0.0272879 0.0244121 147 55 64 32 57 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 8.24 vpr 65.75 MiB 0.02 7396 -1 -1 1 0.04 -1 -1 33948 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67324 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 27.0 MiB 2.66 954 21016 6899 11253 2864 65.7 MiB 0.18 0.00 3.42579 -119.662 -3.42579 3.42579 1.02 0.000529691 0.000482765 0.0557751 0.0508058 34 2813 27 6.87369e+06 517032 618332. 2139.56 2.20 0.21206 0.187824 25762 151098 -1 2255 24 2278 3438 257164 61115 4.0193 4.0193 -147.746 -4.0193 0 0 787024. 2723.27 0.33 0.10 0.13 -1 -1 0.33 0.0332399 0.0295451 155 60 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 6.99 vpr 64.72 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 33788 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 29 32 272 228 1 148 80 17 17 289 -1 unnamed_device 26.4 MiB 2.47 728 7992 2202 5388 402 64.7 MiB 0.07 0.00 3.0099 -92.6559 -3.0099 3.0099 1.08 0.000413421 0.000375582 0.0217783 0.0197838 30 2050 37 6.87369e+06 265503 556674. 1926.21 1.17 0.0954904 0.0831723 25186 138497 -1 1674 20 1011 1768 123818 28351 2.85801 2.85801 -105.893 -2.85801 0 0 706193. 2443.58 0.32 0.06 0.13 -1 -1 0.32 0.0205301 0.0181821 102 21 58 29 24 24 +fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 8.55 vpr 65.48 MiB 0.02 7236 -1 -1 1 0.03 -1 -1 33992 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 401 315 1 200 85 17 17 289 -1 unnamed_device 26.8 MiB 3.00 1087 16639 6456 7976 2207 65.5 MiB 0.15 0.00 2.77395 -102.972 -2.77395 2.77395 0.98 0.000591275 0.000489552 0.0532644 0.0486179 34 3105 29 6.87369e+06 293451 618332. 2139.56 2.29 0.206977 0.18336 25762 151098 -1 2452 22 2114 3615 272837 63173 3.53646 3.53646 -136.299 -3.53646 0 0 787024. 2723.27 0.33 0.10 0.13 -1 -1 0.33 0.0308925 0.0275808 145 60 64 32 62 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 10.42 vpr 65.54 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 33948 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67116 32 32 383 303 1 193 102 17 17 289 -1 unnamed_device 26.9 MiB 5.79 1059 15096 3849 9673 1574 65.5 MiB 0.13 0.00 2.91945 -107.862 -2.91945 2.91945 1.08 0.000596045 0.00054552 0.0441782 0.0402096 28 2522 22 6.87369e+06 531006 531479. 1839.03 1.18 0.132204 0.117073 24610 126494 -1 2232 20 1603 2351 167132 38343 3.10426 3.10426 -130.094 -3.10426 0 0 648988. 2245.63 0.31 0.08 0.11 -1 -1 0.31 0.0284375 0.0252814 148 54 64 32 56 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 8.32 vpr 65.25 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 33936 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 339 284 1 165 93 17 17 289 -1 unnamed_device 26.5 MiB 3.20 877 16893 4766 9816 2311 65.2 MiB 0.14 0.00 2.46506 -92.5133 -2.46506 2.46506 1.05 0.000479286 0.00043295 0.042051 0.0381921 34 2148 23 6.87369e+06 405241 618332. 2139.56 1.65 0.158633 0.138376 25762 151098 -1 1760 21 1222 1737 127970 30763 2.31317 2.31317 -105.704 -2.31317 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0235495 0.02065 117 62 29 29 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 5.00 vpr 64.46 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 33820 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66012 30 32 226 208 1 119 76 17 17 289 -1 unnamed_device 26.0 MiB 0.73 712 11276 3881 6002 1393 64.5 MiB 0.08 0.00 2.31406 -79.4466 -2.31406 2.31406 1.04 0.000532839 0.000476046 0.0279173 0.0253756 32 1609 17 6.87369e+06 195634 586450. 2029.24 0.97 0.0734471 0.0643931 25474 144626 -1 1384 22 696 1012 91647 19365 2.03287 2.03287 -88.5401 -2.03287 0 0 744469. 2576.02 0.32 0.05 0.14 -1 -1 0.32 0.0173832 0.0152733 73 29 24 24 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 6.01 vpr 64.94 MiB 0.02 7196 -1 -1 1 0.04 -1 -1 34140 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 31 32 335 280 1 165 80 17 17 289 -1 unnamed_device 26.5 MiB 1.53 903 11260 2984 6988 1288 64.9 MiB 0.10 0.00 3.53045 -113.667 -3.53045 3.53045 1.04 0.000476347 0.000435434 0.0358239 0.0326851 32 2318 25 6.87369e+06 237555 586450. 2029.24 1.08 0.110278 0.0974792 25474 144626 -1 1912 19 1072 1615 130798 30116 3.4005 3.4005 -129.403 -3.4005 0 0 744469. 2576.02 0.31 0.06 0.14 -1 -1 0.31 0.0220781 0.0194514 113 55 31 31 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 5.90 vpr 65.50 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 33828 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67072 32 32 366 283 1 197 100 17 17 289 -1 unnamed_device 26.8 MiB 1.24 1128 19124 5915 10897 2312 65.5 MiB 0.15 0.00 3.42399 -119.998 -3.42399 3.42399 1.10 0.000505482 0.000453704 0.0469601 0.0425472 30 2404 30 6.87369e+06 503058 556674. 1926.21 1.17 0.139584 0.123597 25186 138497 -1 2005 20 1477 2118 116901 28917 3.5027 3.5027 -136.5 -3.5027 0 0 706193. 2443.58 0.32 0.07 0.14 -1 -1 0.32 0.0257276 0.022825 150 31 91 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 11.12 vpr 65.86 MiB 0.02 7424 -1 -1 1 0.04 -1 -1 34120 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67444 32 32 460 375 1 199 104 17 17 289 -1 unnamed_device 27.0 MiB 3.81 939 19380 5611 10123 3646 65.9 MiB 0.17 0.00 3.04776 -103.548 -3.04776 3.04776 1.08 0.00057946 0.000526442 0.0577671 0.0527041 36 2664 27 6.87369e+06 558954 648988. 2245.63 3.76 0.244439 0.216712 26050 158493 -1 1947 21 1516 2294 156510 37560 3.523 3.523 -126.016 -3.523 0 0 828058. 2865.25 0.38 0.09 0.14 -1 -1 0.38 0.035751 0.0318824 154 108 0 0 125 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 6.84 vpr 64.22 MiB 0.02 7116 -1 -1 1 0.03 -1 -1 34224 -1 -1 16 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65760 26 32 198 186 1 109 74 17 17 289 -1 unnamed_device 25.7 MiB 2.30 459 11544 5029 5637 878 64.2 MiB 0.06 0.00 2.29206 -62.1231 -2.29206 2.29206 1.01 0.000290736 0.000264043 0.0237998 0.0215483 32 1373 47 6.87369e+06 223581 586450. 2029.24 1.45 0.0985552 0.0861165 25474 144626 -1 994 14 587 904 63433 16866 2.27347 2.27347 -74.2691 -2.27347 0 0 744469. 2576.02 0.32 0.03 0.13 -1 -1 0.32 0.0122228 0.0108963 69 21 26 26 22 22 +fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 6.27 vpr 65.30 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 33832 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 333 251 1 196 85 17 17 289 -1 unnamed_device 26.5 MiB 1.57 1069 15895 5601 7736 2558 65.3 MiB 0.14 0.00 3.28949 -115.813 -3.28949 3.28949 0.98 0.000464726 0.000425076 0.0444294 0.0405513 28 3078 26 6.87369e+06 293451 531479. 1839.03 1.54 0.129899 0.115595 24610 126494 -1 2561 20 1983 3225 257467 59868 4.1383 4.1383 -157.609 -4.1383 0 0 648988. 2245.63 0.27 0.09 0.11 -1 -1 0.27 0.0264371 0.0236535 141 -1 122 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 5.36 vpr 64.39 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 33716 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65932 32 32 199 182 1 122 76 17 17 289 -1 unnamed_device 25.7 MiB 0.54 773 12076 4224 6363 1489 64.4 MiB 0.07 0.00 2.05403 -78.601 -2.05403 2.05403 1.07 0.000285959 0.000259325 0.0272458 0.024736 34 1556 17 6.87369e+06 167686 618332. 2139.56 1.49 0.101824 0.0886852 25762 151098 -1 1375 20 624 860 64891 15350 2.06102 2.06102 -90.6169 -2.06102 0 0 787024. 2723.27 0.35 0.04 0.14 -1 -1 0.35 0.0159981 0.0141446 71 -1 53 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 5.68 vpr 65.65 MiB 0.02 7316 -1 -1 1 0.04 -1 -1 33960 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67224 32 32 376 288 1 202 100 17 17 289 -1 unnamed_device 27.0 MiB 1.05 1107 18428 5086 11458 1884 65.6 MiB 0.16 0.00 3.42579 -123.797 -3.42579 3.42579 1.05 0.000564464 0.000514736 0.0479333 0.0435103 30 2779 26 6.87369e+06 503058 556674. 1926.21 1.16 0.130081 0.114832 25186 138497 -1 2215 22 1691 2556 152573 36676 3.7751 3.7751 -148.694 -3.7751 0 0 706193. 2443.58 0.32 0.08 0.13 -1 -1 0.32 0.0290369 0.0256134 155 21 96 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 6.15 vpr 65.12 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 33980 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66688 32 32 337 253 1 198 100 17 17 289 -1 unnamed_device 26.7 MiB 1.17 1070 14716 3923 9340 1453 65.1 MiB 0.13 0.00 2.87545 -103.34 -2.87545 2.87545 1.03 0.000513268 0.000469377 0.0355536 0.0323477 26 2877 42 6.87369e+06 503058 503264. 1741.40 1.61 0.130975 0.11525 24322 120374 -1 2464 25 1876 2979 260245 63575 3.45246 3.45246 -135.688 -3.45246 0 0 618332. 2139.56 0.27 0.10 0.12 -1 -1 0.27 0.028867 0.0253394 151 -1 124 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 6.20 vpr 65.32 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34200 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66888 32 32 407 319 1 202 103 17 17 289 -1 unnamed_device 26.6 MiB 1.28 1138 17455 4899 10679 1877 65.3 MiB 0.16 0.00 3.42579 -122.881 -3.42579 3.42579 1.05 0.000644338 0.000589916 0.0477949 0.0435864 28 3088 27 6.87369e+06 544980 531479. 1839.03 1.42 0.141155 0.125193 24610 126494 -1 2682 24 2087 3605 309064 69660 4.2603 4.2603 -158.268 -4.2603 0 0 648988. 2245.63 0.28 0.11 0.12 -1 -1 0.28 0.0316546 0.0278473 156 54 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 6.32 vpr 64.90 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 33784 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66456 32 32 294 246 1 157 79 17 17 289 -1 unnamed_device 26.5 MiB 1.25 804 12585 4341 6355 1889 64.9 MiB 0.09 0.00 2.42892 -87.9121 -2.42892 2.42892 1.11 0.000444869 0.000405878 0.0348163 0.0316976 34 2092 22 6.87369e+06 209608 618332. 2139.56 1.65 0.140982 0.12334 25762 151098 -1 1807 24 1279 2137 156356 36625 2.85696 2.85696 -113.166 -2.85696 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.0251858 0.0222557 104 31 54 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 5.52 vpr 64.76 MiB 0.02 7028 -1 -1 1 0.03 -1 -1 33844 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 30 32 296 244 1 160 80 17 17 289 -1 unnamed_device 26.3 MiB 1.25 712 9368 2717 5200 1451 64.8 MiB 0.07 0.00 3.0418 -101.17 -3.0418 3.0418 1.01 0.00041677 0.000381342 0.0256231 0.0234955 32 2132 34 6.87369e+06 251529 586450. 2029.24 1.09 0.103492 0.0915983 25474 144626 -1 1578 21 1328 1942 152701 36993 3.04926 3.04926 -118.575 -3.04926 0 0 744469. 2576.02 0.32 0.07 0.12 -1 -1 0.32 0.0237772 0.0211244 109 29 60 30 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 5.92 vpr 64.43 MiB 0.02 7252 -1 -1 1 0.03 -1 -1 33852 -1 -1 19 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 28 32 278 232 1 150 79 17 17 289 -1 unnamed_device 26.1 MiB 1.23 828 13430 4279 7534 1617 64.4 MiB 0.10 0.00 2.72995 -88.9979 -2.72995 2.72995 0.97 0.000382601 0.000348576 0.0345234 0.0315338 34 2011 23 6.87369e+06 265503 618332. 2139.56 1.58 0.142194 0.125392 25762 151098 -1 1777 23 1337 2259 176340 41257 3.07126 3.07126 -113.931 -3.07126 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0222292 0.0197159 104 27 56 28 28 28 +fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 6.90 vpr 64.61 MiB 0.02 7164 -1 -1 1 0.03 -1 -1 33900 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.1 MiB 1.56 885 15216 6085 7141 1990 64.6 MiB 0.12 0.00 2.77395 -103.31 -2.77395 2.77395 1.07 0.000428276 0.00038836 0.0424103 0.0385743 34 2243 21 6.87369e+06 223581 618332. 2139.56 1.92 0.156924 0.137354 25762 151098 -1 1944 21 1553 2545 195266 45012 3.22956 3.22956 -131.785 -3.22956 0 0 787024. 2723.27 0.36 0.08 0.14 -1 -1 0.36 0.0242259 0.0216303 114 -1 96 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 5.43 vpr 64.95 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 33868 -1 -1 32 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 31 32 303 249 1 163 95 17 17 289 -1 unnamed_device 26.4 MiB 1.05 869 16295 4659 9171 2465 65.0 MiB 0.13 0.00 2.81125 -98.524 -2.81125 2.81125 1.05 0.000471334 0.000427898 0.0387796 0.0351916 30 2036 23 6.87369e+06 447163 556674. 1926.21 1.05 0.102815 0.0905714 25186 138497 -1 1700 17 964 1677 90551 22799 2.96796 2.96796 -116.789 -2.96796 0 0 706193. 2443.58 0.31 0.05 0.13 -1 -1 0.31 0.019091 0.0168899 119 26 61 31 31 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 8.02 vpr 64.80 MiB 0.02 7188 -1 -1 1 0.03 -1 -1 33780 -1 -1 32 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66360 29 32 312 264 1 155 93 17 17 289 -1 unnamed_device 26.3 MiB 3.68 809 13743 3545 7817 2381 64.8 MiB 0.11 0.00 2.30671 -78.6912 -2.30671 2.30671 1.05 0.000467359 0.000424121 0.0331928 0.0299774 28 1855 18 6.87369e+06 447163 531479. 1839.03 1.03 0.0959928 0.0842108 24610 126494 -1 1611 22 1243 2158 145956 34909 2.12182 2.12182 -90.2292 -2.12182 0 0 648988. 2245.63 0.29 0.07 0.12 -1 -1 0.29 0.0232751 0.0204456 113 55 29 29 57 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 13.58 vpr 65.84 MiB 0.03 7476 -1 -1 1 0.04 -1 -1 33984 -1 -1 44 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67416 32 32 423 310 1 231 108 17 17 289 -1 unnamed_device 27.2 MiB 4.91 1315 21696 6540 12127 3029 65.8 MiB 0.22 0.00 3.55109 -125.266 -3.55109 3.55109 1.06 0.000867883 0.000800851 0.0649243 0.0592826 34 3529 27 6.87369e+06 614849 618332. 2139.56 5.08 0.33213 0.2942 25762 151098 -1 2721 23 2389 4111 304769 71144 3.9097 3.9097 -149.014 -3.9097 0 0 787024. 2723.27 0.35 0.12 0.14 -1 -1 0.35 0.0366633 0.0327315 184 26 128 32 27 27 +fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 8.26 vpr 65.79 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 34184 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67364 32 32 403 317 1 200 103 17 17 289 -1 unnamed_device 27.0 MiB 3.46 918 18901 5881 10046 2974 65.8 MiB 0.15 0.00 2.91945 -102.635 -2.91945 2.91945 0.96 0.000551065 0.000503667 0.0485596 0.0443787 28 2836 33 6.87369e+06 544980 531479. 1839.03 1.66 0.150508 0.133778 24610 126494 -1 2164 24 2014 3075 246402 56498 3.15156 3.15156 -128.277 -3.15156 0 0 648988. 2245.63 0.27 0.09 0.10 -1 -1 0.27 0.0310254 0.0275758 154 62 62 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 11.27 vpr 65.24 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 34228 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 31 32 353 302 1 160 94 17 17 289 -1 unnamed_device 26.5 MiB 4.54 677 13939 4058 6341 3540 65.2 MiB 0.10 0.00 2.71895 -90.254 -2.71895 2.71895 1.07 0.000520263 0.000471164 0.0355174 0.0322588 36 2015 29 6.87369e+06 433189 648988. 2245.63 3.26 0.168619 0.147923 26050 158493 -1 1549 35 1572 2532 176772 44017 2.91296 2.91296 -110.326 -2.91296 0 0 828058. 2865.25 0.35 0.09 0.15 -1 -1 0.35 0.0360721 0.0312503 116 77 0 0 89 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 7.91 vpr 65.36 MiB 0.02 7348 -1 -1 1 0.03 -1 -1 34112 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66928 31 32 391 309 1 195 85 17 17 289 -1 unnamed_device 26.7 MiB 2.56 1087 14035 4790 7047 2198 65.4 MiB 0.13 0.00 2.78315 -101.221 -2.78315 2.78315 1.05 0.00059705 0.000544021 0.0459517 0.0417637 34 2665 20 6.87369e+06 307425 618332. 2139.56 1.88 0.192272 0.169444 25762 151098 -1 2210 20 1849 2995 212584 49219 3.23086 3.23086 -123.935 -3.23086 0 0 787024. 2723.27 0.36 0.08 0.14 -1 -1 0.36 0.0280023 0.0248993 141 59 60 30 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 12.33 vpr 65.60 MiB 0.03 7516 -1 -1 1 0.04 -1 -1 34320 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67172 31 32 455 371 1 198 85 17 17 289 -1 unnamed_device 26.8 MiB 6.54 1028 16081 5039 8732 2310 65.6 MiB 0.15 0.00 3.93354 -120.546 -3.93354 3.93354 1.07 0.00059623 0.000542951 0.0569337 0.0517012 34 2740 21 6.87369e+06 307425 618332. 2139.56 2.26 0.202322 0.17809 25762 151098 -1 2163 20 1692 2879 217887 50565 3.97435 3.97435 -143.798 -3.97435 0 0 787024. 2723.27 0.34 0.09 0.15 -1 -1 0.34 0.0298266 0.0264883 145 111 0 0 124 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 7.94 vpr 65.58 MiB 0.03 7552 -1 -1 1 0.04 -1 -1 33888 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67152 31 32 413 333 1 195 85 17 17 289 -1 unnamed_device 26.9 MiB 2.48 924 16639 6047 8343 2249 65.6 MiB 0.15 0.00 3.73124 -110.708 -3.73124 3.73124 1.06 0.000559864 0.000507298 0.0553507 0.0502061 34 2780 23 6.87369e+06 307425 618332. 2139.56 1.94 0.191499 0.168034 25762 151098 -1 2147 23 1785 2863 230314 54518 4.13536 4.13536 -140.771 -4.13536 0 0 787024. 2723.27 0.34 0.09 0.15 -1 -1 0.34 0.0304595 0.0269009 141 86 31 31 89 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 8.52 vpr 65.46 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 33764 -1 -1 36 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67028 31 32 391 309 1 195 99 17 17 289 -1 unnamed_device 26.8 MiB 3.15 1072 16971 5085 10146 1740 65.5 MiB 0.15 0.00 2.86625 -102.62 -2.86625 2.86625 1.05 0.000719819 0.000647874 0.0490789 0.0443491 34 2590 25 6.87369e+06 503058 618332. 2139.56 1.89 0.207813 0.18368 25762 151098 -1 2192 25 1999 3444 231874 55867 2.89296 2.89296 -118.7 -2.89296 0 0 787024. 2723.27 0.35 0.10 0.14 -1 -1 0.35 0.036664 0.0324321 148 58 60 31 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 6.44 vpr 65.68 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34512 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67256 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 27.0 MiB 2.10 1119 16286 4870 10211 1205 65.7 MiB 0.14 0.00 3.43679 -123.815 -3.43679 3.43679 0.95 0.000637247 0.000583948 0.044434 0.0404875 28 2869 21 6.87369e+06 531006 531479. 1839.03 1.22 0.130673 0.116594 24610 126494 -1 2650 21 2041 3414 305093 67719 4.1683 4.1683 -160.236 -4.1683 0 0 648988. 2245.63 0.27 0.10 0.10 -1 -1 0.27 0.0297419 0.0265165 156 42 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 12.70 vpr 65.53 MiB 0.03 7632 -1 -1 1 0.04 -1 -1 33996 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67104 32 32 496 380 1 234 106 17 17 289 -1 unnamed_device 27.1 MiB 4.47 1059 16106 4216 8768 3122 65.5 MiB 0.16 0.00 3.42399 -117.379 -3.42399 3.42399 1.04 0.000695462 0.000629099 0.0489989 0.0444332 34 3381 43 6.87369e+06 586901 618332. 2139.56 4.68 0.284082 0.247463 25762 151098 -1 2325 21 2140 3576 230251 56910 3.9847 3.9847 -150.371 -3.9847 0 0 787024. 2723.27 0.33 0.10 0.15 -1 -1 0.33 0.034439 0.0305463 186 91 62 32 96 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 7.13 vpr 64.81 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 33720 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66368 31 32 305 250 1 164 80 17 17 289 -1 unnamed_device 26.4 MiB 2.60 821 12292 4621 5787 1884 64.8 MiB 0.10 0.00 3.0136 -106.719 -3.0136 3.0136 1.08 0.000468816 0.000426027 0.0353882 0.0321955 32 2394 27 6.87369e+06 237555 586450. 2029.24 1.10 0.108915 0.0962153 25474 144626 -1 1813 22 1487 2330 171639 39884 3.11231 3.11231 -126.53 -3.11231 0 0 744469. 2576.02 0.33 0.08 0.14 -1 -1 0.33 0.0260127 0.0230953 112 24 62 31 31 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 8.75 vpr 65.64 MiB 0.03 7280 -1 -1 1 0.04 -1 -1 33768 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 31 32 395 311 1 198 100 17 17 289 -1 unnamed_device 26.9 MiB 3.53 1111 16804 4758 10546 1500 65.6 MiB 0.16 0.00 3.52909 -121.169 -3.52909 3.52909 1.04 0.000668625 0.000617873 0.0457568 0.0413739 28 2952 38 6.87369e+06 517032 531479. 1839.03 1.77 0.14583 0.128343 24610 126494 -1 2559 24 2143 3464 294626 67085 3.991 3.991 -149.361 -3.991 0 0 648988. 2245.63 0.27 0.11 0.12 -1 -1 0.27 0.0306632 0.0269433 152 59 62 31 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 7.72 vpr 65.43 MiB 0.03 7452 -1 -1 1 0.04 -1 -1 34092 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66996 32 32 397 313 1 198 99 17 17 289 -1 unnamed_device 26.7 MiB 2.56 1115 14007 3900 9140 967 65.4 MiB 0.14 0.00 2.75195 -102.728 -2.75195 2.75195 1.10 0.000760882 0.000697678 0.0428157 0.0388817 28 2999 29 6.87369e+06 489084 531479. 1839.03 1.56 0.143172 0.127194 24610 126494 -1 2692 21 1816 3233 274101 61259 3.33286 3.33286 -133.608 -3.33286 0 0 648988. 2245.63 0.29 0.09 0.12 -1 -1 0.29 0.0280665 0.0247783 150 54 62 32 62 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 7.96 vpr 65.52 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33440 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67092 32 32 345 257 1 202 85 17 17 289 -1 unnamed_device 26.9 MiB 2.07 1010 12175 3600 7903 672 65.5 MiB 0.13 0.00 3.32249 -118.267 -3.32249 3.32249 1.09 0.000523779 0.000474325 0.0391688 0.0357003 34 2979 24 6.87369e+06 293451 618332. 2139.56 2.35 0.169991 0.149198 25762 151098 -1 2516 21 2125 3708 276128 67013 4.057 4.057 -156.106 -4.057 0 0 787024. 2723.27 0.34 0.09 0.15 -1 -1 0.34 0.026064 0.0230464 147 -1 128 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 9.75 vpr 65.57 MiB 0.02 7476 -1 -1 1 0.04 -1 -1 34140 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 32 32 424 343 1 195 100 17 17 289 -1 unnamed_device 26.9 MiB 4.59 986 18892 5672 10706 2514 65.6 MiB 0.16 0.00 2.84425 -101.991 -2.84425 2.84425 1.00 0.000617131 0.000566588 0.0536377 0.0488169 34 2645 22 6.87369e+06 503058 618332. 2139.56 1.80 0.203588 0.180216 25762 151098 -1 2233 20 1733 2778 207770 49313 3.13586 3.13586 -125.794 -3.13586 0 0 787024. 2723.27 0.33 0.08 0.13 -1 -1 0.33 0.0295158 0.0262378 148 81 25 25 96 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 10.87 vpr 65.50 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33764 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67068 32 32 395 311 1 198 103 17 17 289 -1 unnamed_device 26.9 MiB 4.80 959 9984 2079 6911 994 65.5 MiB 0.11 0.00 2.85525 -99.3334 -2.85525 2.85525 1.10 0.000776356 0.000710538 0.0328714 0.0300324 26 3128 41 6.87369e+06 544980 503264. 1741.40 2.53 0.159953 0.142168 24322 120374 -1 2580 26 1841 3329 395591 111362 3.31886 3.31886 -133.756 -3.31886 0 0 618332. 2139.56 0.29 0.16 0.11 -1 -1 0.29 0.0428766 0.0382251 152 58 64 32 60 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 8.94 vpr 65.60 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33748 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67176 32 32 405 318 1 201 104 17 17 289 -1 unnamed_device 26.9 MiB 4.03 1140 20112 6106 11491 2515 65.6 MiB 0.19 0.00 2.83325 -103.321 -2.83325 2.83325 1.12 0.000557256 0.000505888 0.0563857 0.0510087 32 3028 25 6.87369e+06 558954 586450. 2029.24 1.22 0.143976 0.126564 25474 144626 -1 2506 22 1967 3327 299573 65139 2.95396 2.95396 -125.237 -2.95396 0 0 744469. 2576.02 0.34 0.11 0.14 -1 -1 0.34 0.0310341 0.027267 156 61 63 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 7.11 vpr 65.66 MiB 0.02 7228 -1 -1 1 0.04 -1 -1 34064 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67232 32 32 376 288 1 202 103 17 17 289 -1 unnamed_device 26.9 MiB 1.15 1142 20588 6044 12140 2404 65.7 MiB 0.19 0.00 3.32249 -120.378 -3.32249 3.32249 1.09 0.000586606 0.000534483 0.0565255 0.0514133 34 2675 23 6.87369e+06 544980 618332. 2139.56 2.29 0.195706 0.172134 25762 151098 -1 2323 24 2220 3501 245698 58765 4.0287 4.0287 -154.958 -4.0287 0 0 787024. 2723.27 0.36 0.10 0.15 -1 -1 0.36 0.0315478 0.0276523 156 21 96 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 9.05 vpr 65.52 MiB 0.02 7192 -1 -1 1 0.04 -1 -1 34292 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67092 32 32 407 319 1 202 105 17 17 289 -1 unnamed_device 26.8 MiB 3.83 1053 19124 5219 11243 2662 65.5 MiB 0.18 0.00 3.41479 -122.555 -3.41479 3.41479 1.11 0.00063773 0.000584313 0.0577483 0.0524243 28 2801 27 6.87369e+06 572927 531479. 1839.03 1.62 0.153197 0.135777 24610 126494 -1 2500 23 2210 3618 291994 65670 4.0317 4.0317 -157.783 -4.0317 0 0 648988. 2245.63 0.30 0.11 0.12 -1 -1 0.30 0.0322615 0.0284926 157 50 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 11.18 vpr 65.62 MiB 0.03 7480 -1 -1 1 0.04 -1 -1 34208 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67200 31 32 449 367 1 195 100 17 17 289 -1 unnamed_device 26.8 MiB 5.43 1020 19124 5956 9970 3198 65.6 MiB 0.19 0.00 3.32679 -109.604 -3.32679 3.32679 1.11 0.000694677 0.000624756 0.0600476 0.0541833 28 3090 29 6.87369e+06 517032 531479. 1839.03 2.16 0.161594 0.142512 24610 126494 -1 2514 22 1882 3323 292840 70820 4.049 4.049 -144.786 -4.049 0 0 648988. 2245.63 0.28 0.11 0.12 -1 -1 0.28 0.0310346 0.0272012 150 110 0 0 122 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 12.77 vpr 65.72 MiB 0.02 7480 -1 -1 1 0.04 -1 -1 34136 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67300 32 32 432 346 1 200 85 17 17 289 -1 unnamed_device 26.9 MiB 3.75 1062 15523 6293 6771 2459 65.7 MiB 0.17 0.00 3.38179 -118.928 -3.38179 3.38179 1.11 0.000658664 0.000593701 0.0603979 0.0548442 38 2652 21 6.87369e+06 293451 678818. 2348.85 5.27 0.340505 0.298724 26626 170182 -1 2131 22 1638 2962 196374 44061 3.5821 3.5821 -138.838 -3.5821 0 0 902133. 3121.57 0.41 0.10 0.16 -1 -1 0.41 0.0339006 0.0300543 145 86 32 32 94 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 5.91 vpr 65.25 MiB 0.02 7076 -1 -1 1 0.03 -1 -1 34124 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 312 255 1 167 96 17 17 289 -1 unnamed_device 26.5 MiB 1.24 910 15207 4131 9370 1706 65.3 MiB 0.12 0.00 2.82225 -103.613 -2.82225 2.82225 1.07 0.000452099 0.000412322 0.0372266 0.0339483 32 2470 24 6.87369e+06 447163 586450. 2029.24 1.18 0.107536 0.0949512 25474 144626 -1 2058 22 1544 2451 213954 48511 2.95396 2.95396 -124.273 -2.95396 0 0 744469. 2576.02 0.33 0.08 0.14 -1 -1 0.33 0.0246203 0.021793 121 20 63 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 8.89 vpr 65.34 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 33732 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 32 32 370 314 1 166 80 17 17 289 -1 unnamed_device 26.6 MiB 4.30 913 14700 5558 7061 2081 65.3 MiB 0.13 0.00 2.9366 -106.699 -2.9366 2.9366 1.07 0.000507185 0.000461504 0.0487568 0.0442692 30 2402 23 6.87369e+06 223581 556674. 1926.21 1.12 0.125624 0.110963 25186 138497 -1 1873 20 1213 1900 126519 28825 2.82396 2.82396 -121.994 -2.82396 0 0 706193. 2443.58 0.32 0.06 0.13 -1 -1 0.32 0.0257541 0.0228443 112 91 0 0 94 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 10.11 vpr 65.77 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 34176 -1 -1 44 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67348 32 32 469 351 1 236 108 17 17 289 -1 unnamed_device 27.4 MiB 2.67 1287 19383 5417 10764 3202 65.8 MiB 0.19 0.00 3.99454 -135.36 -3.99454 3.99454 1.07 0.000637123 0.000576912 0.0567083 0.0511123 34 3892 25 6.87369e+06 614849 618332. 2139.56 3.76 0.244585 0.216463 25762 151098 -1 2842 24 2539 4430 391447 85714 4.79785 4.79785 -170.04 -4.79785 0 0 787024. 2723.27 0.35 0.14 0.14 -1 -1 0.35 0.0428431 0.0383542 189 53 96 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 9.17 vpr 65.44 MiB 0.03 7064 -1 -1 1 0.04 -1 -1 34088 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67012 32 32 368 284 1 198 99 17 17 289 -1 unnamed_device 26.8 MiB 3.77 988 18795 5684 10177 2934 65.4 MiB 0.17 0.00 2.87545 -103.568 -2.87545 2.87545 1.12 0.000612168 0.000558423 0.0515807 0.046763 34 2373 22 6.87369e+06 489084 618332. 2139.56 1.77 0.184395 0.161652 25762 151098 -1 1923 20 1552 2286 147733 35707 2.88986 2.88986 -121.495 -2.88986 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.0274636 0.0244144 150 31 92 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 5.54 vpr 65.16 MiB 0.02 6976 -1 -1 1 0.03 -1 -1 33476 -1 -1 31 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66724 30 32 296 244 1 160 93 17 17 289 -1 unnamed_device 26.4 MiB 0.95 856 16473 5224 8613 2636 65.2 MiB 0.14 0.00 2.84425 -97.6974 -2.84425 2.84425 1.08 0.000542045 0.000487424 0.0413674 0.0373893 28 1970 21 6.87369e+06 433189 531479. 1839.03 1.15 0.113338 0.100173 24610 126494 -1 1838 22 1313 1995 164486 37229 2.94596 2.94596 -119.042 -2.94596 0 0 648988. 2245.63 0.30 0.07 0.13 -1 -1 0.30 0.0224267 0.0196344 116 29 60 30 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 12.51 vpr 65.83 MiB 0.02 7444 -1 -1 1 0.04 -1 -1 34388 -1 -1 47 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67412 32 32 531 413 1 236 111 17 17 289 -1 unnamed_device 27.4 MiB 6.97 1066 12879 3218 8459 1202 65.8 MiB 0.14 0.00 3.99154 -133.476 -3.99154 3.99154 0.99 0.000998171 0.000926683 0.0450276 0.0412162 30 3262 28 6.87369e+06 656770 556674. 1926.21 2.25 0.163233 0.145317 25186 138497 -1 2231 23 2177 3599 204693 51051 4.60555 4.60555 -161.794 -4.60555 0 0 706193. 2443.58 0.29 0.10 0.12 -1 -1 0.29 0.0396492 0.0352637 190 109 32 32 128 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 9.06 vpr 65.61 MiB 0.02 7344 -1 -1 1 0.04 -1 -1 34088 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67184 32 32 376 288 1 202 104 17 17 289 -1 unnamed_device 26.9 MiB 4.18 1006 19136 5460 10723 2953 65.6 MiB 0.17 0.00 3.43499 -121.273 -3.43499 3.43499 1.12 0.000625582 0.00057788 0.0536141 0.0489885 32 2720 27 6.87369e+06 558954 586450. 2029.24 1.27 0.14842 0.131948 25474 144626 -1 2085 20 1829 2795 211164 47973 3.7341 3.7341 -146.877 -3.7341 0 0 744469. 2576.02 0.34 0.09 0.15 -1 -1 0.34 0.0284195 0.0253088 156 31 96 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 5.47 vpr 64.65 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 33676 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 283 225 1 168 97 17 17 289 -1 unnamed_device 26.1 MiB 0.87 798 9865 2406 6573 886 64.6 MiB 0.09 0.00 2.85525 -100.353 -2.85525 2.85525 1.05 0.00054053 0.000491884 0.0250041 0.0228503 28 2256 23 6.87369e+06 461137 531479. 1839.03 1.24 0.0966819 0.0848947 24610 126494 -1 2068 21 1515 2550 209536 48352 2.98696 2.98696 -125.705 -2.98696 0 0 648988. 2245.63 0.31 0.08 0.13 -1 -1 0.31 0.0224468 0.0196693 123 -1 96 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 10.71 vpr 65.72 MiB 0.02 7484 -1 -1 1 0.04 -1 -1 34272 -1 -1 45 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67296 32 32 438 320 1 236 109 17 17 289 -1 unnamed_device 27.1 MiB 3.32 1173 21429 5899 12551 2979 65.7 MiB 0.22 0.00 3.97434 -135.836 -3.97434 3.97434 1.14 0.00078455 0.000717015 0.0678018 0.061828 28 3784 42 6.87369e+06 628823 531479. 1839.03 3.53 0.203565 0.180769 24610 126494 -1 3038 25 2772 4594 536328 131415 4.57255 4.57255 -170.535 -4.57255 0 0 648988. 2245.63 0.32 0.19 0.12 -1 -1 0.32 0.0421752 0.0373947 189 26 128 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 6.39 vpr 64.65 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 34112 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66200 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.2 MiB 1.27 777 10400 3077 6518 805 64.6 MiB 0.10 0.00 3.0246 -107.986 -3.0246 3.0246 1.06 0.000444304 0.000405015 0.0300447 0.0273921 34 2273 21 6.87369e+06 223581 618332. 2139.56 1.71 0.136983 0.119541 25762 151098 -1 1850 22 1612 2636 192363 44799 3.09326 3.09326 -126.231 -3.09326 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0237087 0.0207866 114 -1 96 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 7.42 vpr 64.94 MiB 0.02 7120 -1 -1 1 0.03 -1 -1 33904 -1 -1 33 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66496 30 32 296 244 1 162 95 17 17 289 -1 unnamed_device 26.4 MiB 2.72 847 14999 4547 8104 2348 64.9 MiB 0.12 0.00 2.75195 -95.6698 -2.75195 2.75195 0.97 0.00044236 0.000403491 0.0343495 0.0312587 26 2352 36 6.87369e+06 461137 503264. 1741.40 1.61 0.123283 0.10934 24322 120374 -1 2113 21 1513 2558 243200 53611 3.10291 3.10291 -123.155 -3.10291 0 0 618332. 2139.56 0.26 0.08 0.11 -1 -1 0.26 0.0236569 0.0211595 118 29 60 30 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 9.03 vpr 65.54 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 33864 -1 -1 35 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 29 32 393 319 1 186 96 17 17 289 -1 unnamed_device 26.9 MiB 4.41 959 10170 2412 6830 928 65.5 MiB 0.11 0.00 2.81125 -89.2201 -2.81125 2.81125 1.10 0.00067392 0.00061361 0.0318078 0.0288114 30 2444 20 6.87369e+06 489084 556674. 1926.21 1.14 0.113602 0.0998705 25186 138497 -1 1933 21 1113 2112 122274 29357 2.88526 2.88526 -109.522 -2.88526 0 0 706193. 2443.58 0.31 0.07 0.13 -1 -1 0.31 0.0286884 0.0252856 141 81 29 29 85 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 8.52 vpr 65.61 MiB 0.03 7140 -1 -1 1 0.04 -1 -1 34012 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67184 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 27.0 MiB 2.87 899 11617 2607 8397 613 65.6 MiB 0.12 0.00 3.36169 -116.932 -3.36169 3.36169 1.06 0.0006491 0.00059011 0.0431821 0.039119 32 3358 40 6.87369e+06 293451 586450. 2029.24 2.13 0.188279 0.165599 25474 144626 -1 2119 25 2328 3558 266454 64533 4.046 4.046 -154.808 -4.046 0 0 744469. 2576.02 0.35 0.11 0.14 -1 -1 0.35 0.0349924 0.0308822 147 53 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 10.92 vpr 65.68 MiB 0.02 7404 -1 -1 1 0.04 -1 -1 34360 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67260 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.9 MiB 4.99 906 18666 6095 9245 3326 65.7 MiB 0.16 0.00 3.40379 -116.982 -3.40379 3.40379 1.05 0.000589225 0.000533522 0.0507364 0.0460428 34 2994 36 6.87369e+06 517032 618332. 2139.56 2.41 0.173859 0.152854 25762 151098 -1 2255 23 2157 3644 275369 70218 4.2383 4.2383 -154.318 -4.2383 0 0 787024. 2723.27 0.33 0.11 0.15 -1 -1 0.33 0.0310609 0.0273149 155 55 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 8.48 vpr 65.30 MiB 0.02 6996 -1 -1 1 0.03 -1 -1 33652 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66872 32 32 345 287 1 168 97 17 17 289 -1 unnamed_device 26.5 MiB 3.83 820 18079 6173 9267 2639 65.3 MiB 0.15 0.00 2.88825 -103.968 -2.88825 2.88825 1.07 0.000544961 0.000499706 0.046623 0.0420934 30 2319 30 6.87369e+06 461137 556674. 1926.21 1.20 0.130841 0.115457 25186 138497 -1 1750 22 1261 2024 118722 29120 2.94596 2.94596 -122.689 -2.94596 0 0 706193. 2443.58 0.32 0.07 0.13 -1 -1 0.32 0.0269567 0.0237293 123 55 32 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 10.22 vpr 65.24 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34116 -1 -1 18 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 31 32 353 302 1 160 81 17 17 289 -1 unnamed_device 26.5 MiB 5.05 919 15131 5160 8055 1916 65.2 MiB 0.13 0.00 2.9476 -103.694 -2.9476 2.9476 1.06 0.000483973 0.000437075 0.0468542 0.0426096 34 2179 23 6.87369e+06 251529 618332. 2139.56 1.74 0.165983 0.144991 25762 151098 -1 1851 19 1212 2182 156383 37130 3.03831 3.03831 -119.603 -3.03831 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0218183 0.0192474 108 82 0 0 89 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 8.45 vpr 65.18 MiB 0.02 7416 -1 -1 1 0.04 -1 -1 34216 -1 -1 34 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66748 30 32 374 297 1 189 96 17 17 289 -1 unnamed_device 26.5 MiB 3.95 930 11484 3144 7338 1002 65.2 MiB 0.11 0.00 2.83325 -94.1383 -2.83325 2.83325 1.04 0.000661943 0.000599547 0.0319371 0.0290004 28 2375 24 6.87369e+06 475111 531479. 1839.03 1.15 0.112415 0.0986479 24610 126494 -1 2059 20 1425 2262 160645 39236 2.94596 2.94596 -117.141 -2.94596 0 0 648988. 2245.63 0.29 0.07 0.12 -1 -1 0.29 0.0261214 0.0231176 143 52 60 30 57 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 6.72 vpr 65.07 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 34080 -1 -1 35 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66628 28 32 332 260 1 180 95 17 17 289 -1 unnamed_device 26.5 MiB 2.07 922 15431 4411 8454 2566 65.1 MiB 0.13 0.00 3.34879 -104.314 -3.34879 3.34879 1.02 0.00050112 0.000457357 0.0387368 0.0352089 32 2454 35 6.87369e+06 489084 586450. 2029.24 1.27 0.120702 0.106079 25474 144626 -1 1931 24 1626 2636 222369 49563 3.9327 3.9327 -130.56 -3.9327 0 0 744469. 2576.02 0.32 0.08 0.14 -1 -1 0.32 0.0264079 0.0231945 139 20 84 28 28 28 +fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 9.94 vpr 65.10 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 34188 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66660 30 32 325 273 1 161 80 17 17 289 -1 unnamed_device 26.7 MiB 3.26 831 11260 4335 5899 1026 65.1 MiB 0.10 0.00 2.9806 -103.125 -2.9806 2.9806 1.05 0.000454182 0.000412504 0.0334557 0.030461 30 2130 21 6.87369e+06 251529 556674. 1926.21 3.36 0.1847 0.160307 25186 138497 -1 1732 19 1098 1813 110828 26010 2.97426 2.97426 -118.336 -2.97426 0 0 706193. 2443.58 0.31 0.06 0.13 -1 -1 0.31 0.0218678 0.0193296 110 58 30 30 60 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 9.32 vpr 65.29 MiB 0.02 7220 -1 -1 1 0.03 -1 -1 33800 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 32 32 361 308 1 163 81 17 17 289 -1 unnamed_device 26.5 MiB 4.22 993 14256 4532 8202 1522 65.3 MiB 0.12 0.00 2.8626 -100.833 -2.8626 2.8626 1.05 0.000492846 0.000446591 0.0448055 0.040712 34 2314 22 6.87369e+06 237555 618332. 2139.56 1.70 0.163587 0.143216 25762 151098 -1 2008 20 1225 2014 153840 35478 2.96326 2.96326 -120.6 -2.96326 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0248269 0.0217833 110 88 0 0 91 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 5.82 vpr 65.16 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33856 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66720 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 26.7 MiB 1.12 1122 12860 3357 8527 976 65.2 MiB 0.13 0.00 3.31149 -115.679 -3.31149 3.31149 1.06 0.00060902 0.000554512 0.0335035 0.0304181 28 2729 22 6.87369e+06 517032 531479. 1839.03 1.30 0.111831 0.0986203 24610 126494 -1 2516 19 1748 2774 204996 48441 3.9034 3.9034 -151.718 -3.9034 0 0 648988. 2245.63 0.29 0.09 0.12 -1 -1 0.29 0.0259965 0.0229638 151 -1 124 31 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 13.22 vpr 65.59 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 33944 -1 -1 38 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67160 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 26.9 MiB 5.22 906 17476 5430 9168 2878 65.6 MiB 0.16 0.00 3.41479 -117.207 -3.41479 3.41479 1.03 0.00057918 0.000525114 0.0473216 0.0429364 36 2753 21 6.87369e+06 531006 648988. 2245.63 4.50 0.258978 0.226449 26050 158493 -1 2083 23 2074 3494 213342 54187 3.9287 3.9287 -144.611 -3.9287 0 0 828058. 2865.25 0.35 0.09 0.15 -1 -1 0.35 0.0316734 0.0279152 156 57 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 10.44 vpr 65.54 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33928 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.9 MiB 4.84 1142 14671 4032 9052 1587 65.5 MiB 0.15 0.00 3.40379 -123.122 -3.40379 3.40379 1.09 0.000595999 0.000545044 0.0436351 0.0397286 28 3012 25 6.87369e+06 517032 531479. 1839.03 2.08 0.135226 0.119669 24610 126494 -1 2554 23 2207 3685 316337 71453 3.8734 3.8734 -153.779 -3.8734 0 0 648988. 2245.63 0.29 0.11 0.12 -1 -1 0.29 0.0319934 0.0283346 155 62 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 9.57 vpr 65.46 MiB 0.02 7304 -1 -1 1 0.04 -1 -1 34092 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67032 32 32 399 315 1 198 103 17 17 289 -1 unnamed_device 26.8 MiB 3.97 1153 19865 5993 11336 2536 65.5 MiB 0.18 0.00 3.33779 -116.467 -3.33779 3.33779 1.10 0.000568971 0.000513948 0.0533123 0.0482024 28 2940 25 6.87369e+06 544980 531479. 1839.03 2.05 0.145023 0.12829 24610 126494 -1 2531 22 1896 3361 278319 63932 4.1733 4.1733 -154.185 -4.1733 0 0 648988. 2245.63 0.28 0.10 0.12 -1 -1 0.28 0.0296826 0.0261407 152 62 60 30 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 7.80 vpr 64.57 MiB 0.02 7120 -1 -1 1 0.04 -1 -1 33628 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66120 30 32 296 244 1 160 81 17 17 289 -1 unnamed_device 26.2 MiB 3.31 732 15131 5119 7935 2077 64.6 MiB 0.12 0.00 2.9806 -100.661 -2.9806 2.9806 1.07 0.000415141 0.00037698 0.0432724 0.0393251 32 2230 32 6.87369e+06 265503 586450. 2029.24 1.07 0.115437 0.101886 25474 144626 -1 1756 22 1349 2160 177577 42126 3.19661 3.19661 -120.849 -3.19661 0 0 744469. 2576.02 0.32 0.07 0.15 -1 -1 0.32 0.0230749 0.020239 110 29 60 30 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 9.56 vpr 65.38 MiB 0.03 7460 -1 -1 1 0.04 -1 -1 33672 -1 -1 23 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66952 30 32 383 303 1 192 85 17 17 289 -1 unnamed_device 26.7 MiB 4.26 1002 14407 4631 7512 2264 65.4 MiB 0.13 0.00 3.36289 -115.283 -3.36289 3.36289 1.05 0.000587638 0.000541863 0.0469213 0.0426866 34 2422 22 6.87369e+06 321398 618332. 2139.56 1.86 0.183371 0.160925 25762 151098 -1 2011 23 1997 3095 240309 52911 3.8114 3.8114 -144.994 -3.8114 0 0 787024. 2723.27 0.32 0.09 0.14 -1 -1 0.32 0.0294908 0.0259217 140 58 60 30 60 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 12.30 vpr 65.44 MiB 0.03 7336 -1 -1 1 0.04 -1 -1 33860 -1 -1 43 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67012 32 32 469 381 1 202 107 17 17 289 -1 unnamed_device 26.8 MiB 6.80 1147 19335 4886 12439 2010 65.4 MiB 0.19 0.00 3.55109 -125.705 -3.55109 3.55109 1.07 0.000762303 0.000704964 0.0565585 0.0514784 32 3189 50 6.87369e+06 600875 586450. 2029.24 1.92 0.177954 0.156976 25474 144626 -1 2584 22 2042 3392 315241 68603 4.2823 4.2823 -155.241 -4.2823 0 0 744469. 2576.02 0.32 0.11 0.14 -1 -1 0.32 0.0323437 0.0283309 158 106 0 0 128 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 6.66 vpr 65.33 MiB 0.02 7568 -1 -1 1 0.04 -1 -1 33720 -1 -1 33 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66900 31 32 425 341 1 197 96 17 17 289 -1 unnamed_device 26.7 MiB 1.55 973 11046 2535 7785 726 65.3 MiB 0.11 0.00 3.40379 -115.856 -3.40379 3.40379 1.07 0.000660834 0.000610697 0.0364321 0.0331075 28 2769 47 6.87369e+06 461137 531479. 1839.03 1.68 0.170324 0.15137 24610 126494 -1 2371 22 2218 3713 278539 69368 4.113 4.113 -156.631 -4.113 0 0 648988. 2245.63 0.29 0.10 0.12 -1 -1 0.29 0.0310094 0.0273228 149 79 31 31 93 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 7.91 vpr 65.34 MiB 0.03 7444 -1 -1 1 0.04 -1 -1 34192 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 30 32 404 328 1 188 94 17 17 289 -1 unnamed_device 26.7 MiB 2.94 860 17560 5576 8756 3228 65.3 MiB 0.16 0.00 2.82225 -92.1598 -2.82225 2.82225 1.07 0.000581245 0.000522156 0.0521881 0.0474027 30 2345 44 6.87369e+06 447163 556674. 1926.21 1.55 0.15845 0.139705 25186 138497 -1 1644 22 1360 2148 116582 29871 2.85796 2.85796 -109.455 -2.85796 0 0 706193. 2443.58 0.31 0.07 0.13 -1 -1 0.31 0.0281507 0.0247455 141 83 26 26 90 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 10.93 vpr 65.32 MiB 0.03 7140 -1 -1 1 0.04 -1 -1 34232 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 26.6 MiB 5.49 1083 14965 4487 8867 1611 65.3 MiB 0.15 0.00 3.32249 -123.566 -3.32249 3.32249 1.09 0.000573007 0.000521303 0.0527767 0.0480349 34 3105 22 6.87369e+06 293451 618332. 2139.56 1.89 0.189398 0.165865 25762 151098 -1 2492 22 2239 3900 313092 71029 3.8957 3.8957 -154.506 -3.8957 0 0 787024. 2723.27 0.33 0.11 0.15 -1 -1 0.33 0.0318514 0.0281348 147 58 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 7.62 vpr 65.46 MiB 0.02 7452 -1 -1 1 0.04 -1 -1 33924 -1 -1 36 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67032 29 32 387 316 1 181 97 17 17 289 -1 unnamed_device 26.8 MiB 2.96 933 18745 5615 10170 2960 65.5 MiB 0.17 0.00 2.83325 -92.5557 -2.83325 2.83325 1.05 0.000620057 0.000566358 0.0532254 0.0482756 32 2494 19 6.87369e+06 503058 586450. 2029.24 1.13 0.127805 0.112856 25474 144626 -1 2047 23 1811 3002 257725 59223 2.98856 2.98856 -112.021 -2.98856 0 0 744469. 2576.02 0.32 0.10 0.14 -1 -1 0.32 0.029344 0.025714 138 81 26 26 85 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 6.01 vpr 64.86 MiB 0.02 6816 -1 -1 1 0.04 -1 -1 33888 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66412 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 0.85 884 12980 3741 7931 1308 64.9 MiB 0.11 0.00 2.9586 -110.092 -2.9586 2.9586 1.06 0.000476404 0.000434098 0.0391629 0.0357387 34 2348 20 6.87369e+06 223581 618332. 2139.56 1.75 0.153299 0.135066 25762 151098 -1 1924 22 1412 2139 176170 38670 3.05731 3.05731 -129.315 -3.05731 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0248053 0.0220519 114 -1 96 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 13.29 vpr 65.48 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 33980 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.7 MiB 5.92 1193 12086 2795 8192 1099 65.5 MiB 0.11 0.00 3.41479 -123.887 -3.41479 3.41479 1.04 0.000657139 0.000598419 0.0335357 0.0304811 34 2878 22 6.87369e+06 517032 618332. 2139.56 3.95 0.215051 0.187961 25762 151098 -1 2367 22 2110 3284 253922 59342 3.78611 3.78611 -151.918 -3.78611 0 0 787024. 2723.27 0.35 0.10 0.14 -1 -1 0.35 0.0316988 0.0281139 155 62 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 11.01 vpr 65.70 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34044 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67272 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 27.0 MiB 5.11 1115 15337 4738 8799 1800 65.7 MiB 0.15 0.00 3.36169 -124.074 -3.36169 3.36169 1.06 0.000592039 0.000538737 0.0529619 0.0482023 34 2869 32 6.87369e+06 293451 618332. 2139.56 2.36 0.233713 0.207534 25762 151098 -1 2390 21 1940 3121 247193 53582 3.9397 3.9397 -153.955 -3.9397 0 0 787024. 2723.27 0.37 0.10 0.15 -1 -1 0.37 0.0318572 0.0284095 147 62 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 9.51 vpr 65.05 MiB 0.02 7160 -1 -1 1 0.03 -1 -1 34104 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 315 267 1 158 94 17 17 289 -1 unnamed_device 26.4 MiB 4.61 882 16921 5326 9162 2433 65.0 MiB 0.13 0.00 2.71895 -96.9431 -2.71895 2.71895 1.03 0.000515106 0.000471197 0.038805 0.0352393 34 1998 23 6.87369e+06 419215 618332. 2139.56 1.58 0.144494 0.126179 25762 151098 -1 1774 17 1131 1839 128217 31080 2.94296 2.94296 -114.339 -2.94296 0 0 787024. 2723.27 0.32 0.06 0.15 -1 -1 0.32 0.0205658 0.0182202 112 47 32 32 54 27 +fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 5.61 vpr 64.71 MiB 0.02 7036 -1 -1 1 0.03 -1 -1 33900 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66260 31 32 275 220 1 164 80 17 17 289 -1 unnamed_device 26.4 MiB 1.07 869 12636 4520 6006 2110 64.7 MiB 0.11 0.00 2.9916 -107.452 -2.9916 2.9916 1.11 0.0004658 0.000423541 0.0360767 0.0329309 32 2300 25 6.87369e+06 237555 586450. 2029.24 1.11 0.105484 0.0934793 25474 144626 -1 1918 21 1468 2332 166759 38817 3.13261 3.13261 -127.081 -3.13261 0 0 744469. 2576.02 0.32 0.07 0.13 -1 -1 0.32 0.0231275 0.0206038 112 -1 93 31 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 8.65 vpr 65.50 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33884 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 381 303 1 194 99 17 17 289 -1 unnamed_device 26.7 MiB 3.82 987 19251 5929 10746 2576 65.5 MiB 0.17 0.00 3.41299 -116.064 -3.41299 3.41299 1.04 0.000543686 0.000490921 0.0508456 0.04608 32 2853 35 6.87369e+06 489084 586450. 2029.24 1.33 0.142112 0.124915 25474 144626 -1 2188 23 1819 2686 217956 49811 3.8484 3.8484 -140.812 -3.8484 0 0 744469. 2576.02 0.32 0.09 0.14 -1 -1 0.32 0.0285721 0.0251409 144 56 60 32 58 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 6.53 vpr 65.55 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 33988 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67128 32 32 406 330 1 191 97 17 17 289 -1 unnamed_device 26.9 MiB 1.61 922 10975 2473 6923 1579 65.6 MiB 0.09 0.00 3.40379 -111.965 -3.40379 3.40379 1.09 0.000649333 0.000594419 0.0334176 0.0305124 30 2739 37 6.87369e+06 461137 556674. 1926.21 1.52 0.139489 0.122915 25186 138497 -1 1913 19 1389 2294 120936 32119 3.62936 3.62936 -134.335 -3.62936 0 0 706193. 2443.58 0.30 0.06 0.13 -1 -1 0.30 0.0250486 0.0221559 142 81 28 28 88 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 7.71 vpr 65.50 MiB 0.02 7464 -1 -1 1 0.04 -1 -1 34124 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67076 32 32 399 285 1 232 105 17 17 289 -1 unnamed_device 26.7 MiB 1.19 1232 12455 3138 8098 1219 65.5 MiB 0.14 0.00 3.96154 -133.42 -3.96154 3.96154 1.11 0.000785918 0.000728565 0.0408451 0.0374417 34 3277 24 6.87369e+06 572927 618332. 2139.56 2.89 0.218315 0.194566 25762 151098 -1 2533 22 2151 3371 253008 57224 4.52425 4.52425 -163.632 -4.52425 0 0 787024. 2723.27 0.36 0.10 0.14 -1 -1 0.36 0.0350335 0.0312224 183 -1 156 32 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 8.60 vpr 65.21 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 34084 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66780 30 32 371 295 1 188 94 17 17 289 -1 unnamed_device 26.7 MiB 3.27 974 17560 5334 9519 2707 65.2 MiB 0.16 0.00 2.83325 -97.4951 -2.83325 2.83325 1.10 0.000514183 0.000465725 0.0485543 0.0439962 34 2420 23 6.87369e+06 447163 618332. 2139.56 1.75 0.178881 0.156884 25762 151098 -1 1963 23 1987 3244 210096 52042 2.97596 2.97596 -115.317 -2.97596 0 0 787024. 2723.27 0.34 0.09 0.15 -1 -1 0.34 0.0292533 0.0257736 141 47 60 30 56 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 5.73 vpr 64.66 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 33884 -1 -1 20 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66208 27 32 269 226 1 145 79 17 17 289 -1 unnamed_device 26.1 MiB 1.04 655 12585 5280 6438 867 64.7 MiB 0.10 0.00 3.0601 -89.8127 -3.0601 3.0601 1.10 0.000461448 0.000421189 0.0349047 0.0317822 32 1788 35 6.87369e+06 279477 586450. 2029.24 1.15 0.111399 0.0984163 25474 144626 -1 1456 16 1052 1487 118054 27131 3.11961 3.11961 -103.84 -3.11961 0 0 744469. 2576.02 0.37 0.06 0.15 -1 -1 0.37 0.020941 0.0187637 102 26 54 27 27 27 +fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 10.06 vpr 65.94 MiB 0.02 7420 -1 -1 1 0.04 -1 -1 34232 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67520 32 32 493 378 1 233 106 17 17 289 -1 unnamed_device 27.2 MiB 3.47 1373 12356 2983 8475 898 65.9 MiB 0.15 0.00 3.39279 -120.472 -3.39279 3.39279 1.14 0.000813005 0.000744988 0.0454839 0.0416144 28 4134 28 6.87369e+06 586901 531479. 1839.03 2.89 0.159014 0.140924 24610 126494 -1 3316 21 2326 4107 407119 86911 4.2603 4.2603 -155.284 -4.2603 0 0 648988. 2245.63 0.29 0.14 0.12 -1 -1 0.29 0.0366473 0.0326501 184 85 62 31 95 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 9.73 vpr 65.62 MiB 0.02 7440 -1 -1 1 0.04 -1 -1 33848 -1 -1 23 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67192 31 32 455 371 1 199 86 17 17 289 -1 unnamed_device 26.8 MiB 4.20 1041 15962 6227 8261 1474 65.6 MiB 0.17 0.00 3.97274 -128.634 -3.97274 3.97274 1.15 0.00061608 0.000559424 0.0612624 0.0555442 34 2691 24 6.87369e+06 321398 618332. 2139.56 1.92 0.21595 0.190457 25762 151098 -1 2188 20 1609 2479 180389 43117 4.43935 4.43935 -158.015 -4.43935 0 0 787024. 2723.27 0.34 0.09 0.13 -1 -1 0.34 0.0313408 0.0279611 144 105 0 0 124 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 9.84 vpr 65.25 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 33536 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66816 32 32 355 304 1 162 80 17 17 289 -1 unnamed_device 26.5 MiB 4.44 877 14356 5084 7335 1937 65.2 MiB 0.13 0.00 3.8283 -108.62 -3.8283 3.8283 1.15 0.000604968 0.000547745 0.0470932 0.042512 34 2326 24 6.87369e+06 223581 618332. 2139.56 1.83 0.17606 0.154371 25762 151098 -1 1890 16 799 1196 103453 23717 3.18321 3.18321 -122.882 -3.18321 0 0 787024. 2723.27 0.33 0.05 0.15 -1 -1 0.33 0.0201286 0.0178913 107 86 0 0 89 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 6.62 vpr 65.31 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34144 -1 -1 34 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 364 282 1 196 98 17 17 289 -1 unnamed_device 26.7 MiB 1.33 1109 14273 4195 8974 1104 65.3 MiB 0.14 0.00 3.30669 -118.161 -3.30669 3.30669 1.12 0.000528198 0.000477258 0.0414781 0.037684 28 2951 33 6.87369e+06 475111 531479. 1839.03 1.62 0.138528 0.122342 24610 126494 -1 2630 29 2212 3173 451469 160384 3.8924 3.8924 -151.975 -3.8924 0 0 648988. 2245.63 0.31 0.19 0.13 -1 -1 0.31 0.038926 0.0343405 147 31 90 30 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 7.51 vpr 65.57 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 34368 -1 -1 40 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67144 31 32 443 336 1 224 103 17 17 289 -1 unnamed_device 27.0 MiB 2.68 1157 19142 5402 10918 2822 65.6 MiB 0.19 0.00 3.42399 -118.311 -3.42399 3.42399 1.10 0.000850102 0.00077213 0.0572932 0.0522055 32 3252 22 6.87369e+06 558954 586450. 2029.24 1.20 0.150734 0.133773 25474 144626 -1 2553 22 1972 2927 228086 52401 3.7781 3.7781 -144.882 -3.7781 0 0 744469. 2576.02 0.34 0.10 0.15 -1 -1 0.34 0.0338349 0.0300379 176 50 87 31 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 7.43 vpr 65.34 MiB 0.02 7352 -1 -1 1 0.04 -1 -1 34160 -1 -1 36 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66904 30 32 373 297 1 188 98 17 17 289 -1 unnamed_device 26.7 MiB 2.40 1022 17423 5214 9375 2834 65.3 MiB 0.16 0.00 2.78925 -94.8725 -2.78925 2.78925 1.10 0.000659279 0.000598957 0.0496429 0.045338 28 2652 32 6.87369e+06 503058 531479. 1839.03 1.49 0.158691 0.141786 24610 126494 -1 2348 20 1579 2739 220755 50154 3.04026 3.04026 -120.35 -3.04026 0 0 648988. 2245.63 0.31 0.10 0.12 -1 -1 0.31 0.0346511 0.0311085 144 50 58 30 58 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 9.56 vpr 65.57 MiB 0.03 7296 -1 -1 1 0.04 -1 -1 34060 -1 -1 46 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67144 32 32 407 319 1 202 110 17 17 289 -1 unnamed_device 26.8 MiB 3.58 986 11682 2804 7883 995 65.6 MiB 0.12 0.00 3.32249 -113.143 -3.32249 3.32249 1.08 0.000707403 0.000644846 0.0324898 0.029629 28 3011 47 6.87369e+06 642796 531479. 1839.03 2.47 0.152408 0.134076 24610 126494 -1 2455 24 2107 3448 330632 70236 4.3526 4.3526 -157.236 -4.3526 0 0 648988. 2245.63 0.32 0.13 0.12 -1 -1 0.32 0.038218 0.034057 160 61 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 11.53 vpr 65.57 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 34028 -1 -1 42 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67140 32 32 405 318 1 201 106 17 17 289 -1 unnamed_device 26.8 MiB 3.98 1072 19606 5850 10926 2830 65.6 MiB 0.18 0.00 2.89925 -105.07 -2.89925 2.89925 1.07 0.000590492 0.0005348 0.0539603 0.0487628 34 2636 24 6.87369e+06 586901 618332. 2139.56 3.91 0.242657 0.212768 25762 151098 -1 2196 19 1553 2421 171201 39866 3.11526 3.11526 -125.378 -3.11526 0 0 787024. 2723.27 0.37 0.08 0.15 -1 -1 0.37 0.0276244 0.0245035 157 61 63 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 7.42 vpr 64.75 MiB 0.02 7200 -1 -1 1 0.03 -1 -1 33656 -1 -1 19 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 29 32 287 238 1 155 80 17 17 289 -1 unnamed_device 26.4 MiB 2.33 752 13668 5257 6397 2014 64.8 MiB 0.12 0.00 2.9256 -97.8367 -2.9256 2.9256 1.08 0.000454396 0.000414973 0.040542 0.0369309 34 1754 21 6.87369e+06 265503 618332. 2139.56 1.63 0.148646 0.130267 25762 151098 -1 1521 20 1178 1706 117481 26986 2.98526 2.98526 -113.844 -2.98526 0 0 787024. 2723.27 0.33 0.06 0.15 -1 -1 0.33 0.0205827 0.0181127 107 28 58 29 29 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 7.74 vpr 64.79 MiB 0.02 7324 -1 -1 1 0.04 -1 -1 34140 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66340 32 32 334 290 1 156 81 17 17 289 -1 unnamed_device 26.3 MiB 2.87 909 11631 2934 7259 1438 64.8 MiB 0.09 0.00 3.34714 -99.6011 -3.34714 3.34714 1.03 0.00044464 0.000404867 0.0336773 0.0307337 34 1980 23 6.87369e+06 237555 618332. 2139.56 1.59 0.155413 0.136645 25762 151098 -1 1719 20 768 1093 83553 19317 2.82735 2.82735 -113.658 -2.82735 0 0 787024. 2723.27 0.34 0.05 0.13 -1 -1 0.34 0.0219507 0.0193839 102 79 0 0 82 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 8.05 vpr 65.54 MiB 0.03 7436 -1 -1 1 0.04 -1 -1 34156 -1 -1 39 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 31 32 365 281 1 197 102 17 17 289 -1 unnamed_device 26.9 MiB 2.42 1154 20094 6021 11708 2365 65.5 MiB 0.18 0.00 3.31149 -115.219 -3.31149 3.31149 1.11 0.000603668 0.000552325 0.0525156 0.0478003 28 2884 30 6.87369e+06 544980 531479. 1839.03 2.07 0.147342 0.130768 24610 126494 -1 2576 21 1944 3235 284720 60827 3.6608 3.6608 -145.018 -3.6608 0 0 648988. 2245.63 0.29 0.10 0.12 -1 -1 0.29 0.0288542 0.0254371 152 29 93 31 31 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 9.20 vpr 65.02 MiB 0.02 7292 -1 -1 1 0.03 -1 -1 33788 -1 -1 32 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66576 29 32 297 254 1 148 93 17 17 289 -1 unnamed_device 26.5 MiB 4.16 774 17523 6697 8650 2176 65.0 MiB 0.14 0.00 2.76725 -88.0741 -2.76725 2.76725 1.08 0.000468625 0.000427705 0.0430115 0.0392672 26 2084 22 6.87369e+06 447163 503264. 1741.40 1.61 0.125417 0.112135 24322 120374 -1 1823 24 1336 2175 195430 44018 3.18886 3.18886 -114.49 -3.18886 0 0 618332. 2139.56 0.29 0.08 0.12 -1 -1 0.29 0.0284757 0.0250641 108 48 29 29 52 26 +fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 9.73 vpr 64.90 MiB 0.02 6964 -1 -1 1 0.03 -1 -1 33688 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66460 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 4.35 917 13152 5075 7153 924 64.9 MiB 0.12 0.00 2.9586 -109.476 -2.9586 2.9586 1.07 0.000569401 0.000518822 0.0397035 0.0362284 34 2518 21 6.87369e+06 223581 618332. 2139.56 1.89 0.160389 0.141122 25762 151098 -1 2088 23 1603 2616 244454 53515 3.43616 3.43616 -132.557 -3.43616 0 0 787024. 2723.27 0.33 0.09 0.15 -1 -1 0.33 0.0254266 0.0223717 114 31 64 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 8.96 vpr 65.11 MiB 0.03 7448 -1 -1 1 0.04 -1 -1 34116 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66672 31 32 387 307 1 193 98 17 17 289 -1 unnamed_device 26.5 MiB 3.48 969 16523 4993 8632 2898 65.1 MiB 0.15 0.00 2.88345 -102.538 -2.88345 2.88345 1.12 0.000795188 0.000727797 0.0484836 0.0439588 34 2261 19 6.87369e+06 489084 618332. 2139.56 1.79 0.183261 0.160488 25762 151098 -1 1928 21 1771 2682 175096 42278 2.94116 2.94116 -117.971 -2.94116 0 0 787024. 2723.27 0.38 0.09 0.15 -1 -1 0.38 0.0321253 0.0287714 146 60 58 31 62 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 8.32 vpr 64.89 MiB 0.02 7236 -1 -1 1 0.03 -1 -1 33848 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66452 31 32 308 262 1 154 79 17 17 289 -1 unnamed_device 26.5 MiB 3.88 875 9036 2508 5797 731 64.9 MiB 0.08 0.00 2.63557 -93.8447 -2.63557 2.63557 1.07 0.000675302 0.000602674 0.0280482 0.0255266 32 2307 31 6.87369e+06 223581 586450. 2029.24 1.11 0.102097 0.0896688 25474 144626 -1 1864 21 1267 2085 183464 40163 2.93826 2.93826 -115.503 -2.93826 0 0 744469. 2576.02 0.32 0.08 0.14 -1 -1 0.32 0.0256416 0.0229304 103 49 31 31 53 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 8.21 vpr 65.23 MiB 0.02 7280 -1 -1 1 0.03 -1 -1 34016 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 383 307 1 190 101 17 17 289 -1 unnamed_device 26.6 MiB 3.44 1006 13496 3495 8660 1341 65.2 MiB 0.13 0.00 2.77825 -97.8509 -2.77825 2.77825 1.02 0.000896845 0.000828697 0.0411096 0.0374469 26 2716 49 6.87369e+06 517032 503264. 1741.40 1.50 0.167766 0.149168 24322 120374 -1 2276 23 1380 2396 195126 43904 3.02626 3.02626 -122.604 -3.02626 0 0 618332. 2139.56 0.28 0.09 0.10 -1 -1 0.28 0.03134 0.0279048 143 56 52 26 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 10.06 vpr 65.77 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 33916 -1 -1 39 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67352 31 32 422 339 1 196 102 17 17 289 -1 unnamed_device 27.0 MiB 4.48 887 10812 2512 7033 1267 65.8 MiB 0.11 0.00 2.86625 -96.6486 -2.86625 2.86625 1.12 0.000698134 0.000637124 0.0343123 0.0310057 26 2853 26 6.87369e+06 544980 503264. 1741.40 1.95 0.140723 0.124213 24322 120374 -1 2360 53 3201 5005 510107 113662 3.46776 3.46776 -128.765 -3.46776 0 0 618332. 2139.56 0.30 0.22 0.12 -1 -1 0.30 0.068317 0.0597034 151 88 31 31 92 31 +fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 8.56 vpr 65.12 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 33988 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66680 32 32 333 279 1 164 81 17 17 289 -1 unnamed_device 26.4 MiB 3.44 954 13731 4500 7469 1762 65.1 MiB 0.12 0.00 2.62457 -96.4915 -2.62457 2.62457 1.02 0.000481953 0.000439253 0.041474 0.0377763 34 2328 23 6.87369e+06 237555 618332. 2139.56 1.71 0.159341 0.139731 25762 151098 -1 1912 25 1388 2215 164762 37810 2.99946 2.99946 -119.912 -2.99946 0 0 787024. 2723.27 0.34 0.08 0.15 -1 -1 0.34 0.0294634 0.0257596 110 54 32 32 60 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 8.79 vpr 65.25 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 33800 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 32 32 339 283 1 166 80 17 17 289 -1 unnamed_device 26.5 MiB 4.21 941 14012 4418 8237 1357 65.3 MiB 0.08 0.00 2.9366 -107.678 -2.9366 2.9366 1.09 0.000489217 0.000443056 0.0310222 0.0282645 32 2582 24 6.87369e+06 223581 586450. 2029.24 1.13 0.107109 0.0941199 25474 144626 -1 2183 21 1468 2409 224691 49171 3.31086 3.31086 -133.803 -3.31086 0 0 744469. 2576.02 0.33 0.08 0.14 -1 -1 0.33 0.0254147 0.0225524 112 60 32 32 62 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 9.25 vpr 65.74 MiB 0.02 7304 -1 -1 1 0.04 -1 -1 34384 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 32 32 407 319 1 202 104 17 17 289 -1 unnamed_device 27.0 MiB 3.57 917 17428 4888 9797 2743 65.7 MiB 0.15 0.00 3.41299 -117.867 -3.41299 3.41299 1.05 0.000627284 0.00056973 0.0435694 0.0395542 34 2581 31 6.87369e+06 558954 618332. 2139.56 2.18 0.18986 0.166336 25762 151098 -1 2018 23 2095 3361 219250 53056 3.9017 3.9017 -142.83 -3.9017 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0291846 0.0257174 157 49 64 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 7.44 vpr 65.20 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 34116 -1 -1 34 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 29 32 367 293 1 185 95 17 17 289 -1 unnamed_device 26.6 MiB 3.06 1018 12191 3396 7605 1190 65.2 MiB 0.11 0.00 2.84425 -93.4612 -2.84425 2.84425 1.05 0.000534745 0.000485508 0.0325711 0.0296366 30 2282 22 6.87369e+06 475111 556674. 1926.21 1.03 0.106747 0.0938724 25186 138497 -1 1887 22 992 1686 106314 24088 2.91926 2.91926 -112.202 -2.91926 0 0 706193. 2443.58 0.30 0.07 0.13 -1 -1 0.30 0.030212 0.0271693 140 54 56 29 58 29 +fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 11.75 vpr 65.86 MiB 0.03 7560 -1 -1 1 0.04 -1 -1 34268 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67436 32 32 469 381 1 202 104 17 17 289 -1 unnamed_device 27.0 MiB 6.82 985 13036 3570 8061 1405 65.9 MiB 0.14 0.00 3.48699 -122.681 -3.48699 3.48699 1.08 0.000806322 0.000730885 0.0409839 0.0371146 30 2459 23 6.87369e+06 558954 556674. 1926.21 1.37 0.138948 0.122467 25186 138497 -1 2003 19 1592 2572 152101 35976 3.5697 3.5697 -142.948 -3.5697 0 0 706193. 2443.58 0.34 0.07 0.13 -1 -1 0.34 0.0281993 0.0249441 157 117 0 0 128 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 5.16 vpr 64.77 MiB 0.02 6984 -1 -1 1 0.04 -1 -1 33992 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 31 32 259 212 1 155 79 17 17 289 -1 unnamed_device 26.2 MiB 0.91 795 11909 3535 6603 1771 64.8 MiB 0.09 0.00 2.44612 -88.9453 -2.44612 2.44612 1.02 0.000400975 0.000365881 0.0313555 0.0285729 30 2030 36 6.87369e+06 223581 556674. 1926.21 1.06 0.100995 0.0885185 25186 138497 -1 1607 16 1078 1719 98078 23292 2.77096 2.77096 -110.843 -2.77096 0 0 706193. 2443.58 0.30 0.05 0.13 -1 -1 0.30 0.0189354 0.0170236 104 -1 85 31 0 0 +fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 6.45 vpr 65.70 MiB 0.02 7352 -1 -1 1 0.04 -1 -1 33756 -1 -1 37 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67276 32 32 418 338 1 194 101 17 17 289 -1 unnamed_device 27.0 MiB 2.00 1136 17961 4753 11487 1721 65.7 MiB 0.15 0.00 3.49609 -119.341 -3.49609 3.49609 0.97 0.000721589 0.000669879 0.0466707 0.042417 28 2583 39 6.87369e+06 517032 531479. 1839.03 1.21 0.156011 0.13823 24610 126494 -1 2211 21 1689 2353 206718 46321 3.7011 3.7011 -140.572 -3.7011 0 0 648988. 2245.63 0.29 0.09 0.12 -1 -1 0.29 0.0315038 0.0282286 147 89 28 28 92 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 10.39 vpr 65.31 MiB 0.02 7060 -1 -1 1 0.03 -1 -1 34020 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 26.5 MiB 5.48 908 11260 3414 6310 1536 65.3 MiB 0.10 0.00 2.9898 -110.534 -2.9898 2.9898 1.02 0.000518362 0.000471716 0.0371502 0.033809 34 2267 23 6.87369e+06 223581 618332. 2139.56 1.62 0.157509 0.137289 25762 151098 -1 1893 21 1486 2159 163289 37546 3.16246 3.16246 -131.465 -3.16246 0 0 787024. 2723.27 0.33 0.07 0.14 -1 -1 0.33 0.0257232 0.022773 114 93 0 0 96 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 8.53 vpr 65.66 MiB 0.02 7116 -1 -1 1 0.04 -1 -1 34008 -1 -1 39 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67240 32 32 401 316 1 199 103 17 17 289 -1 unnamed_device 27.0 MiB 3.85 1083 18419 4857 11116 2446 65.7 MiB 0.16 0.00 2.83325 -103.36 -2.83325 2.83325 1.04 0.000577785 0.000527112 0.0478536 0.0433834 28 2576 21 6.87369e+06 544980 531479. 1839.03 1.31 0.131109 0.11577 24610 126494 -1 2234 18 1491 2223 165716 38663 3.14356 3.14356 -129.908 -3.14356 0 0 648988. 2245.63 0.29 0.08 0.13 -1 -1 0.29 0.0268519 0.0238514 153 59 61 32 64 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 11.76 vpr 65.56 MiB 0.03 7432 -1 -1 1 0.04 -1 -1 34472 -1 -1 47 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 500 382 1 236 111 17 17 289 -1 unnamed_device 27.1 MiB 5.57 1063 20327 5487 12070 2770 65.6 MiB 0.20 0.00 4.00554 -134.214 -4.00554 4.00554 1.02 0.000808906 0.000736004 0.0591573 0.0535454 34 3410 25 6.87369e+06 656770 618332. 2139.56 2.74 0.22536 0.197716 25762 151098 -1 2538 22 2625 4128 310455 73379 4.83085 4.83085 -170.88 -4.83085 0 0 787024. 2723.27 0.34 0.12 0.13 -1 -1 0.34 0.0378655 0.0338169 190 81 64 32 96 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 6.60 vpr 64.61 MiB 0.02 7180 -1 -1 1 0.03 -1 -1 33880 -1 -1 14 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66156 30 32 246 229 1 118 76 17 17 289 -1 unnamed_device 26.2 MiB 2.49 665 10476 2768 6457 1251 64.6 MiB 0.07 0.00 2.42836 -80.5119 -2.42836 2.42836 1.02 0.000374753 0.000339709 0.0264944 0.024151 32 1542 19 6.87369e+06 195634 586450. 2029.24 0.94 0.074781 0.065556 25474 144626 -1 1326 19 658 925 78925 18369 2.08882 2.08882 -91.2305 -2.08882 0 0 744469. 2576.02 0.31 0.04 0.14 -1 -1 0.31 0.0171007 0.0149989 72 51 0 0 53 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 5.44 vpr 64.55 MiB 0.02 6996 -1 -1 1 0.03 -1 -1 34096 -1 -1 18 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66100 30 32 296 244 1 158 80 17 17 289 -1 unnamed_device 26.2 MiB 1.18 715 12808 4470 6119 2219 64.6 MiB 0.10 0.00 2.9678 -98.692 -2.9678 2.9678 1.04 0.000454483 0.000411106 0.0364095 0.0331132 32 1866 19 6.87369e+06 251529 586450. 2029.24 1.01 0.0953956 0.08395 25474 144626 -1 1587 20 1282 1776 146909 33853 3.15261 3.15261 -120.311 -3.15261 0 0 744469. 2576.02 0.32 0.06 0.12 -1 -1 0.32 0.0229619 0.0204272 109 29 60 30 30 30 +fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 6.86 vpr 64.84 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33696 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66396 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 26.4 MiB 1.66 958 12808 4038 7184 1586 64.8 MiB 0.12 0.00 2.77395 -105.816 -2.77395 2.77395 1.05 0.000458617 0.000419387 0.0395359 0.0360107 34 2519 18 6.87369e+06 223581 618332. 2139.56 1.80 0.149332 0.131032 25762 151098 -1 2101 21 1588 2818 224755 51473 3.07926 3.07926 -130.671 -3.07926 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.0232039 0.0204436 114 31 64 32 32 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 5.65 vpr 64.82 MiB 0.02 7024 -1 -1 1 0.03 -1 -1 34060 -1 -1 37 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66376 25 32 251 214 1 139 94 17 17 289 -1 unnamed_device 26.4 MiB 0.96 619 17560 6617 8075 2868 64.8 MiB 0.12 0.00 2.80025 -75.8402 -2.80025 2.80025 1.02 0.000387258 0.000351238 0.0350053 0.031882 26 1788 26 6.87369e+06 517032 503264. 1741.40 1.52 0.0965413 0.0851014 24322 120374 -1 1576 21 1194 2012 171080 39701 3.02726 3.02726 -97.6454 -3.02726 0 0 618332. 2139.56 0.25 0.07 0.12 -1 -1 0.25 0.0195845 0.0172775 105 19 50 25 25 25 +fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 8.21 vpr 65.74 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 34076 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67316 32 32 432 346 1 200 85 17 17 289 -1 unnamed_device 27.0 MiB 3.51 1014 16267 5584 8739 1944 65.7 MiB 0.16 0.00 3.26749 -115.812 -3.26749 3.26749 1.03 0.000643875 0.000586697 0.056264 0.0512417 32 3127 28 6.87369e+06 293451 586450. 2029.24 1.25 0.152179 0.134913 25474 144626 -1 2485 24 2083 3802 310578 73239 3.8404 3.8404 -148.431 -3.8404 0 0 744469. 2576.02 0.32 0.10 0.14 -1 -1 0.32 0.0318128 0.0280838 145 84 32 32 94 32 +fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 7.56 vpr 65.64 MiB 0.02 7352 -1 -1 1 0.04 -1 -1 33980 -1 -1 40 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67212 31 32 421 339 1 195 103 17 17 289 -1 unnamed_device 26.9 MiB 3.18 1080 13358 3306 8771 1281 65.6 MiB 0.12 0.00 2.86625 -101.587 -2.86625 2.86625 0.98 0.000584279 0.000523756 0.0349752 0.0316075 28 2631 21 6.87369e+06 558954 531479. 1839.03 1.17 0.117282 0.102951 24610 126494 -1 2292 24 1968 3146 245528 54909 3.09026 3.09026 -126.273 -3.09026 0 0 648988. 2245.63 0.28 0.09 0.12 -1 -1 0.28 0.0308465 0.0271698 151 88 29 29 93 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 9.95 vpr 65.70 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 33884 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67280 32 32 438 350 1 295 93 17 17 289 -1 unnamed_device 27.0 MiB 2.04 1397 19203 6712 10074 2417 65.7 MiB 0.18 0.00 4.08424 -140.969 -4.08424 4.08424 1.04 0.00100966 0.000935204 0.058699 0.0534027 36 3131 24 6.89349e+06 408721 648988. 2245.63 4.38 0.261978 0.228917 26050 158493 -1 2688 21 2203 2684 190705 42461 4.87215 4.87215 -174.998 -4.87215 0 0 828058. 2865.25 0.35 0.08 0.15 -1 -1 0.35 0.03049 0.0270871 192 80 32 32 96 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 7.66 vpr 65.64 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34092 -1 -1 29 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67220 30 32 409 330 1 262 91 17 17 289 -1 unnamed_device 26.9 MiB 1.88 1213 16615 5668 8299 2648 65.6 MiB 0.16 0.00 4.21067 -131.231 -4.21067 4.21067 1.01 0.000567306 0.000509856 0.0498719 0.0453228 36 3094 32 6.89349e+06 408721 648988. 2245.63 2.34 0.193257 0.169085 26050 158493 -1 2542 20 2055 2851 201270 45989 4.51278 4.51278 -155.651 -4.51278 0 0 828058. 2865.25 0.35 0.09 0.15 -1 -1 0.35 0.0296778 0.0265558 177 78 30 30 89 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 7.98 vpr 65.38 MiB 0.02 7316 -1 -1 1 0.04 -1 -1 34200 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 387 309 1 253 89 17 17 289 -1 unnamed_device 26.7 MiB 2.46 1315 14543 3877 8711 1955 65.4 MiB 0.13 0.00 3.31466 -117.958 -3.31466 3.31466 1.03 0.000523965 0.000475591 0.0431322 0.039354 36 2904 23 6.89349e+06 352346 648988. 2245.63 2.12 0.173159 0.151694 26050 158493 -1 2500 21 1654 2076 147236 32418 3.6704 3.6704 -136.171 -3.6704 0 0 828058. 2865.25 0.34 0.07 0.16 -1 -1 0.34 0.0267041 0.0236278 167 50 54 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 7.87 vpr 65.34 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33840 -1 -1 25 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66908 29 32 343 267 1 209 86 17 17 289 -1 unnamed_device 26.6 MiB 2.59 981 14072 4129 8074 1869 65.3 MiB 0.13 0.00 3.65595 -113.669 -3.65595 3.65595 0.99 0.000497774 0.000453034 0.0400881 0.0364921 36 2236 18 6.89349e+06 352346 648988. 2245.63 2.00 0.154955 0.135709 26050 158493 -1 1989 19 1585 2397 164797 37087 3.78736 3.78736 -135.349 -3.78736 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0225685 0.0199924 148 25 87 29 29 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 9.01 vpr 65.27 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 33948 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66832 32 32 376 288 1 233 88 17 17 289 -1 unnamed_device 26.7 MiB 2.41 1248 15103 4997 7211 2895 65.3 MiB 0.15 0.00 4.13624 -140.197 -4.13624 4.13624 1.03 0.00057239 0.000517822 0.0456166 0.0415814 34 3858 38 6.89349e+06 338252 618332. 2139.56 3.13 0.169234 0.148597 25762 151098 -1 2939 21 2296 3976 312580 68493 4.89875 4.89875 -171.008 -4.89875 0 0 787024. 2723.27 0.35 0.11 0.14 -1 -1 0.35 0.0274709 0.0242765 163 31 96 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 8.03 vpr 65.26 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 34120 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66828 32 32 402 316 1 257 105 17 17 289 -1 unnamed_device 26.5 MiB 2.27 1445 21347 5976 12885 2486 65.3 MiB 0.20 0.00 3.63759 -120.124 -3.63759 3.63759 1.05 0.00057267 0.000521128 0.0541914 0.0492813 34 3630 25 6.89349e+06 577847 618332. 2139.56 2.20 0.190241 0.166267 25762 151098 -1 2830 20 1889 2991 212523 45449 3.4465 3.4465 -136.895 -3.4465 0 0 787024. 2723.27 0.35 0.09 0.14 -1 -1 0.35 0.0285306 0.0253979 179 61 63 32 63 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 6.85 vpr 64.36 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 34280 -1 -1 21 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65908 27 32 269 226 1 164 80 17 17 289 -1 unnamed_device 26.0 MiB 1.92 764 11088 3271 6950 867 64.4 MiB 0.09 0.00 3.0242 -88.9126 -3.0242 3.0242 1.02 0.000434188 0.000393652 0.0290634 0.0264881 34 1852 21 6.89349e+06 295971 618332. 2139.56 1.66 0.128733 0.11261 25762 151098 -1 1637 17 1209 1738 119084 27948 3.09481 3.09481 -108.016 -3.09481 0 0 787024. 2723.27 0.33 0.06 0.15 -1 -1 0.33 0.0194144 0.0173063 112 26 54 27 27 27 +fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 8.33 vpr 64.46 MiB 0.02 7252 -1 -1 1 0.04 -1 -1 33832 -1 -1 35 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66008 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 26.0 MiB 0.91 1065 17648 4880 10424 2344 64.5 MiB 0.14 0.00 2.8004 -95.1457 -2.8004 2.8004 1.04 0.000621783 0.000578099 0.0445314 0.0403764 36 2384 20 6.89349e+06 493284 648988. 2245.63 4.10 0.21302 0.187235 26050 158493 -1 2000 21 1218 1998 133693 30213 2.55621 2.55621 -105.909 -2.55621 0 0 828058. 2865.25 0.34 0.06 0.14 -1 -1 0.34 0.0239755 0.0213207 141 -1 115 31 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 7.10 vpr 64.94 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 33668 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66500 31 32 338 292 1 225 84 17 17 289 -1 unnamed_device 26.4 MiB 1.98 1166 9417 2368 5743 1306 64.9 MiB 0.09 0.00 2.93075 -99.672 -2.93075 2.93075 1.05 0.000495074 0.000449038 0.0284277 0.025887 34 2860 23 6.89349e+06 295971 618332. 2139.56 1.75 0.149613 0.130709 25762 151098 -1 2203 21 1554 1808 139695 31363 2.88531 2.88531 -115.468 -2.88531 0 0 787024. 2723.27 0.35 0.07 0.15 -1 -1 0.35 0.0238965 0.0211331 140 81 0 0 84 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 7.38 vpr 64.91 MiB 0.02 6944 -1 -1 1 0.03 -1 -1 33760 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 32 32 314 256 1 193 83 17 17 289 -1 unnamed_device 26.5 MiB 2.19 796 9623 2396 6316 911 64.9 MiB 0.09 0.00 2.96065 -102.82 -2.96065 2.96065 1.05 0.00049038 0.00044628 0.0292959 0.0267288 34 2408 27 6.89349e+06 267783 618332. 2139.56 1.81 0.145864 0.127379 25762 151098 -1 1857 21 1624 2113 130019 33448 3.26786 3.26786 -130.191 -3.26786 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0224096 0.0196891 127 31 64 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 7.53 vpr 64.89 MiB 0.02 7224 -1 -1 1 0.03 -1 -1 33584 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66448 30 32 325 273 1 203 83 17 17 289 -1 unnamed_device 26.4 MiB 2.55 1075 15563 5702 8120 1741 64.9 MiB 0.13 0.00 3.35114 -111.344 -3.35114 3.35114 1.02 0.000455412 0.000414783 0.043147 0.0392981 34 2423 24 6.89349e+06 295971 618332. 2139.56 1.64 0.155953 0.13624 25762 151098 -1 2085 21 1713 2276 153778 34990 3.57995 3.57995 -133.773 -3.57995 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0240181 0.0212202 135 58 30 30 60 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 7.25 vpr 64.75 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 34152 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66300 32 32 331 280 1 215 84 17 17 289 -1 unnamed_device 26.2 MiB 2.05 1041 16188 5960 7866 2362 64.7 MiB 0.13 0.00 3.0432 -103.308 -3.0432 3.0432 1.04 0.000499693 0.000455853 0.0463187 0.0420726 34 2556 45 6.89349e+06 281877 618332. 2139.56 1.80 0.181929 0.158931 25762 151098 -1 2091 19 1221 1416 107646 24666 3.03551 3.03551 -119.032 -3.03551 0 0 787024. 2723.27 0.32 0.05 0.15 -1 -1 0.32 0.020915 0.018434 135 57 25 25 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 9.37 vpr 65.40 MiB 0.03 7396 -1 -1 1 0.04 -1 -1 34112 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66968 32 32 386 305 1 239 89 17 17 289 -1 unnamed_device 26.7 MiB 1.66 998 17711 7423 8257 2031 65.4 MiB 0.14 0.00 3.35709 -113.566 -3.35709 3.35709 1.06 0.000551982 0.00050019 0.0528668 0.048191 38 2942 43 6.89349e+06 352346 678818. 2348.85 4.12 0.21533 0.189161 26626 170182 -1 2240 31 2036 2706 194462 47160 4.1212 4.1212 -141.01 -4.1212 0 0 902133. 3121.57 0.37 0.10 0.17 -1 -1 0.37 0.0374539 0.0326574 161 55 64 32 57 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 7.36 vpr 65.56 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33888 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67136 32 32 407 319 1 264 92 17 17 289 -1 unnamed_device 26.8 MiB 1.74 1384 17273 5494 9643 2136 65.6 MiB 0.17 0.00 3.99994 -138.165 -3.99994 3.99994 1.02 0.000664659 0.000615582 0.0560219 0.051351 34 3523 45 6.89349e+06 394628 618332. 2139.56 2.17 0.216457 0.191376 25762 151098 -1 2724 22 2278 2983 204651 47764 4.58055 4.58055 -167.862 -4.58055 0 0 787024. 2723.27 0.35 0.09 0.13 -1 -1 0.35 0.0316693 0.0280513 175 60 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 6.78 vpr 64.59 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 33820 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 29 32 272 228 1 171 82 17 17 289 -1 unnamed_device 26.2 MiB 1.78 880 13610 4182 7535 1893 64.6 MiB 0.10 0.00 2.86465 -93.7117 -2.86465 2.86465 1.04 0.000481741 0.000440078 0.0346319 0.0313774 34 2048 36 6.89349e+06 295971 618332. 2139.56 1.64 0.146194 0.12791 25762 151098 -1 1808 19 1092 1532 107089 24800 2.97326 2.97326 -108.543 -2.97326 0 0 787024. 2723.27 0.34 0.05 0.15 -1 -1 0.34 0.0196097 0.0173534 112 21 58 29 24 24 +fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 9.41 vpr 65.48 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 34060 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67048 32 32 401 315 1 256 89 17 17 289 -1 unnamed_device 26.8 MiB 2.92 1374 17909 6202 9054 2653 65.5 MiB 0.18 0.00 3.54049 -121.753 -3.54049 3.54049 1.06 0.000647425 0.000594467 0.0578881 0.0528865 36 3357 37 6.89349e+06 352346 648988. 2245.63 2.89 0.219482 0.194013 26050 158493 -1 2698 21 2442 3948 305682 70151 4.12945 4.12945 -149.454 -4.12945 0 0 828058. 2865.25 0.37 0.12 0.15 -1 -1 0.37 0.032017 0.0285618 174 60 64 32 62 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 7.38 vpr 65.23 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 33704 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 32 32 383 303 1 236 89 17 17 289 -1 unnamed_device 26.6 MiB 1.58 1265 16325 5012 9411 1902 65.2 MiB 0.17 0.00 2.93865 -106.398 -2.93865 2.93865 1.09 0.000739236 0.000643541 0.0527961 0.0479246 34 2973 30 6.89349e+06 352346 618332. 2139.56 2.20 0.193585 0.169518 25762 151098 -1 2519 19 1788 2213 177873 39421 3.28621 3.28621 -132.382 -3.28621 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.0254546 0.0224829 160 54 64 32 56 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 7.83 vpr 65.24 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33556 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66804 32 32 339 284 1 224 86 17 17 289 -1 unnamed_device 26.4 MiB 2.28 1165 15206 5123 7555 2528 65.2 MiB 0.14 0.00 2.80245 -101.976 -2.80245 2.80245 1.06 0.000492895 0.000448896 0.0435791 0.0395704 34 2851 27 6.89349e+06 310065 618332. 2139.56 2.06 0.169819 0.148458 25762 151098 -1 2357 22 1693 2214 167374 36422 2.80126 2.80126 -118.371 -2.80126 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0259191 0.0228857 139 62 29 29 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 5.33 vpr 64.35 MiB 0.02 6888 -1 -1 1 0.03 -1 -1 33884 -1 -1 15 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65892 30 32 226 208 1 142 77 17 17 289 -1 unnamed_device 25.9 MiB 1.19 704 6760 1764 4399 597 64.3 MiB 0.05 0.00 2.41926 -78.0532 -2.41926 2.41926 1.05 0.000594084 0.00054766 0.017179 0.0157016 30 1500 20 6.89349e+06 211408 556674. 1926.21 0.93 0.0675169 0.0593569 25186 138497 -1 1348 19 668 795 47595 11907 2.09982 2.09982 -88.3596 -2.09982 0 0 706193. 2443.58 0.32 0.04 0.12 -1 -1 0.32 0.0157554 0.0138902 85 29 24 24 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 7.32 vpr 64.95 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 34144 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 31 32 335 280 1 217 85 17 17 289 -1 unnamed_device 26.2 MiB 1.76 1106 13663 3627 8067 1969 65.0 MiB 0.12 0.00 3.39499 -118.19 -3.39499 3.39499 1.11 0.000507255 0.000464241 0.0399932 0.036452 34 2805 47 6.89349e+06 310065 618332. 2139.56 2.00 0.183987 0.16086 25762 151098 -1 2325 20 1609 2068 165510 36631 3.42445 3.42445 -137.985 -3.42445 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0238635 0.0210369 141 55 31 31 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 6.02 vpr 65.32 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 33792 -1 -1 40 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66884 32 32 366 283 1 228 104 17 17 289 -1 unnamed_device 26.7 MiB 1.23 1228 17916 6304 9623 1989 65.3 MiB 0.16 0.00 3.85123 -132.257 -3.85123 3.85123 1.13 0.000543249 0.000493626 0.0443908 0.0401967 30 2885 29 6.89349e+06 563754 556674. 1926.21 1.21 0.13755 0.121812 25186 138497 -1 2363 20 1730 2414 164650 36157 4.07844 4.07844 -154.811 -4.07844 0 0 706193. 2443.58 0.33 0.08 0.13 -1 -1 0.33 0.028781 0.02583 166 31 91 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 7.80 vpr 65.67 MiB 0.02 7460 -1 -1 1 0.04 -1 -1 33812 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 32 32 460 375 1 309 95 17 17 289 -1 unnamed_device 27.0 MiB 1.62 1665 20399 7395 10620 2384 65.7 MiB 0.21 0.00 3.45522 -120.822 -3.45522 3.45522 1.12 0.000618845 0.00056192 0.0705882 0.0644058 36 3609 23 6.89349e+06 436909 648988. 2245.63 2.44 0.229408 0.202732 26050 158493 -1 2903 23 2373 2697 185880 42188 3.76066 3.76066 -143.651 -3.76066 0 0 828058. 2865.25 0.35 0.09 0.16 -1 -1 0.35 0.0351117 0.0311254 201 108 0 0 125 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 6.62 vpr 64.15 MiB 0.02 6836 -1 -1 1 0.03 -1 -1 34216 -1 -1 18 26 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65688 26 32 198 186 1 129 76 17 17 289 -1 unnamed_device 25.8 MiB 1.68 619 10316 4300 5417 599 64.1 MiB 0.07 0.00 2.21891 -63.9921 -2.21891 2.21891 1.10 0.000324781 0.000297187 0.0231079 0.0210326 34 1429 24 6.89349e+06 253689 618332. 2139.56 1.57 0.103787 0.0900629 25762 151098 -1 1204 20 707 913 71781 16258 2.07712 2.07712 -73.5157 -2.07712 0 0 787024. 2723.27 0.35 0.05 0.15 -1 -1 0.35 0.0171156 0.015178 77 21 26 26 22 22 +fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 7.07 vpr 64.86 MiB 0.03 7312 -1 -1 1 0.04 -1 -1 34156 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66416 32 32 333 251 1 196 85 17 17 289 -1 unnamed_device 26.4 MiB 1.52 1058 15895 5598 7593 2704 64.9 MiB 0.15 0.00 3.37604 -118.553 -3.37604 3.37604 1.10 0.000518604 0.00047322 0.0481986 0.0439065 34 2601 23 6.89349e+06 295971 618332. 2139.56 1.96 0.177419 0.155603 25762 151098 -1 2247 21 1729 2984 225749 50032 3.73905 3.73905 -142.245 -3.73905 0 0 787024. 2723.27 0.35 0.09 0.15 -1 -1 0.35 0.0278608 0.0246518 141 -1 122 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 4.67 vpr 64.05 MiB 0.02 6752 -1 -1 1 0.03 -1 -1 33828 -1 -1 12 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65592 32 32 199 182 1 122 76 17 17 289 -1 unnamed_device 25.6 MiB 0.45 694 11596 4819 6583 194 64.1 MiB 0.08 0.00 1.93068 -74.4222 -1.93068 1.93068 1.07 0.000344842 0.000314835 0.0272083 0.0248004 28 1475 24 6.89349e+06 169126 531479. 1839.03 0.94 0.0763703 0.067286 24610 126494 -1 1366 19 657 988 76148 17619 1.99382 1.99382 -88.3493 -1.99382 0 0 648988. 2245.63 0.29 0.04 0.12 -1 -1 0.29 0.0160042 0.0141252 71 -1 53 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 7.14 vpr 65.38 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34024 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 32 32 376 288 1 233 89 17 17 289 -1 unnamed_device 26.5 MiB 1.75 1003 10781 3091 7273 417 65.4 MiB 0.12 0.00 3.69075 -124.253 -3.69075 3.69075 1.04 0.000524542 0.000477183 0.0330403 0.0300098 34 3011 25 6.89349e+06 352346 618332. 2139.56 1.97 0.167245 0.146116 25762 151098 -1 2242 21 1862 2585 165379 41029 3.95366 3.95366 -154.028 -3.95366 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0285117 0.0250806 161 21 96 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 5.54 vpr 65.11 MiB 0.02 7324 -1 -1 1 0.04 -1 -1 33832 -1 -1 36 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 32 32 337 253 1 198 100 17 17 289 -1 unnamed_device 26.4 MiB 0.93 1061 13092 3507 8346 1239 65.1 MiB 0.13 0.00 2.7803 -99.7348 -2.7803 2.7803 1.09 0.000576013 0.000528534 0.0330667 0.0300116 32 2605 26 6.89349e+06 507378 586450. 2029.24 1.13 0.114986 0.101579 25474 144626 -1 2078 20 1533 2423 154957 36761 2.82461 2.82461 -120.134 -2.82461 0 0 744469. 2576.02 0.32 0.07 0.14 -1 -1 0.32 0.0249683 0.022067 151 -1 124 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 7.59 vpr 65.48 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 34220 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67048 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.7 MiB 2.01 1298 13758 4399 6940 2419 65.5 MiB 0.14 0.00 3.69695 -129.07 -3.69695 3.69695 1.03 0.000601382 0.000541485 0.0438108 0.0401219 34 3820 27 6.89349e+06 366440 618332. 2139.56 2.15 0.207617 0.184684 25762 151098 -1 2813 21 2304 3387 225516 53158 4.08516 4.08516 -156.476 -4.08516 0 0 787024. 2723.27 0.35 0.10 0.14 -1 -1 0.35 0.031599 0.0282974 174 54 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 7.65 vpr 64.73 MiB 0.02 6988 -1 -1 1 0.03 -1 -1 34068 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 32 32 294 246 1 188 81 17 17 289 -1 unnamed_device 26.3 MiB 2.39 1112 8306 2267 5442 597 64.7 MiB 0.08 0.00 2.94175 -107.545 -2.94175 2.94175 1.09 0.000470906 0.000429321 0.0255793 0.023325 34 2632 19 6.89349e+06 239595 618332. 2139.56 1.82 0.138174 0.120893 25762 151098 -1 2322 18 1496 2104 172983 37085 2.96946 2.96946 -127.29 -2.96946 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.020266 0.0179349 118 31 54 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 7.32 vpr 64.69 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 33852 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 30 32 296 244 1 182 81 17 17 289 -1 unnamed_device 26.3 MiB 2.09 1031 13381 4201 7573 1607 64.7 MiB 0.12 0.00 3.51049 -117.195 -3.51049 3.51049 1.07 0.000463242 0.000421593 0.0387156 0.035273 34 2534 26 6.89349e+06 267783 618332. 2139.56 1.79 0.16094 0.141294 25762 151098 -1 2191 21 1557 2389 185316 39931 3.567 3.567 -135.328 -3.567 0 0 787024. 2723.27 0.36 0.08 0.15 -1 -1 0.36 0.0251408 0.0223581 121 29 60 30 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 7.31 vpr 64.45 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 33860 -1 -1 21 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66000 28 32 278 232 1 173 81 17 17 289 -1 unnamed_device 26.1 MiB 2.74 978 13731 4039 8075 1617 64.5 MiB 0.12 0.00 3.45729 -108.263 -3.45729 3.45729 1.05 0.000409344 0.000372606 0.0368403 0.0334997 30 2271 44 6.89349e+06 295971 556674. 1926.21 1.16 0.117984 0.103402 25186 138497 -1 1882 21 1207 2031 137888 29980 3.6641 3.6641 -131.075 -3.6641 0 0 706193. 2443.58 0.31 0.07 0.14 -1 -1 0.31 0.0225058 0.0198622 115 27 56 28 28 28 +fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 6.62 vpr 64.58 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 33992 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66132 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.0 MiB 1.39 933 15216 5574 7707 1935 64.6 MiB 0.12 0.00 2.85355 -104.926 -2.85355 2.85355 1.07 0.000489231 0.00044355 0.044451 0.0404812 34 2201 22 6.89349e+06 225501 618332. 2139.56 1.79 0.15228 0.133493 25762 151098 -1 1861 17 1313 2116 138188 32065 3.02916 3.02916 -124.55 -3.02916 0 0 787024. 2723.27 0.33 0.06 0.15 -1 -1 0.33 0.021035 0.0188046 114 -1 96 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 6.43 vpr 64.72 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 33940 -1 -1 19 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66272 31 32 303 249 1 191 82 17 17 289 -1 unnamed_device 26.3 MiB 1.81 870 10762 3001 6433 1328 64.7 MiB 0.11 0.00 2.93565 -101.776 -2.93565 2.93565 1.09 0.000522066 0.000478894 0.0320071 0.0292025 32 2746 39 6.89349e+06 267783 586450. 2029.24 1.19 0.116642 0.102925 25474 144626 -1 1969 21 1267 1742 132300 31066 2.98416 2.98416 -120.652 -2.98416 0 0 744469. 2576.02 0.32 0.07 0.14 -1 -1 0.32 0.0236772 0.0209642 121 26 61 31 31 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 6.92 vpr 64.84 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 33988 -1 -1 23 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66400 29 32 312 264 1 201 84 17 17 289 -1 unnamed_device 26.3 MiB 1.95 935 8868 2279 5871 718 64.8 MiB 0.09 0.00 2.91975 -90.5498 -2.91975 2.91975 1.07 0.000506963 0.000462082 0.0262348 0.0239482 34 2226 26 6.89349e+06 324158 618332. 2139.56 1.60 0.133695 0.11632 25762 151098 -1 1780 18 1199 1580 93908 23090 2.98451 2.98451 -106.557 -2.98451 0 0 787024. 2723.27 0.32 0.05 0.15 -1 -1 0.32 0.0205524 0.0181595 130 55 29 29 57 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 8.21 vpr 65.31 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34036 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66876 32 32 423 310 1 254 91 17 17 289 -1 unnamed_device 26.6 MiB 2.36 1265 16207 4192 9519 2496 65.3 MiB 0.18 0.00 3.73615 -128.74 -3.73615 3.73615 1.03 0.000710299 0.000651697 0.0612631 0.0562728 34 3431 37 6.89349e+06 380534 618332. 2139.56 2.36 0.243626 0.216074 25762 151098 -1 2632 23 2177 3585 253829 57838 3.97376 3.97376 -152.363 -3.97376 0 0 787024. 2723.27 0.34 0.10 0.13 -1 -1 0.34 0.035506 0.0316109 184 26 128 32 27 27 +fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 8.57 vpr 65.57 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 33896 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 32 32 403 317 1 260 89 17 17 289 -1 unnamed_device 26.9 MiB 2.14 1179 14543 5233 7322 1988 65.6 MiB 0.15 0.00 3.39214 -118.219 -3.39214 3.39214 1.13 0.00056988 0.000515434 0.0495424 0.0450314 34 3969 32 6.89349e+06 352346 618332. 2139.56 2.79 0.209111 0.183713 25762 151098 -1 2811 22 2651 3609 303516 67006 3.90255 3.90255 -155.999 -3.90255 0 0 787024. 2723.27 0.34 0.11 0.15 -1 -1 0.34 0.0318762 0.0282632 173 62 62 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 6.54 vpr 65.11 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 33932 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66668 31 32 353 302 1 229 85 17 17 289 -1 unnamed_device 26.3 MiB 1.14 1033 15151 6378 8217 556 65.1 MiB 0.13 0.00 2.90265 -97.7447 -2.90265 2.90265 1.08 0.000536164 0.000487201 0.0443941 0.0403161 34 2688 25 6.89349e+06 310065 618332. 2139.56 1.91 0.171532 0.150696 25762 151098 -1 2109 21 1450 1514 122931 28043 3.04026 3.04026 -115.912 -3.04026 0 0 787024. 2723.27 0.37 0.07 0.15 -1 -1 0.37 0.0267887 0.0238317 143 77 0 0 89 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 8.41 vpr 65.48 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 34160 -1 -1 26 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 31 32 391 309 1 246 89 17 17 289 -1 unnamed_device 26.8 MiB 2.88 1311 14939 4891 7553 2495 65.5 MiB 0.15 0.00 3.38219 -115.825 -3.38219 3.38219 1.06 0.00058174 0.000532005 0.0475317 0.0434099 34 3319 25 6.89349e+06 366440 618332. 2139.56 1.95 0.191622 0.168284 25762 151098 -1 2595 19 1856 2609 195096 43572 3.4497 3.4497 -136.548 -3.4497 0 0 787024. 2723.27 0.33 0.08 0.16 -1 -1 0.33 0.0277204 0.0245209 170 59 60 30 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 10.57 vpr 65.41 MiB 0.03 7420 -1 -1 1 0.04 -1 -1 34160 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66980 31 32 455 371 1 307 94 17 17 289 -1 unnamed_device 26.8 MiB 2.39 1565 18412 6105 9754 2553 65.4 MiB 0.18 0.00 4.10624 -137.224 -4.10624 4.10624 1.02 0.000602673 0.000548138 0.0563473 0.0512429 36 3655 28 6.89349e+06 436909 648988. 2245.63 4.67 0.287541 0.251783 26050 158493 -1 2982 21 2376 2664 210410 44916 4.52534 4.52534 -157.282 -4.52534 0 0 828058. 2865.25 0.36 0.10 0.15 -1 -1 0.36 0.0334262 0.0297378 201 111 0 0 124 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 11.54 vpr 65.45 MiB 0.03 7424 -1 -1 1 0.04 -1 -1 33964 -1 -1 28 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67024 31 32 413 333 1 269 91 17 17 289 -1 unnamed_device 26.7 MiB 3.05 1417 18043 6920 8436 2687 65.5 MiB 0.16 0.00 4.48427 -140.263 -4.48427 4.48427 1.06 0.000558408 0.000504993 0.0533831 0.0485351 38 3143 31 6.89349e+06 394628 678818. 2348.85 4.88 0.265179 0.23094 26626 170182 -1 2730 20 2058 2842 221857 47211 4.77864 4.77864 -166.892 -4.77864 0 0 902133. 3121.57 0.39 0.09 0.17 -1 -1 0.39 0.0300314 0.0266872 181 86 31 31 89 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 7.10 vpr 65.38 MiB 0.02 7444 -1 -1 1 0.04 -1 -1 33888 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 31 32 391 309 1 249 90 17 17 289 -1 unnamed_device 26.7 MiB 1.91 1343 11346 3211 6791 1344 65.4 MiB 0.12 0.00 2.99685 -105.974 -2.99685 2.99685 1.02 0.000638642 0.000588946 0.034921 0.0319904 34 2991 22 6.89349e+06 380534 618332. 2139.56 1.87 0.180041 0.159662 25762 151098 -1 2509 19 2087 2854 190870 44244 3.33921 3.33921 -126.948 -3.33921 0 0 787024. 2723.27 0.34 0.08 0.13 -1 -1 0.34 0.0273603 0.0245115 168 58 60 31 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 10.32 vpr 65.58 MiB 0.02 7388 -1 -1 1 0.04 -1 -1 34316 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67156 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 26.9 MiB 2.40 1242 16615 5521 8570 2524 65.6 MiB 0.16 0.00 3.75005 -128.828 -3.75005 3.75005 1.02 0.000548463 0.000498102 0.0490552 0.0445686 40 2657 22 6.89349e+06 380534 706193. 2443.58 4.39 0.234465 0.20371 26914 176310 -1 2455 21 1899 2458 195769 44852 4.17936 4.17936 -156.017 -4.17936 0 0 926341. 3205.33 0.38 0.09 0.18 -1 -1 0.38 0.0301561 0.0267298 178 42 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 8.95 vpr 65.48 MiB 0.03 7596 -1 -1 1 0.04 -1 -1 33812 -1 -1 31 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67052 32 32 496 380 1 321 95 17 17 289 -1 unnamed_device 27.1 MiB 2.72 1546 16295 4181 9580 2534 65.5 MiB 0.20 0.00 4.06108 -136.878 -4.06108 4.06108 1.06 0.000725991 0.000660087 0.0604536 0.054984 36 4290 24 6.89349e+06 436909 648988. 2245.63 2.53 0.197722 0.174402 26050 158493 -1 3345 22 2926 4262 321880 70612 4.63405 4.63405 -169.086 -4.63405 0 0 828058. 2865.25 0.36 0.12 0.15 -1 -1 0.36 0.0355239 0.0315628 220 91 62 32 96 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 7.19 vpr 64.78 MiB 0.02 7228 -1 -1 1 0.04 -1 -1 33724 -1 -1 20 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66336 31 32 305 250 1 192 83 17 17 289 -1 unnamed_device 26.3 MiB 2.10 877 11423 4028 5276 2119 64.8 MiB 0.10 0.00 3.1513 -109.15 -3.1513 3.1513 1.08 0.000483234 0.000436707 0.0334984 0.0305164 34 2184 22 6.89349e+06 281877 618332. 2139.56 1.68 0.149747 0.131472 25762 151098 -1 1850 19 1474 1899 137901 32634 2.91301 2.91301 -120.665 -2.91301 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0220467 0.0195736 127 24 62 31 31 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 8.33 vpr 65.38 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 34168 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66948 31 32 395 311 1 251 90 17 17 289 -1 unnamed_device 26.7 MiB 2.05 1099 10341 2791 6854 696 65.4 MiB 0.12 0.00 3.99994 -128.709 -3.99994 3.99994 1.06 0.000675111 0.000616945 0.0370014 0.0337416 36 2899 26 6.89349e+06 380534 648988. 2245.63 2.79 0.183874 0.161301 26050 158493 -1 2382 16 1626 2018 129372 31862 4.37725 4.37725 -154.707 -4.37725 0 0 828058. 2865.25 0.34 0.06 0.15 -1 -1 0.34 0.0226715 0.0201334 168 59 62 31 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 8.27 vpr 65.25 MiB 0.03 7316 -1 -1 1 0.04 -1 -1 34092 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66812 32 32 397 313 1 254 91 17 17 289 -1 unnamed_device 26.6 MiB 2.30 1327 16003 4972 8499 2532 65.2 MiB 0.16 0.00 3.76109 -127.031 -3.76109 3.76109 1.04 0.000647393 0.00058856 0.0477469 0.0434722 34 3599 25 6.89349e+06 380534 618332. 2139.56 2.46 0.197627 0.173951 25762 151098 -1 2796 19 1678 2593 180850 41756 3.7033 3.7033 -145.865 -3.7033 0 0 787024. 2723.27 0.36 0.08 0.15 -1 -1 0.36 0.0287489 0.0256421 172 54 62 32 62 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 6.99 vpr 65.05 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 33796 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66612 32 32 345 257 1 202 85 17 17 289 -1 unnamed_device 26.5 MiB 1.48 1196 17383 5737 10301 1345 65.1 MiB 0.16 0.00 3.58259 -130.98 -3.58259 3.58259 0.99 0.000489113 0.000448967 0.0526126 0.0478799 34 3200 22 6.89349e+06 295971 618332. 2139.56 2.17 0.191538 0.169864 25762 151098 -1 2579 24 2193 3973 283873 63005 3.9236 3.9236 -155.361 -3.9236 0 0 787024. 2723.27 0.34 0.11 0.13 -1 -1 0.34 0.0317166 0.0282848 147 -1 128 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 7.59 vpr 65.17 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 33864 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 32 32 424 343 1 281 92 17 17 289 -1 unnamed_device 26.4 MiB 1.94 1351 18308 6165 9572 2571 65.2 MiB 0.17 0.00 3.53749 -120.41 -3.53749 3.53749 1.01 0.000591168 0.000534879 0.0534042 0.0484835 36 3040 19 6.89349e+06 394628 648988. 2245.63 2.23 0.186763 0.163591 26050 158493 -1 2558 18 1764 2027 157713 35972 3.5498 3.5498 -133.304 -3.5498 0 0 828058. 2865.25 0.35 0.07 0.15 -1 -1 0.35 0.0256906 0.0227261 184 81 25 25 96 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 10.27 vpr 65.48 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33568 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67048 32 32 395 311 1 255 91 17 17 289 -1 unnamed_device 26.8 MiB 2.68 1255 17227 6620 7863 2744 65.5 MiB 0.16 0.00 3.52949 -119.594 -3.52949 3.52949 1.04 0.000548406 0.000499546 0.0518075 0.0470261 36 3423 29 6.89349e+06 380534 648988. 2245.63 4.05 0.194092 0.169756 26050 158493 -1 2501 23 2074 3155 257093 57743 3.7674 3.7674 -143.3 -3.7674 0 0 828058. 2865.25 0.36 0.10 0.16 -1 -1 0.36 0.0320294 0.0283096 169 58 64 32 60 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 7.73 vpr 65.59 MiB 0.02 7420 -1 -1 1 0.04 -1 -1 33764 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67168 32 32 405 318 1 260 91 17 17 289 -1 unnamed_device 26.9 MiB 2.12 1354 17431 5133 10513 1785 65.6 MiB 0.19 0.00 2.98875 -108.36 -2.98875 2.98875 1.05 0.000585276 0.000531314 0.0577492 0.0524953 34 3385 30 6.89349e+06 380534 618332. 2139.56 2.10 0.204318 0.179427 25762 151098 -1 2721 22 2384 3315 244953 55370 3.24886 3.24886 -133.679 -3.24886 0 0 787024. 2723.27 0.32 0.09 0.15 -1 -1 0.32 0.0291799 0.0257616 175 61 63 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 7.27 vpr 65.24 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 33820 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 376 288 1 233 88 17 17 289 -1 unnamed_device 26.3 MiB 2.02 1183 9838 2755 6415 668 65.2 MiB 0.11 0.00 3.69075 -132.346 -3.69075 3.69075 1.04 0.000589981 0.000535739 0.0329503 0.0302744 34 2957 23 6.89349e+06 338252 618332. 2139.56 1.85 0.17449 0.154193 25762 151098 -1 2384 24 2020 2944 226473 49629 4.05996 4.05996 -156.446 -4.05996 0 0 787024. 2723.27 0.33 0.09 0.15 -1 -1 0.33 0.0303053 0.0265459 161 21 96 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 6.94 vpr 65.62 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 34200 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67192 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 26.9 MiB 1.60 1279 9475 2150 6759 566 65.6 MiB 0.10 0.00 3.72815 -130.456 -3.72815 3.72815 1.03 0.000605232 0.000553172 0.0297719 0.0270263 38 2837 20 6.89349e+06 380534 678818. 2348.85 1.87 0.168616 0.148098 26626 170182 -1 2603 19 2016 2557 178902 38839 3.89586 3.89586 -152.177 -3.89586 0 0 902133. 3121.57 0.38 0.08 0.16 -1 -1 0.38 0.0275239 0.024532 177 50 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 7.21 vpr 65.61 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 34268 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67184 31 32 449 367 1 300 94 17 17 289 -1 unnamed_device 27.0 MiB 1.46 1553 9466 2213 6438 815 65.6 MiB 0.11 0.00 3.94494 -124.97 -3.94494 3.94494 1.01 0.000791358 0.000729344 0.0344846 0.0317574 36 3537 33 6.89349e+06 436909 648988. 2245.63 2.42 0.208063 0.183691 26050 158493 -1 2868 19 1847 2180 151713 34700 4.0021 4.0021 -143.144 -4.0021 0 0 828058. 2865.25 0.35 0.08 0.14 -1 -1 0.35 0.0307056 0.0274748 195 110 0 0 122 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 9.36 vpr 65.59 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 33948 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67164 32 32 432 346 1 287 91 17 17 289 -1 unnamed_device 26.8 MiB 3.25 1497 12943 3721 8398 824 65.6 MiB 0.14 0.00 3.77645 -131.13 -3.77645 3.77645 1.06 0.000710216 0.000650839 0.0440181 0.0401589 34 3886 28 6.89349e+06 380534 618332. 2139.56 2.63 0.200336 0.176724 25762 151098 -1 3096 23 2833 4141 302579 66753 4.079 4.079 -154.706 -4.079 0 0 787024. 2723.27 0.34 0.11 0.14 -1 -1 0.34 0.032596 0.0287749 190 86 32 32 94 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 6.98 vpr 64.59 MiB 0.02 6968 -1 -1 1 0.03 -1 -1 34220 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66140 32 32 312 255 1 198 85 17 17 289 -1 unnamed_device 26.2 MiB 1.98 1056 12733 3970 7769 994 64.6 MiB 0.11 0.00 2.93565 -109.645 -2.93565 2.93565 1.01 0.000515327 0.000469648 0.0341733 0.0311483 34 2464 31 6.89349e+06 295971 618332. 2139.56 1.74 0.149062 0.130371 25762 151098 -1 1966 21 1293 1872 118068 27494 2.86186 2.86186 -122.455 -2.86186 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.0215929 0.0189793 127 20 63 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 7.21 vpr 65.22 MiB 0.02 7048 -1 -1 1 0.03 -1 -1 33576 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66788 32 32 370 314 1 250 85 17 17 289 -1 unnamed_device 26.6 MiB 1.86 1255 9943 2487 6713 743 65.2 MiB 0.11 0.00 3.40739 -119.801 -3.40739 3.40739 1.07 0.000544376 0.000495465 0.0316452 0.0288724 34 3229 29 6.89349e+06 295971 618332. 2139.56 1.95 0.1671 0.146454 25762 151098 -1 2531 21 1962 2374 184173 40269 3.66399 3.66399 -142.771 -3.66399 0 0 787024. 2723.27 0.33 0.08 0.15 -1 -1 0.33 0.0256438 0.0225946 154 91 0 0 94 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 8.71 vpr 65.63 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 34340 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67208 32 32 469 351 1 298 94 17 17 289 -1 unnamed_device 27.1 MiB 2.29 1646 16495 6866 8714 915 65.6 MiB 0.18 0.00 4.44419 -152.513 -4.44419 4.44419 1.03 0.000716414 0.000650912 0.0538774 0.0490629 34 5030 49 6.89349e+06 422815 618332. 2139.56 2.88 0.216398 0.189725 25762 151098 -1 3445 26 3213 4451 333871 77768 5.0126 5.0126 -187.928 -5.0126 0 0 787024. 2723.27 0.33 0.13 0.15 -1 -1 0.33 0.0391615 0.0347296 209 53 96 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 7.70 vpr 65.29 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 33792 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 32 32 368 284 1 225 87 17 17 289 -1 unnamed_device 26.4 MiB 2.24 1131 14487 4595 7729 2163 65.3 MiB 0.14 0.00 3.029 -109.871 -3.029 3.029 1.04 0.000576491 0.00052531 0.0461216 0.0420411 34 2852 20 6.89349e+06 324158 618332. 2139.56 2.03 0.180843 0.159653 25762 151098 -1 2311 20 1835 2677 211801 46715 3.11861 3.11861 -125.849 -3.11861 0 0 787024. 2723.27 0.34 0.08 0.15 -1 -1 0.34 0.0267899 0.0237108 156 31 92 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 6.51 vpr 64.89 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 33856 -1 -1 32 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66444 30 32 296 244 1 183 94 17 17 289 -1 unnamed_device 26.4 MiB 1.41 945 18199 5085 11326 1788 64.9 MiB 0.15 0.00 3.49649 -110.717 -3.49649 3.49649 1.09 0.00047206 0.000418492 0.04202 0.0379413 34 2174 23 6.89349e+06 451003 618332. 2139.56 1.65 0.151928 0.133158 25762 151098 -1 1813 19 1208 1852 117722 28019 3.3164 3.3164 -122.91 -3.3164 0 0 787024. 2723.27 0.34 0.06 0.15 -1 -1 0.34 0.0218483 0.0193884 129 29 60 30 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 9.03 vpr 65.83 MiB 0.03 7512 -1 -1 1 0.04 -1 -1 34612 -1 -1 35 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67412 32 32 531 413 1 356 99 17 17 289 -1 unnamed_device 27.4 MiB 2.26 1818 21987 7425 11800 2762 65.8 MiB 0.26 0.00 4.71793 -158.706 -4.71793 4.71793 1.04 0.000931619 0.000838186 0.0788667 0.0715008 36 4369 27 6.89349e+06 493284 648988. 2245.63 3.08 0.251747 0.221558 26050 158493 -1 3498 23 2995 3667 264216 59386 5.64954 5.64954 -189.915 -5.64954 0 0 828058. 2865.25 0.33 0.11 0.15 -1 -1 0.33 0.0379804 0.0336892 239 109 32 32 128 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 6.82 vpr 65.35 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 33884 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66916 32 32 376 288 1 225 87 17 17 289 -1 unnamed_device 26.5 MiB 1.55 1149 12375 3766 7581 1028 65.3 MiB 0.12 0.00 3.54039 -127.102 -3.54039 3.54039 1.02 0.000658908 0.000609873 0.0400045 0.0365045 34 3011 40 6.89349e+06 324158 618332. 2139.56 1.93 0.202314 0.179417 25762 151098 -1 2444 24 2403 3273 242467 54862 4.13836 4.13836 -157.648 -4.13836 0 0 787024. 2723.27 0.34 0.10 0.13 -1 -1 0.34 0.0319193 0.0284553 159 31 96 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 5.24 vpr 64.83 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 33936 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 32 32 283 225 1 168 97 17 17 289 -1 unnamed_device 26.4 MiB 0.68 789 10309 2500 6824 985 64.8 MiB 0.09 0.00 2.98385 -103.889 -2.98385 2.98385 1.07 0.000491056 0.000445825 0.0230817 0.0210657 28 2317 20 6.89349e+06 465097 531479. 1839.03 1.22 0.0890479 0.0781538 24610 126494 -1 2041 21 1464 2354 203784 45164 3.00416 3.00416 -126.018 -3.00416 0 0 648988. 2245.63 0.28 0.08 0.13 -1 -1 0.28 0.0227899 0.0200492 123 -1 96 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 8.57 vpr 65.55 MiB 0.03 7220 -1 -1 1 0.04 -1 -1 34572 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67124 32 32 438 320 1 267 93 17 17 289 -1 unnamed_device 26.8 MiB 2.39 1530 14163 4447 7626 2090 65.6 MiB 0.16 0.00 4.29569 -150.238 -4.29569 4.29569 1.04 0.000594744 0.000539167 0.0485275 0.04414 34 3958 37 6.89349e+06 408721 618332. 2139.56 2.72 0.20993 0.18372 25762 151098 -1 3210 20 2509 3893 347853 70680 5.1379 5.1379 -187.928 -5.1379 0 0 787024. 2723.27 0.32 0.11 0.15 -1 -1 0.32 0.0295804 0.0262972 194 26 128 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 6.35 vpr 64.69 MiB 0.02 6980 -1 -1 1 0.04 -1 -1 33992 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66244 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.1 MiB 1.07 694 14528 4241 8915 1372 64.7 MiB 0.11 0.00 3.095 -107.662 -3.095 3.095 1.04 0.000429956 0.000391656 0.0385448 0.035083 34 2149 44 6.89349e+06 225501 618332. 2139.56 1.86 0.160924 0.140614 25762 151098 -1 1733 20 1473 2418 161661 39128 3.16251 3.16251 -128.422 -3.16251 0 0 787024. 2723.27 0.35 0.07 0.15 -1 -1 0.35 0.0220206 0.019469 114 -1 96 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 6.97 vpr 64.91 MiB 0.02 7260 -1 -1 1 0.03 -1 -1 34028 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66464 30 32 296 244 1 185 81 17 17 289 -1 unnamed_device 26.5 MiB 1.50 804 9706 2280 6953 473 64.9 MiB 0.09 0.00 2.94665 -97.2537 -2.94665 2.94665 1.09 0.000467175 0.000426178 0.0277809 0.0253051 34 2311 43 6.89349e+06 267783 618332. 2139.56 2.01 0.134706 0.118468 25762 151098 -1 1756 22 1442 1940 151945 34319 2.96661 2.96661 -114.95 -2.96661 0 0 787024. 2723.27 0.36 0.07 0.15 -1 -1 0.36 0.0228993 0.0201806 121 29 60 30 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 7.15 vpr 65.05 MiB 0.02 7508 -1 -1 1 0.04 -1 -1 34136 -1 -1 31 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 29 32 393 319 1 253 92 17 17 289 -1 unnamed_device 26.4 MiB 1.84 1264 14996 3942 9257 1797 65.0 MiB 0.14 0.00 3.34494 -104.206 -3.34494 3.34494 1.06 0.000935132 0.000800925 0.0449787 0.0407322 34 2819 23 6.89349e+06 436909 618332. 2139.56 1.76 0.180781 0.158753 25762 151098 -1 2347 20 1692 2301 166504 38081 3.3197 3.3197 -120.824 -3.3197 0 0 787024. 2723.27 0.36 0.07 0.15 -1 -1 0.36 0.0273101 0.0242668 171 81 29 29 85 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 7.57 vpr 65.40 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 34156 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.7 MiB 2.18 1407 14361 3814 9147 1400 65.4 MiB 0.14 0.00 4.41804 -153.474 -4.41804 4.41804 1.03 0.000791737 0.000733174 0.0499284 0.0455935 34 3640 38 6.89349e+06 366440 618332. 2139.56 1.96 0.213724 0.189537 25762 151098 -1 3024 23 2587 3647 299472 66442 4.78315 4.78315 -181.114 -4.78315 0 0 787024. 2723.27 0.34 0.11 0.13 -1 -1 0.34 0.0334852 0.0299717 178 53 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 8.20 vpr 65.54 MiB 0.03 7220 -1 -1 1 0.04 -1 -1 34408 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67112 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.8 MiB 2.08 1278 16974 4849 9416 2709 65.5 MiB 0.17 0.00 4.06404 -140.706 -4.06404 4.06404 1.08 0.000659395 0.000600318 0.056027 0.0511367 36 3208 25 6.89349e+06 366440 648988. 2245.63 2.50 0.202801 0.179194 26050 158493 -1 2630 20 2148 3052 235390 50333 4.33495 4.33495 -162.727 -4.33495 0 0 828058. 2865.25 0.37 0.09 0.15 -1 -1 0.37 0.0290382 0.0259206 175 55 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 6.85 vpr 64.97 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 34200 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66532 32 32 345 287 1 218 85 17 17 289 -1 unnamed_device 26.4 MiB 1.58 1094 13291 3976 7145 2170 65.0 MiB 0.12 0.00 3.42319 -121.693 -3.42319 3.42319 1.03 0.000502175 0.00045739 0.040463 0.036678 34 2596 22 6.89349e+06 295971 618332. 2139.56 1.81 0.167605 0.147395 25762 151098 -1 2166 20 1448 1603 106038 25581 3.4827 3.4827 -138.261 -3.4827 0 0 787024. 2723.27 0.37 0.06 0.15 -1 -1 0.37 0.0253154 0.0225665 141 55 32 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 7.29 vpr 65.38 MiB 0.02 7368 -1 -1 1 0.04 -1 -1 34016 -1 -1 22 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66944 31 32 353 302 1 231 85 17 17 289 -1 unnamed_device 26.5 MiB 2.19 1021 7339 1752 5245 342 65.4 MiB 0.07 0.00 3.36019 -110.352 -3.36019 3.36019 1.00 0.000515768 0.000468784 0.0225004 0.0204804 34 2614 31 6.89349e+06 310065 618332. 2139.56 1.82 0.151879 0.131705 25762 151098 -1 1930 21 1374 1716 114000 27704 3.2725 3.2725 -124.625 -3.2725 0 0 787024. 2723.27 0.32 0.06 0.15 -1 -1 0.32 0.0248294 0.0218399 146 82 0 0 89 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 8.14 vpr 65.11 MiB 0.03 7308 -1 -1 1 0.04 -1 -1 34176 -1 -1 29 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66676 30 32 374 297 1 236 91 17 17 289 -1 unnamed_device 26.5 MiB 2.48 1201 18043 5595 9658 2790 65.1 MiB 0.19 0.00 3.073 -103.876 -3.073 3.073 1.08 0.000644381 0.000590709 0.0520454 0.0474889 34 3015 41 6.89349e+06 408721 618332. 2139.56 2.02 0.202112 0.176646 25762 151098 -1 2296 20 1691 2467 166809 38954 3.09331 3.09331 -119.881 -3.09331 0 0 787024. 2723.27 0.35 0.08 0.15 -1 -1 0.35 0.025716 0.0227618 164 52 60 30 57 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 6.96 vpr 64.91 MiB 0.03 7400 -1 -1 1 0.04 -1 -1 34024 -1 -1 27 28 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66472 28 32 332 260 1 203 87 17 17 289 -1 unnamed_device 26.4 MiB 1.56 1016 15063 5032 7248 2783 64.9 MiB 0.15 0.00 3.63875 -113.277 -3.63875 3.63875 1.09 0.000487292 0.000441311 0.0446009 0.0405931 34 2379 23 6.89349e+06 380534 618332. 2139.56 1.85 0.165443 0.144873 25762 151098 -1 2017 20 1487 2087 146302 33230 3.99576 3.99576 -131.659 -3.99576 0 0 787024. 2723.27 0.36 0.07 0.15 -1 -1 0.36 0.0244827 0.0215333 145 20 84 28 28 28 +fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 8.37 vpr 64.76 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 33740 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66312 30 32 325 273 1 208 83 17 17 289 -1 unnamed_device 26.2 MiB 2.47 1073 10883 2968 7182 733 64.8 MiB 0.11 0.00 3.43529 -112.681 -3.43529 3.43529 1.08 0.000499946 0.000454937 0.03206 0.0291488 34 2824 45 6.89349e+06 295971 618332. 2139.56 2.43 0.175518 0.15341 25762 151098 -1 2228 23 1748 2379 184818 41336 3.83965 3.83965 -142.615 -3.83965 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0248551 0.0218294 136 58 30 30 60 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 7.86 vpr 65.24 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 33796 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66808 32 32 361 308 1 245 85 17 17 289 -1 unnamed_device 26.4 MiB 2.21 1411 14593 4097 8476 2020 65.2 MiB 0.15 0.00 3.0132 -107.87 -3.0132 3.0132 1.12 0.000621261 0.000570544 0.0464549 0.0422958 34 3393 25 6.89349e+06 295971 618332. 2139.56 1.99 0.15278 0.134902 25762 151098 -1 2646 22 2024 2396 193378 41799 3.13881 3.13881 -126.515 -3.13881 0 0 787024. 2723.27 0.35 0.09 0.15 -1 -1 0.35 0.0290795 0.0259205 150 88 0 0 91 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 5.85 vpr 65.15 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 33728 -1 -1 37 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66712 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 26.4 MiB 0.96 1065 10540 2526 7277 737 65.1 MiB 0.11 0.00 3.42729 -118.406 -3.42729 3.42729 1.10 0.000666455 0.000604197 0.0276244 0.0251138 32 3133 39 6.89349e+06 521472 586450. 2029.24 1.33 0.121492 0.106654 25474 144626 -1 2478 22 1860 2983 234678 52965 4.0207 4.0207 -151.288 -4.0207 0 0 744469. 2576.02 0.33 0.10 0.15 -1 -1 0.33 0.0281445 0.0246739 151 -1 124 31 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 8.17 vpr 65.49 MiB 0.03 7108 -1 -1 1 0.04 -1 -1 34044 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67064 32 32 407 319 1 257 90 17 17 289 -1 unnamed_device 26.8 MiB 1.70 1317 17175 5692 9343 2140 65.5 MiB 0.18 0.00 4.01088 -138.915 -4.01088 4.01088 1.06 0.000620937 0.000557922 0.0541031 0.0490003 34 3482 41 6.89349e+06 366440 618332. 2139.56 2.91 0.220123 0.192051 25762 151098 -1 2911 22 2051 2651 192484 44243 4.15649 4.15649 -160.193 -4.15649 0 0 787024. 2723.27 0.33 0.09 0.15 -1 -1 0.33 0.0307326 0.0271356 173 57 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 8.85 vpr 65.18 MiB 0.02 7436 -1 -1 1 0.04 -1 -1 34068 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66744 32 32 407 319 1 256 90 17 17 289 -1 unnamed_device 26.5 MiB 2.09 1430 16572 5142 9440 1990 65.2 MiB 0.19 0.00 3.97668 -139.51 -3.97668 3.97668 1.11 0.000601201 0.000547024 0.0568293 0.0517326 34 3648 27 6.89349e+06 366440 618332. 2139.56 3.04 0.211933 0.187043 25762 151098 -1 2925 21 2619 3693 335866 69299 4.46955 4.46955 -173.325 -4.46955 0 0 787024. 2723.27 0.36 0.12 0.15 -1 -1 0.36 0.0331179 0.0296705 171 62 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 8.41 vpr 65.34 MiB 0.04 7376 -1 -1 1 0.04 -1 -1 33752 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66904 32 32 399 315 1 257 91 17 17 289 -1 unnamed_device 26.6 MiB 2.24 1379 11107 3001 7111 995 65.3 MiB 0.13 0.00 3.38904 -118.803 -3.38904 3.38904 1.09 0.000581897 0.000529626 0.0362346 0.0329174 34 3700 23 6.89349e+06 380534 618332. 2139.56 2.51 0.151405 0.132934 25762 151098 -1 2910 22 2049 3001 262718 54281 3.6673 3.6673 -138.594 -3.6673 0 0 787024. 2723.27 0.36 0.11 0.15 -1 -1 0.36 0.03343 0.0296856 172 62 60 30 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 7.63 vpr 64.75 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 33780 -1 -1 19 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66304 30 32 296 244 1 185 81 17 17 289 -1 unnamed_device 26.3 MiB 2.14 960 13381 4925 6634 1822 64.8 MiB 0.11 0.00 3.0572 -103.07 -3.0572 3.0572 1.08 0.000442695 0.000403607 0.0380819 0.0347106 34 2504 23 6.89349e+06 267783 618332. 2139.56 2.00 0.133433 0.117656 25762 151098 -1 2120 20 1635 2317 174071 38445 3.36365 3.36365 -125.555 -3.36365 0 0 787024. 2723.27 0.34 0.07 0.15 -1 -1 0.34 0.0232669 0.0204788 122 29 60 30 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 8.66 vpr 65.05 MiB 0.02 7424 -1 -1 1 0.04 -1 -1 34036 -1 -1 26 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66616 30 32 383 303 1 241 88 17 17 289 -1 unnamed_device 26.4 MiB 2.86 1227 11593 3110 7061 1422 65.1 MiB 0.13 0.00 4.05614 -130.89 -4.05614 4.05614 1.09 0.000645255 0.00059266 0.0400935 0.0365721 34 3359 29 6.89349e+06 366440 618332. 2139.56 2.22 0.194151 0.171228 25762 151098 -1 2712 21 2253 3098 256326 55609 4.67538 4.67538 -163.938 -4.67538 0 0 787024. 2723.27 0.34 0.10 0.15 -1 -1 0.34 0.0303839 0.0269146 165 58 60 30 60 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 7.25 vpr 65.94 MiB 0.03 7452 -1 -1 1 0.04 -1 -1 34212 -1 -1 30 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67524 32 32 469 381 1 316 94 17 17 289 -1 unnamed_device 27.2 MiB 1.52 1525 18412 6475 9165 2772 65.9 MiB 0.18 0.00 3.78021 -128.627 -3.78021 3.78021 1.08 0.000610136 0.000554199 0.0596781 0.0542839 34 3886 35 6.89349e+06 422815 618332. 2139.56 2.10 0.22727 0.198874 25762 151098 -1 2878 19 1953 2029 145347 33641 4.08565 4.08565 -147.867 -4.08565 0 0 787024. 2723.27 0.35 0.08 0.16 -1 -1 0.35 0.031009 0.0275794 204 106 0 0 128 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 7.71 vpr 65.20 MiB 0.03 7340 -1 -1 1 0.04 -1 -1 33736 -1 -1 29 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66764 31 32 425 341 1 280 92 17 17 289 -1 unnamed_device 26.4 MiB 2.04 1393 19136 6668 9726 2742 65.2 MiB 0.19 0.00 4.06524 -136.981 -4.06524 4.06524 1.04 0.000569677 0.000516664 0.0588819 0.0535435 34 3526 23 6.89349e+06 408721 618332. 2139.56 2.13 0.20299 0.178348 25762 151098 -1 2753 22 2387 3029 240363 52776 4.26595 4.26595 -158.98 -4.26595 0 0 787024. 2723.27 0.33 0.09 0.15 -1 -1 0.33 0.0312864 0.0277314 186 79 31 31 93 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 8.85 vpr 65.40 MiB 0.03 7520 -1 -1 1 0.04 -1 -1 34176 -1 -1 28 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66972 30 32 404 328 1 261 90 17 17 289 -1 unnamed_device 26.7 MiB 2.63 1290 17979 6200 8661 3118 65.4 MiB 0.19 0.00 3.43529 -109.863 -3.43529 3.43529 1.08 0.000631396 0.000574088 0.0589798 0.053643 34 3702 30 6.89349e+06 394628 618332. 2139.56 2.55 0.209158 0.183451 25762 151098 -1 2630 21 2280 3202 212738 50066 4.0013 4.0013 -144.095 -4.0013 0 0 787024. 2723.27 0.37 0.10 0.15 -1 -1 0.37 0.0327444 0.0292819 175 83 26 26 90 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 8.30 vpr 65.20 MiB 0.03 7408 -1 -1 1 0.04 -1 -1 34032 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 26.5 MiB 2.26 1360 12351 3553 7505 1293 65.2 MiB 0.14 0.00 4.26754 -148.225 -4.26754 4.26754 1.06 0.000660337 0.000599137 0.0404573 0.0368014 36 3139 30 6.89349e+06 366440 648988. 2245.63 2.45 0.195283 0.171447 26050 158493 -1 2654 19 2257 3173 212921 47204 4.53845 4.53845 -172.242 -4.53845 0 0 828058. 2865.25 0.37 0.09 0.15 -1 -1 0.37 0.0288357 0.0257524 177 58 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 7.92 vpr 65.19 MiB 0.03 7516 -1 -1 1 0.04 -1 -1 33916 -1 -1 30 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66756 29 32 387 316 1 251 91 17 17 289 -1 unnamed_device 26.5 MiB 2.49 1238 17635 6241 8637 2757 65.2 MiB 0.17 0.00 3.58265 -110.144 -3.58265 3.58265 1.08 0.000551672 0.000501761 0.0522969 0.0476481 34 3047 28 6.89349e+06 422815 618332. 2139.56 1.82 0.191735 0.168269 25762 151098 -1 2481 22 1966 2696 202873 46022 3.4188 3.4188 -120.996 -3.4188 0 0 787024. 2723.27 0.33 0.09 0.15 -1 -1 0.33 0.0302218 0.0265444 170 81 26 26 85 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 5.81 vpr 64.70 MiB 0.02 7036 -1 -1 1 0.03 -1 -1 33768 -1 -1 16 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66252 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 26.1 MiB 0.65 904 14528 6129 7546 853 64.7 MiB 0.12 0.00 3.037 -110.339 -3.037 3.037 1.05 0.000434054 0.000395215 0.0402583 0.0367107 34 2394 21 6.89349e+06 225501 618332. 2139.56 1.80 0.149636 0.131197 25762 151098 -1 1994 22 1487 2381 184836 41981 3.30791 3.30791 -135.019 -3.30791 0 0 787024. 2723.27 0.33 0.07 0.15 -1 -1 0.33 0.0230694 0.0203276 114 -1 96 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 7.94 vpr 65.41 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34020 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 32 32 407 319 1 259 91 17 17 289 -1 unnamed_device 26.7 MiB 1.96 1357 15187 5146 7392 2649 65.4 MiB 0.16 0.00 4.17757 -143.737 -4.17757 4.17757 1.09 0.00058879 0.000536416 0.0490387 0.0445906 34 3550 36 6.89349e+06 380534 618332. 2139.56 2.42 0.210707 0.184401 25762 151098 -1 2699 21 2400 3333 223146 52376 4.60575 4.60575 -172.232 -4.60575 0 0 787024. 2723.27 0.35 0.10 0.15 -1 -1 0.35 0.0314079 0.0278 174 62 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 9.75 vpr 65.57 MiB 0.02 7148 -1 -1 1 0.04 -1 -1 33900 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67148 32 32 407 319 1 263 89 17 17 289 -1 unnamed_device 26.8 MiB 3.18 1456 15731 4179 10070 1482 65.6 MiB 0.17 0.00 4.08298 -143.347 -4.08298 4.08298 1.05 0.000544272 0.000494601 0.050351 0.0458051 36 3450 23 6.89349e+06 352346 648988. 2245.63 3.00 0.207217 0.182366 26050 158493 -1 2869 22 2454 3508 282328 59862 4.44208 4.44208 -168.935 -4.44208 0 0 828058. 2865.25 0.37 0.11 0.16 -1 -1 0.37 0.0319464 0.0283576 176 62 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 7.20 vpr 64.71 MiB 0.02 7032 -1 -1 1 0.03 -1 -1 33804 -1 -1 19 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 32 32 315 267 1 204 83 17 17 289 -1 unnamed_device 26.3 MiB 1.97 1019 13943 4662 6551 2730 64.7 MiB 0.12 0.00 2.7431 -96.9372 -2.7431 2.7431 1.05 0.000523131 0.000476537 0.0405841 0.0369049 34 2482 37 6.89349e+06 267783 618332. 2139.56 1.81 0.140424 0.122996 25762 151098 -1 2022 20 1413 1680 120991 27993 2.87926 2.87926 -112.839 -2.87926 0 0 787024. 2723.27 0.35 0.06 0.16 -1 -1 0.35 0.0236308 0.0209007 128 47 32 32 54 27 +fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 5.27 vpr 64.55 MiB 0.02 6984 -1 -1 1 0.03 -1 -1 33892 -1 -1 17 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66104 31 32 275 220 1 164 80 17 17 289 -1 unnamed_device 26.1 MiB 0.88 854 12636 5045 6554 1037 64.6 MiB 0.10 0.00 3.07 -108.66 -3.07 3.07 0.98 0.000427737 0.000388557 0.033328 0.0304123 32 2382 41 6.89349e+06 239595 586450. 2029.24 1.17 0.110986 0.0965191 25474 144626 -1 1891 23 1495 2358 204326 44583 3.24376 3.24376 -130.299 -3.24376 0 0 744469. 2576.02 0.34 0.08 0.14 -1 -1 0.34 0.0253604 0.0225358 112 -1 93 31 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 6.94 vpr 65.44 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 34000 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67008 32 32 381 303 1 240 89 17 17 289 -1 unnamed_device 26.8 MiB 1.72 1154 17117 5508 8882 2727 65.4 MiB 0.16 0.00 3.44139 -117.05 -3.44139 3.44139 0.97 0.000552702 0.00050477 0.0514164 0.0468409 34 3013 32 6.89349e+06 352346 618332. 2139.56 1.88 0.191447 0.167735 25762 151098 -1 2283 21 1695 2164 158832 37178 3.7287 3.7287 -136.336 -3.7287 0 0 787024. 2723.27 0.35 0.08 0.15 -1 -1 0.35 0.029148 0.0259366 158 56 60 32 58 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 6.74 vpr 65.43 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33996 -1 -1 26 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67004 32 32 406 330 1 261 90 17 17 289 -1 unnamed_device 26.7 MiB 1.87 1337 11949 3229 8099 621 65.4 MiB 0.11 0.00 4.07324 -126.534 -4.07324 4.07324 0.94 0.000549902 0.000499679 0.035159 0.0320142 34 3110 31 6.89349e+06 366440 618332. 2139.56 1.71 0.167807 0.146198 25762 151098 -1 2402 21 1790 2142 135093 33001 4.37535 4.37535 -154.355 -4.37535 0 0 787024. 2723.27 0.32 0.07 0.15 -1 -1 0.32 0.0274547 0.0242393 170 81 28 28 88 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 5.32 vpr 65.23 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 34064 -1 -1 41 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66792 32 32 399 285 1 232 105 17 17 289 -1 unnamed_device 26.5 MiB 0.96 1223 7762 1466 5664 632 65.2 MiB 0.09 0.00 3.93858 -131.612 -3.93858 3.93858 0.97 0.000598006 0.000543521 0.0209038 0.0190667 30 3089 24 6.89349e+06 577847 556674. 1926.21 1.18 0.103294 0.0907864 25186 138497 -1 2395 20 1826 3098 181398 41871 4.20149 4.20149 -155.966 -4.20149 0 0 706193. 2443.58 0.30 0.08 0.13 -1 -1 0.30 0.0279153 0.024791 183 -1 156 32 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 6.98 vpr 65.33 MiB 0.02 7240 -1 -1 1 0.03 -1 -1 34164 -1 -1 27 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66896 30 32 371 295 1 235 89 17 17 289 -1 unnamed_device 26.7 MiB 1.92 1196 16325 4951 8946 2428 65.3 MiB 0.14 0.00 3.1264 -105.487 -3.1264 3.1264 1.00 0.000535862 0.000482054 0.0458191 0.0418273 34 2878 34 6.89349e+06 380534 618332. 2139.56 1.80 0.17416 0.152701 25762 151098 -1 2317 19 1759 2441 175729 39368 3.14671 3.14671 -124.321 -3.14671 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0245582 0.0219082 160 47 60 30 56 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 5.57 vpr 64.71 MiB 0.02 7224 -1 -1 1 0.03 -1 -1 34204 -1 -1 22 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66264 27 32 269 226 1 168 81 17 17 289 -1 unnamed_device 26.4 MiB 1.38 723 13206 3673 8356 1177 64.7 MiB 0.09 0.00 3.46649 -97.3833 -3.46649 3.46649 0.99 0.000419156 0.000379689 0.0314912 0.0286493 28 2133 26 6.89349e+06 310065 531479. 1839.03 1.12 0.0954133 0.0843582 24610 126494 -1 1595 21 1420 1981 136158 37018 3.534 3.534 -121.5 -3.534 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0187837 0.0164704 112 26 54 27 27 27 +fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 9.49 vpr 65.96 MiB 0.02 7512 -1 -1 1 0.04 -1 -1 33996 -1 -1 32 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67548 32 32 493 378 1 322 96 17 17 289 -1 unnamed_device 27.2 MiB 2.09 1725 10389 2610 7051 728 66.0 MiB 0.13 0.00 4.08424 -139.831 -4.08424 4.08424 0.96 0.00064463 0.000584771 0.0335132 0.0304503 36 4600 29 6.89349e+06 451003 648988. 2245.63 4.13 0.19063 0.166583 26050 158493 -1 3561 19 2516 3589 304945 62786 4.33515 4.33515 -161.408 -4.33515 0 0 828058. 2865.25 0.32 0.10 0.15 -1 -1 0.32 0.0290747 0.025832 219 85 62 31 95 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 8.02 vpr 65.77 MiB 0.02 7492 -1 -1 1 0.04 -1 -1 34188 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67348 31 32 455 371 1 306 94 17 17 289 -1 unnamed_device 27.1 MiB 2.61 1511 17560 5754 9229 2577 65.8 MiB 0.17 0.00 4.14544 -139.976 -4.14544 4.14544 0.95 0.00059832 0.000541044 0.052967 0.0480776 34 3807 29 6.89349e+06 436909 618332. 2139.56 2.18 0.196856 0.172248 25762 151098 -1 2962 21 2586 3020 225797 51082 4.60875 4.60875 -168.066 -4.60875 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0285148 0.0252419 201 105 0 0 124 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 7.22 vpr 65.04 MiB 0.02 7372 -1 -1 1 0.03 -1 -1 33896 -1 -1 22 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66600 32 32 355 304 1 245 86 17 17 289 -1 unnamed_device 26.2 MiB 2.24 1238 12371 3446 8070 855 65.0 MiB 0.11 0.00 3.56679 -119.039 -3.56679 3.56679 0.95 0.000525575 0.000470698 0.0352778 0.0319323 34 3157 37 6.89349e+06 310065 618332. 2139.56 1.85 0.161928 0.140375 25762 151098 -1 2377 19 1618 1885 159323 35327 3.531 3.531 -134.463 -3.531 0 0 787024. 2723.27 0.32 0.06 0.13 -1 -1 0.32 0.0212974 0.0187961 150 86 0 0 89 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 7.48 vpr 65.41 MiB 0.02 7324 -1 -1 1 0.04 -1 -1 33832 -1 -1 23 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66984 32 32 364 282 1 225 87 17 17 289 -1 unnamed_device 26.6 MiB 2.05 1156 16407 5546 8389 2472 65.4 MiB 0.14 0.00 3.66075 -124.746 -3.66075 3.66075 0.96 0.000477533 0.000436925 0.0457957 0.0417145 34 3240 39 6.89349e+06 324158 618332. 2139.56 2.24 0.188951 0.165638 25762 151098 -1 2366 22 1851 2630 203174 46204 3.76246 3.76246 -143.811 -3.76246 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0253758 0.0222783 151 31 90 30 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 9.12 vpr 65.74 MiB 0.02 7544 -1 -1 1 0.04 -1 -1 33992 -1 -1 30 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 31 32 443 336 1 280 93 17 17 289 -1 unnamed_device 26.9 MiB 1.80 1364 18993 6289 10084 2620 65.7 MiB 0.18 0.00 3.68095 -125.048 -3.68095 3.68095 0.93 0.000553268 0.000503891 0.0558663 0.0508003 36 3271 32 6.89349e+06 422815 648988. 2245.63 4.08 0.261166 0.228397 26050 158493 -1 2738 20 2261 3211 227059 51063 3.79236 3.79236 -144.158 -3.79236 0 0 828058. 2865.25 0.33 0.09 0.15 -1 -1 0.33 0.0301376 0.0269185 193 50 87 31 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 7.88 vpr 65.20 MiB 0.02 7300 -1 -1 1 0.03 -1 -1 34160 -1 -1 28 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66768 30 32 373 297 1 235 90 17 17 289 -1 unnamed_device 26.6 MiB 2.19 1101 11748 2802 8121 825 65.2 MiB 0.11 0.00 3.49306 -109.701 -3.49306 3.49306 0.97 0.00055572 0.000504303 0.0336086 0.0306043 36 2826 27 6.89349e+06 394628 648988. 2245.63 2.50 0.16079 0.140104 26050 158493 -1 2123 20 1379 2001 125907 31800 3.6975 3.6975 -129.198 -3.6975 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0232388 0.0205533 162 50 58 30 58 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 9.21 vpr 65.63 MiB 0.02 7344 -1 -1 1 0.04 -1 -1 34100 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67208 32 32 407 319 1 260 92 17 17 289 -1 unnamed_device 26.9 MiB 1.82 1334 8372 1925 5910 537 65.6 MiB 0.10 0.00 4.01094 -138.881 -4.01094 4.01094 0.98 0.000603056 0.000548999 0.0267264 0.0243133 36 3263 24 6.89349e+06 394628 648988. 2245.63 4.16 0.209799 0.181433 26050 158493 -1 2704 21 2094 2853 199698 44286 4.14055 4.14055 -160.266 -4.14055 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0261038 0.0230033 173 61 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 7.14 vpr 65.36 MiB 0.02 7184 -1 -1 1 0.03 -1 -1 34024 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 32 32 405 318 1 260 91 17 17 289 -1 unnamed_device 26.7 MiB 2.16 1417 15595 4218 9221 2156 65.4 MiB 0.14 0.00 2.96065 -108.311 -2.96065 2.96065 0.97 0.000550934 0.000503446 0.0453567 0.0414248 34 3259 25 6.89349e+06 380534 618332. 2139.56 1.78 0.191351 0.16871 25762 151098 -1 2722 21 2301 3094 218535 50476 3.04651 3.04651 -127.496 -3.04651 0 0 787024. 2723.27 0.32 0.09 0.13 -1 -1 0.32 0.0304534 0.0270912 175 61 63 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 6.40 vpr 64.77 MiB 0.03 7024 -1 -1 1 0.03 -1 -1 34092 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66320 29 32 287 238 1 178 82 17 17 289 -1 unnamed_device 26.4 MiB 1.58 877 14144 4555 7341 2248 64.8 MiB 0.10 0.00 3.0572 -100.366 -3.0572 3.0572 0.97 0.000434992 0.000394313 0.0369671 0.033592 34 2127 32 6.89349e+06 295971 618332. 2139.56 1.66 0.140816 0.122305 25762 151098 -1 1866 23 1593 2156 178526 39637 3.27406 3.27406 -122.33 -3.27406 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.021502 0.0187183 118 28 58 29 29 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 6.29 vpr 64.81 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 34040 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66364 32 32 334 290 1 223 84 17 17 289 -1 unnamed_device 26.0 MiB 1.47 1158 6672 1580 4782 310 64.8 MiB 0.06 0.00 3.60599 -112.846 -3.60599 3.60599 0.97 0.000469051 0.000426615 0.0190743 0.0173768 34 2751 23 6.89349e+06 281877 618332. 2139.56 1.68 0.127427 0.110389 25762 151098 -1 2255 19 1558 1850 130180 30820 3.5372 3.5372 -127.132 -3.5372 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0203799 0.0179314 136 79 0 0 82 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 7.02 vpr 65.17 MiB 0.02 7288 -1 -1 1 0.04 -1 -1 33748 -1 -1 24 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66736 31 32 365 281 1 225 87 17 17 289 -1 unnamed_device 26.4 MiB 1.55 1174 16407 5770 8540 2097 65.2 MiB 0.15 0.00 3.68095 -125.338 -3.68095 3.68095 0.97 0.000675105 0.000626142 0.0480461 0.0439545 36 2609 21 6.89349e+06 338252 648988. 2245.63 2.22 0.190435 0.169052 26050 158493 -1 2139 24 1852 2626 163662 38252 3.95476 3.95476 -144.188 -3.95476 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0311897 0.0276866 154 29 93 31 31 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 6.59 vpr 64.76 MiB 0.02 7064 -1 -1 1 0.03 -1 -1 33936 -1 -1 21 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66316 29 32 297 254 1 193 82 17 17 289 -1 unnamed_device 26.3 MiB 1.49 1005 8448 2121 5706 621 64.8 MiB 0.07 0.00 2.7321 -87.7323 -2.7321 2.7321 1.02 0.000451969 0.000412551 0.0224513 0.0205383 34 2212 25 6.89349e+06 295971 618332. 2139.56 1.83 0.128531 0.112015 25762 151098 -1 1954 20 1397 1630 122206 27955 2.81981 2.81981 -107.199 -2.81981 0 0 787024. 2723.27 0.31 0.06 0.15 -1 -1 0.31 0.021708 0.0190401 123 48 29 29 52 26 +fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 7.59 vpr 64.79 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 33900 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66344 32 32 314 256 1 194 82 17 17 289 -1 unnamed_device 26.4 MiB 2.38 1023 12542 3470 7307 1765 64.8 MiB 0.11 0.00 3.0872 -111.679 -3.0872 3.0872 0.99 0.000484919 0.000441114 0.0350308 0.031868 34 2684 41 6.89349e+06 253689 618332. 2139.56 1.91 0.156652 0.136658 25762 151098 -1 2130 24 1877 2633 205464 44357 3.17656 3.17656 -132.518 -3.17656 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.0278108 0.0245614 127 31 64 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 7.35 vpr 65.25 MiB 0.02 7464 -1 -1 1 0.04 -1 -1 33776 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66820 31 32 387 307 1 242 90 17 17 289 -1 unnamed_device 26.6 MiB 2.01 1273 11748 3187 7353 1208 65.3 MiB 0.12 0.00 3.36994 -116.693 -3.36994 3.36994 1.05 0.000705267 0.000651569 0.0392723 0.0360361 34 2989 30 6.89349e+06 380534 618332. 2139.56 1.88 0.195032 0.172462 25762 151098 -1 2538 24 2427 3334 256457 55537 3.67705 3.67705 -143.044 -3.67705 0 0 787024. 2723.27 0.34 0.10 0.14 -1 -1 0.34 0.0345076 0.0307796 164 60 58 31 62 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 7.26 vpr 64.73 MiB 0.02 7208 -1 -1 1 0.03 -1 -1 33916 -1 -1 21 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 31 32 308 262 1 196 84 17 17 289 -1 unnamed_device 26.3 MiB 1.77 945 9234 2426 6322 486 64.7 MiB 0.09 0.00 2.66772 -91.3445 -2.66772 2.66772 1.10 0.000689289 0.000634467 0.0286049 0.026192 34 2532 50 6.89349e+06 295971 618332. 2139.56 2.04 0.187696 0.165632 25762 151098 -1 2054 19 1258 1548 129345 28315 3.18486 3.18486 -116.739 -3.18486 0 0 787024. 2723.27 0.35 0.07 0.14 -1 -1 0.35 0.0243919 0.0217596 125 49 31 31 53 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 7.78 vpr 65.34 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 34096 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66912 32 32 383 307 1 242 89 17 17 289 -1 unnamed_device 26.8 MiB 2.08 1271 17117 6014 8698 2405 65.3 MiB 0.18 0.00 3.42609 -117.933 -3.42609 3.42609 1.07 0.000539753 0.000491958 0.0553443 0.0502935 34 3059 30 6.89349e+06 352346 618332. 2139.56 2.17 0.197116 0.172837 25762 151098 -1 2463 19 1618 2325 167460 38431 3.3255 3.3255 -130.685 -3.3255 0 0 787024. 2723.27 0.35 0.07 0.15 -1 -1 0.35 0.0258011 0.0229273 162 56 52 26 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 8.03 vpr 65.56 MiB 0.02 7432 -1 -1 1 0.04 -1 -1 34200 -1 -1 31 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67132 31 32 422 339 1 277 94 17 17 289 -1 unnamed_device 26.8 MiB 2.17 1293 17347 5861 8627 2859 65.6 MiB 0.18 0.00 3.98812 -131.083 -3.98812 3.98812 0.98 0.000657518 0.000603054 0.0575305 0.0524141 34 3961 41 6.89349e+06 436909 618332. 2139.56 2.46 0.236599 0.209102 25762 151098 -1 2909 20 2082 3024 247077 56004 4.22274 4.22274 -158.375 -4.22274 0 0 787024. 2723.27 0.35 0.10 0.14 -1 -1 0.35 0.0327394 0.0292255 185 88 31 31 92 31 +fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 9.40 vpr 65.05 MiB 0.02 7096 -1 -1 1 0.03 -1 -1 34052 -1 -1 21 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66608 32 32 333 279 1 216 85 17 17 289 -1 unnamed_device 26.5 MiB 3.05 1061 15709 6321 7554 1834 65.0 MiB 0.14 0.00 2.87755 -100.445 -2.87755 2.87755 1.04 0.000521722 0.000476717 0.0479748 0.0437228 36 2762 27 6.89349e+06 295971 648988. 2245.63 2.92 0.191202 0.169132 26050 158493 -1 2214 23 1602 2249 188046 41343 2.91226 2.91226 -118.456 -2.91226 0 0 828058. 2865.25 0.37 0.08 0.14 -1 -1 0.37 0.0269032 0.0238253 137 54 32 32 60 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 6.74 vpr 65.29 MiB 0.02 7264 -1 -1 1 0.03 -1 -1 33500 -1 -1 20 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66852 32 32 339 283 1 218 84 17 17 289 -1 unnamed_device 26.5 MiB 1.56 1121 12894 3573 7830 1491 65.3 MiB 0.11 0.00 3.0652 -107.402 -3.0652 3.0652 1.03 0.000531742 0.000486933 0.0379461 0.0347035 34 2814 36 6.89349e+06 281877 618332. 2139.56 1.85 0.169515 0.149051 25762 151098 -1 2327 20 1685 2065 157046 35094 3.20686 3.20686 -124.544 -3.20686 0 0 787024. 2723.27 0.34 0.07 0.14 -1 -1 0.34 0.0255375 0.0227492 139 60 32 32 62 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 6.99 vpr 65.58 MiB 0.02 7416 -1 -1 1 0.03 -1 -1 34380 -1 -1 27 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67152 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 26.9 MiB 1.66 1243 9883 2602 6270 1011 65.6 MiB 0.11 0.00 3.72015 -131.957 -3.72015 3.72015 0.98 0.000755707 0.000696482 0.0321283 0.0293963 34 3234 30 6.89349e+06 380534 618332. 2139.56 2.06 0.196514 0.172992 25762 151098 -1 2607 22 2254 2837 200464 47445 4.0764 4.0764 -156.326 -4.0764 0 0 787024. 2723.27 0.35 0.09 0.14 -1 -1 0.35 0.0338686 0.0301859 178 49 64 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 6.82 vpr 65.23 MiB 0.02 7444 -1 -1 1 0.03 -1 -1 34064 -1 -1 26 29 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66796 29 32 367 293 1 231 87 17 17 289 -1 unnamed_device 26.6 MiB 1.94 1098 15255 4731 7587 2937 65.2 MiB 0.13 0.00 3.05925 -97.8551 -3.05925 3.05925 1.00 0.000529667 0.000484356 0.0440374 0.0401613 34 2520 20 6.89349e+06 366440 618332. 2139.56 1.64 0.161923 0.142363 25762 151098 -1 2059 21 1762 2292 154619 35869 3.12666 3.12666 -114.183 -3.12666 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.0222697 0.0195711 157 54 56 29 58 29 +fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 7.29 vpr 65.67 MiB 0.02 7412 -1 -1 1 0.04 -1 -1 34312 -1 -1 29 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67244 32 32 469 381 1 315 93 17 17 289 -1 unnamed_device 27.1 MiB 1.60 1538 14583 4182 8855 1546 65.7 MiB 0.15 0.00 3.96268 -136.842 -3.96268 3.96268 0.99 0.000671967 0.00061305 0.0486122 0.0442675 34 4098 34 6.89349e+06 408721 618332. 2139.56 2.35 0.231702 0.204938 25762 151098 -1 3343 23 3004 3374 284639 62881 4.71215 4.71215 -166.04 -4.71215 0 0 787024. 2723.27 0.33 0.11 0.13 -1 -1 0.33 0.0346632 0.0307542 203 117 0 0 128 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 4.72 vpr 64.33 MiB 0.02 7104 -1 -1 1 0.03 -1 -1 33908 -1 -1 16 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65876 31 32 259 212 1 155 79 17 17 289 -1 unnamed_device 25.9 MiB 0.68 829 11909 4089 5629 2191 64.3 MiB 0.09 0.00 2.34777 -84.544 -2.34777 2.34777 0.95 0.00040167 0.000364822 0.0304537 0.027728 32 2258 28 6.89349e+06 225501 586450. 2029.24 1.00 0.0888318 0.078198 25474 144626 -1 1812 22 1200 1945 150956 34233 2.86191 2.86191 -111.746 -2.86191 0 0 744469. 2576.02 0.32 0.06 0.13 -1 -1 0.32 0.0198272 0.0175025 104 -1 85 31 0 0 +fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 7.29 vpr 65.41 MiB 0.02 7284 -1 -1 1 0.03 -1 -1 34084 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66976 32 32 418 338 1 273 92 17 17 289 -1 unnamed_device 26.6 MiB 1.85 1482 18515 6153 9882 2480 65.4 MiB 0.18 0.00 4.46413 -148.864 -4.46413 4.46413 0.96 0.000561841 0.000506289 0.0540985 0.0493481 36 3059 24 6.89349e+06 394628 648988. 2245.63 2.16 0.213088 0.189037 26050 158493 -1 2691 21 2289 3012 234670 50323 5.05634 5.05634 -176.479 -5.05634 0 0 828058. 2865.25 0.34 0.09 0.14 -1 -1 0.34 0.0299979 0.0267062 179 89 28 28 92 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 9.99 vpr 65.36 MiB 0.02 7112 -1 -1 1 0.03 -1 -1 34004 -1 -1 24 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66932 32 32 376 318 1 259 88 17 17 289 -1 unnamed_device 26.7 MiB 2.50 1421 17248 5458 9494 2296 65.4 MiB 0.16 0.00 3.82948 -135.438 -3.82948 3.82948 0.97 0.000539092 0.000489588 0.0488966 0.0444256 36 3305 28 6.89349e+06 338252 648988. 2245.63 4.26 0.229161 0.199508 26050 158493 -1 2806 22 2602 3234 276459 58756 4.26204 4.26204 -163.368 -4.26204 0 0 828058. 2865.25 0.31 0.09 0.15 -1 -1 0.31 0.0258519 0.0226655 161 93 0 0 96 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 7.08 vpr 65.28 MiB 0.02 7296 -1 -1 1 0.03 -1 -1 33584 -1 -1 25 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66844 32 32 401 316 1 253 89 17 17 289 -1 unnamed_device 26.6 MiB 1.90 1287 15335 4524 8517 2294 65.3 MiB 0.15 0.00 3.00785 -109.329 -3.00785 3.00785 0.97 0.00056139 0.00051214 0.0464174 0.0423808 34 3219 26 6.89349e+06 352346 618332. 2139.56 1.98 0.194011 0.171416 25762 151098 -1 2538 23 1979 2640 187640 43307 3.28806 3.28806 -134.513 -3.28806 0 0 787024. 2723.27 0.32 0.08 0.13 -1 -1 0.32 0.0302252 0.02683 170 59 61 32 64 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 7.78 vpr 65.80 MiB 0.03 7520 -1 -1 1 0.04 -1 -1 34420 -1 -1 33 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67384 32 32 500 382 1 323 97 17 17 289 -1 unnamed_device 27.1 MiB 1.88 1662 20743 6957 11443 2343 65.8 MiB 0.22 0.00 4.36294 -148.909 -4.36294 4.36294 0.94 0.000700293 0.000633835 0.0678531 0.0613729 36 4078 29 6.89349e+06 465097 648988. 2245.63 2.61 0.226836 0.199342 26050 158493 -1 3334 23 2803 3307 264377 56788 5.05845 5.05845 -182.923 -5.05845 0 0 828058. 2865.25 0.32 0.09 0.13 -1 -1 0.32 0.0319333 0.0281993 224 81 64 32 96 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 5.65 vpr 64.48 MiB 0.02 6892 -1 -1 1 0.03 -1 -1 33700 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66024 30 32 246 229 1 160 78 17 17 289 -1 unnamed_device 26.0 MiB 1.21 829 13690 4460 7116 2114 64.5 MiB 0.09 0.00 2.44266 -78.3619 -2.44266 2.44266 0.96 0.000346068 0.000315141 0.0314084 0.0286732 34 1851 24 6.89349e+06 225501 618332. 2139.56 1.42 0.114497 0.0999421 25762 151098 -1 1610 15 658 671 55785 12705 2.19676 2.19676 -89.8788 -2.19676 0 0 787024. 2723.27 0.32 0.03 0.13 -1 -1 0.32 0.0142561 0.0127156 93 51 0 0 53 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 5.40 vpr 64.83 MiB 0.02 7228 -1 -1 1 0.03 -1 -1 33888 -1 -1 21 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66384 30 32 296 244 1 181 83 17 17 289 -1 unnamed_device 26.4 MiB 1.39 900 11423 2814 6889 1720 64.8 MiB 0.10 0.00 3.34479 -112.271 -3.34479 3.34479 0.96 0.000469123 0.000427221 0.0324356 0.0295949 28 2176 22 6.89349e+06 295971 531479. 1839.03 0.99 0.0938865 0.0824934 24610 126494 -1 1891 19 1426 2051 135885 32298 3.5578 3.5578 -137.064 -3.5578 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0193935 0.017052 124 29 60 30 30 30 +fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 7.60 vpr 64.70 MiB 0.02 7004 -1 -1 1 0.03 -1 -1 33656 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66256 32 32 314 256 1 199 82 17 17 289 -1 unnamed_device 26.3 MiB 2.39 1004 10940 2908 7435 597 64.7 MiB 0.10 0.00 3.53249 -123.335 -3.53249 3.53249 0.95 0.000461896 0.00042167 0.0303546 0.0277045 34 2992 50 6.89349e+06 253689 618332. 2139.56 2.11 0.155423 0.137023 25762 151098 -1 2451 22 1800 3129 222377 51714 3.8506 3.8506 -150.501 -3.8506 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0221589 0.0195196 129 31 64 32 32 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 6.05 vpr 64.43 MiB 0.02 7256 -1 -1 1 0.03 -1 -1 34144 -1 -1 24 25 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 65980 25 32 251 214 1 162 81 17 17 289 -1 unnamed_device 25.9 MiB 1.48 727 14256 4168 8868 1220 64.4 MiB 0.10 0.00 3.0352 -80.976 -3.0352 3.0352 0.96 0.000388838 0.000355117 0.0326535 0.0297137 34 1807 25 6.89349e+06 338252 618332. 2139.56 1.47 0.115805 0.100441 25762 151098 -1 1554 23 1148 1615 114928 26739 2.95221 2.95221 -98.6946 -2.95221 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0187816 0.0164038 107 19 50 25 25 25 +fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 9.53 vpr 65.62 MiB 0.02 7480 -1 -1 1 0.04 -1 -1 34180 -1 -1 28 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67200 32 32 432 346 1 288 92 17 17 289 -1 unnamed_device 27.0 MiB 2.90 1453 15203 4566 9083 1554 65.6 MiB 0.15 0.00 3.78745 -130.236 -3.78745 3.78745 0.98 0.000643417 0.000590967 0.0467624 0.0426307 34 3740 23 6.89349e+06 394628 618332. 2139.56 3.37 0.266946 0.235219 25762 151098 -1 3028 22 2634 3807 270345 62029 4.12446 4.12446 -156.271 -4.12446 0 0 787024. 2723.27 0.32 0.10 0.13 -1 -1 0.32 0.032662 0.0290266 190 84 32 32 94 32 +fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 10.01 vpr 65.52 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 33992 -1 -1 27 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:14 gh-actions-runner-vtr-auto-spawned2 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67088 31 32 421 339 1 274 90 17 17 289 -1 unnamed_device 26.8 MiB 2.24 1265 11949 3075 7729 1145 65.5 MiB 0.12 0.00 3.80129 -124.578 -3.80129 3.80129 0.97 0.00062595 0.000576947 0.0383256 0.0350864 36 3276 33 6.89349e+06 380534 648988. 2245.63 4.51 0.274368 0.241474 26050 158493 -1 2741 19 2027 2828 194532 46203 4.59979 4.59979 -159.753 -4.59979 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0277365 0.0246997 183 88 29 29 93 31 From 6b172a5ed09110b3035951f5d2920dc08c4a1dfc Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 11 Jan 2024 18:52:36 -0500 Subject: [PATCH 140/608] golden_result: nightly_test_2: update golden results --- .../titan_quick_qor/config/golden_results.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt index 74e5b8be5b4..afdc3a7228c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time -stratixiv_arch.timing.xml gsm_switch_stratixiv_arch_timing.blif common 3434.92 136 21492 0 1848 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9760656 100 36 504627 490068 5 200916 23477 255 189 48195 M9K auto 700.76 -1 1504.23 11.74 8.0573 -1.40572e+06 -8.0 5.6189 177.19 1.11051 0.713981 193.057 125.871 5385844 441201 1322987 933414169 132816309 0 0 8.91222e+08 18492.0 12 9.0 6.19052 -1.94741e+06 -7.20679 0 0 180.15 240.444 164.718 597.95 +stratixiv_arch.timing.xml gsm_switch_stratixiv_arch_timing.blif common 3434.92 136 21492 0 1848 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9760656 100 36 504627 490068 5 200916 23477 255 189 48195 M9K auto 700.76 -1 1504.23 11.74 8.0573 -1.40572e+06 -8.0 5.6189 177.19 1.11051 0.713981 193.057 125.871 5385844 441201 1322987 933414169 132816309 0 0 8.91222e+08 18492.0 12 9.0 6.19052 -1.94741e+06 -8.20679 0 0 180.15 240.444 164.718 597.95 stratixiv_arch.timing.xml mes_noc_stratixiv_arch_timing.blif common 5178.68 5 23760 0 800 0 8 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9098808 3 2 577696 547568 17 345674 24573 193 143 27599 LAB auto 1364.30 -1 2826.61 26.29 11.27 -2.97906e+06 -10.27 8.03813 91.32 1.80404 1.18002 246.878 163.248 5138525 830618 2460044 1865303663 160918985 0 0 5.12586e+08 18572.6 38 12.0087 8.42243 -3.49809e+06 -11.0087 0 0 349.79 399.113 285.519 300.82 stratixiv_arch.timing.xml dart_stratixiv_arch_timing.blif common 1295.19 69 6862 0 530 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 4184456 23 46 223304 202401 1 131203 7461 138 102 14076 M9K auto 382.91 -1 481.59 3.87 14.1501 -1.40215e+06 -13.1501 11.4821 49.45 0.648403 0.438358 83.6769 57.0805 2176369 347924 886285 611522444 59989634 0 0 2.60164e+08 18482.8 20 15.0213 12.3857 -1.73557e+06 -14.0213 0 0 105.49 121.372 87.8932 164.95 stratixiv_arch.timing.xml denoise_stratixiv_arch_timing.blif common 3182.96 852 14030 24 359 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5971932 264 588 355537 274786 1 218574 15265 150 111 16650 LAB auto 395.29 -1 2053.18 13.33 866.716 -868475 -865.716 866.716 59.74 0.852057 0.609981 129.74 93.1704 3069575 1205817 3821898 2625594594 200491408 0 0 3.08278e+08 18515.2 43 857.427 857.427 -1.06208e+06 -856.427 0 0 316.47 210.711 159.272 198.99 From c32a960269e406a7396b88b21f3e35f173ce85b6 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 11 Jan 2024 18:58:25 -0500 Subject: [PATCH 141/608] golden_result: nightly_test_2_odin: update golden results --- .../titan_quick_qor/config/golden_results.txt | 2 +- .../vtr_bidir/config/golden_results.txt | 82 +++++++++---------- .../config/golden_results.txt | 4 +- 3 files changed, 44 insertions(+), 44 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_quick_qor/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_quick_qor/config/golden_results.txt index aeab7fe3797..d7048468870 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_quick_qor/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_quick_qor/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time -stratixiv_arch.timing.xml gsm_switch_stratixiv_arch_timing.blif common 3434.92 136 21492 0 1848 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9760656 100 36 504627 490068 5 200916 23477 255 189 48195 M9K auto 700.76 -1 1504.23 11.74 8.0573 -1.40572e+06 -7.0573 5.6189 177.19 1.11051 0.713981 193.057 125.871 5385844 441201 1322987 933414169 132816309 0 0 8.91222e+08 18492.0 12 8.20679 6.19052 -1.94741e+06 -7.20679 0 0 180.15 240.444 164.718 597.95 +stratixiv_arch.timing.xml gsm_switch_stratixiv_arch_timing.blif common 3434.92 136 21492 0 1848 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9760656 100 36 504627 490068 5 200916 23477 255 189 48195 M9K auto 700.76 -1 1504.23 11.74 8.0573 -1.40572e+06 -8.0573 5.6189 177.19 1.11051 0.713981 193.057 125.871 5385844 441201 1322987 933414169 132816309 0 0 8.91222e+08 18492.0 12 9.20679 6.19052 -1.94741e+06 -8.20679 0 0 180.15 240.444 164.718 597.95 stratixiv_arch.timing.xml mes_noc_stratixiv_arch_timing.blif common 5178.68 5 23760 0 800 0 8 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9098808 3 2 577696 547568 17 345674 24573 193 143 27599 LAB auto 1364.30 -1 2826.61 26.29 11.27 -2.97906e+06 -10.27 8.03813 91.32 1.80404 1.18002 246.878 163.248 5138525 830618 2460044 1865303663 160918985 0 0 5.12586e+08 18572.6 38 12.0087 8.42243 -3.49809e+06 -11.0087 0 0 349.79 399.113 285.519 300.82 stratixiv_arch.timing.xml dart_stratixiv_arch_timing.blif common 1295.19 69 6862 0 530 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 4184456 23 46 223304 202401 1 131203 7461 138 102 14076 M9K auto 382.91 -1 481.59 3.87 14.1501 -1.40215e+06 -13.1501 11.4821 49.45 0.648403 0.438358 83.6769 57.0805 2176369 347924 886285 611522444 59989634 0 0 2.60164e+08 18482.8 20 15.0213 12.3857 -1.73557e+06 -14.0213 0 0 105.49 121.372 87.8932 164.95 stratixiv_arch.timing.xml denoise_stratixiv_arch_timing.blif common 3182.96 852 14030 24 359 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5971932 264 588 355537 274786 1 218574 15265 150 111 16650 LAB auto 395.29 -1 2053.18 13.33 866.716 -868475 -865.716 866.716 59.74 0.852057 0.609981 129.74 93.1704 3069575 1205817 3821898 2625594594 200491408 0 0 3.08278e+08 18515.2 43 857.427 857.427 -1.06208e+06 -856.427 0 0 316.47 210.711 159.272 198.99 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_bidir/config/golden_results.txt index a65ebf78df0..1de870f58e5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_bidir/config/golden_results.txt @@ -1,41 +1,41 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k4_n4_v7_bidir.xml alu4.blif common 12.93 vpr 57.99 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 475 14 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 59380 14 8 1536 1544 0 1091 497 24 24 576 clb auto 20.5 MiB 0.35 14174 58.0 MiB 0.69 0.01 13.4464 -91.906 -13.4464 nan 0.99 0.00197045 0.00171146 0.1415 0.125455 28 20463 28 1.452e+07 1.425e+07 -1 -1 6.68 0.819332 0.704049 21174 279108 -1 19598 19 6807 25919 2078035 194779 0 0 2078035 194779 15373 10550 0 0 29131 25944 0 0 45655 30105 0 0 48196 22207 0 0 998095 52431 0 0 941585 53542 0 0 15373 0 0 11078 109655 107247 331954 11386 3093 16.2751 nan -109.855 -16.2751 0 0 -1 -1 0.60 0.73 0.18 -1 -1 0.60 0.205871 0.183458 -k4_n4_v7_bidir.xml apex2.blif common 24.63 vpr 61.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 600 38 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 62796 38 3 1916 1919 0 1509 641 27 27 729 clb auto 23.5 MiB 0.46 19839 61.3 MiB 1.65 0.02 14.9286 -44.0658 -14.9286 nan 2.03 0.00490849 0.00412046 0.342073 0.287132 31 29897 31 1.875e+07 1.8e+07 -1 -1 14.03 2.05729 1.74306 28210 394495 -1 27890 18 10464 35736 3265200 283178 0 0 3265200 283178 29903 16339 0 0 40244 35744 0 0 61669 41369 0 0 80355 34066 0 0 1573920 76686 0 0 1479109 78974 0 0 29903 0 0 24685 197688 217231 896136 6630 249 17.6121 nan -52.0326 -17.6121 0 0 -1 -1 0.94 1.05 0.25 -1 -1 0.94 0.257385 0.228628 -k4_n4_v7_bidir.xml apex4.blif common 20.23 vpr 55.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 408 9 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 57324 9 19 1271 1290 0 990 436 23 23 529 clb auto 18.2 MiB 0.27 13522 56.0 MiB 0.50 0.01 12.9459 -210.249 -12.9459 nan 0.85 0.00137474 0.00119032 0.094381 0.0828491 31 21956 40 1.323e+07 1.224e+07 -1 -1 14.61 1.21617 1.05206 20514 283063 -1 19433 19 7640 27441 2865228 238249 0 0 2865228 238249 25285 13813 0 0 30900 27502 0 0 49222 31653 0 0 76261 30154 0 0 1360289 67682 0 0 1323271 67445 0 0 25285 0 0 29476 198667 205876 1051755 2550 560 16.0159 nan -258.937 -16.0159 0 0 -1 -1 0.58 0.66 0.18 -1 -1 0.58 0.115272 0.103103 -k4_n4_v7_bidir.xml bigkey.blif common 22.45 vpr 61.67 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 456 229 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 63152 229 197 2152 2349 1 1587 882 29 29 841 io auto 23.8 MiB 0.33 12959 61.7 MiB 1.64 0.01 7.48553 -1803.94 -7.48553 7.48553 2.31 0.00257927 0.00226842 0.304015 0.268905 20 18822 25 2.187e+07 1.368e+07 -1 -1 11.98 2.20869 1.9438 26634 302857 -1 18874 19 8529 25333 1876033 193507 0 0 1876033 193507 13934 10399 0 0 31161 26482 0 0 50002 32564 0 0 43112 23196 0 0 851286 51450 0 0 886538 49416 0 0 13934 0 0 6917 80816 79228 196615 12301 4037 13.497 13.497 -2834.47 -13.497 0 0 -1 -1 0.70 0.87 0.19 -1 -1 0.70 0.354463 0.319745 -k4_n4_v7_bidir.xml clma.blif common 100.15 vpr 162.14 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2523 62 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 166028 62 82 8460 8542 1 6360 2667 53 53 2809 clb auto 80.8 MiB 1.64 106462 156.9 MiB 11.66 0.10 27.3694 -1405.65 -27.3694 27.3694 6.50 0.0131872 0.0108944 1.45874 1.21036 39 139970 28 7.803e+07 7.569e+07 -1 -1 51.45 6.12069 5.06849 121914 1953961 -1 144931 31 48619 167828 39940771 3423139 0 0 39940771 3423139 127336 79736 0 0 191042 168106 0 0 313664 199838 0 0 404795 200009 0 0 19021932 1422009 0 0 19882002 1353441 0 0 127336 0 0 118401 973249 968550 3286447 44995 45725 41.0337 41.0337 -1992.23 -41.0337 0 0 -1 -1 3.51 6.52 0.80 -1 -1 3.51 1.03288 0.877172 -k4_n4_v7_bidir.xml des.blif common 22.29 vpr 60.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 449 256 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 61696 256 245 1847 2092 0 1443 950 34 34 1156 io auto 22.6 MiB 0.39 16116 60.2 MiB 1.59 0.01 12.1555 -2310.02 -12.1555 nan 3.43 0.00287245 0.00259734 0.321435 0.289343 20 23642 47 3.072e+07 1.347e+07 -1 -1 8.33 1.61049 1.43891 36518 419916 -1 22570 33 10798 37572 3378704 331305 0 0 3378704 331305 35132 20964 0 0 43843 38573 0 0 74570 45055 0 0 87140 41461 0 0 1535270 94174 0 0 1602749 91078 0 0 35132 0 0 30148 139576 137253 678795 3362 10 16.3314 nan -2877.32 -16.3314 0 0 -1 -1 1.02 1.18 0.28 -1 -1 1.02 0.410536 0.369845 -k4_n4_v7_bidir.xml diffeq.blif common 14.43 vpr 58.88 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 416 64 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 60288 64 39 1935 1974 1 1104 519 23 23 529 clb auto 21.4 MiB 0.21 10612 58.9 MiB 1.04 0.02 11.2136 -2465.35 -11.2136 11.2136 0.92 0.00365958 0.00310677 0.249642 0.210862 24 15154 26 1.323e+07 1.248e+07 -1 -1 8.73 1.3049 1.11443 18402 227975 -1 14571 22 6635 22303 1557986 155357 0 0 1557986 155357 18616 9633 0 0 25799 22432 0 0 42133 26690 0 0 53364 21465 0 0 691822 38517 0 0 726252 36620 0 0 18616 0 0 18142 80816 80173 408262 4469 1644 15.4487 15.4487 -3188.69 -15.4487 0 0 -1 -1 0.49 0.60 0.15 -1 -1 0.49 0.223841 0.197561 -k4_n4_v7_bidir.xml dsip.blif common 18.85 vpr 58.90 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 390 229 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 60312 229 197 1815 2012 1 1190 816 29 29 841 io auto 21.3 MiB 0.31 11338 58.9 MiB 1.99 0.02 6.78424 -1682.3 -6.78424 6.78424 2.26 0.00407534 0.00352197 0.375455 0.327199 18 18194 30 2.187e+07 1.17e+07 -1 -1 8.38 1.50299 1.3315 25794 279159 -1 15867 18 6974 23868 1601609 168766 0 0 1601609 168766 13665 8341 0 0 29511 25150 0 0 47223 30160 0 0 35614 17332 0 0 747782 42942 0 0 727814 44841 0 0 13665 0 0 7600 71637 72136 191940 10761 3393 8.24975 8.24975 -2170.38 -8.24975 0 0 -1 -1 0.65 0.69 0.19 -1 -1 0.65 0.252336 0.226967 -k4_n4_v7_bidir.xml elliptic.blif common 86.64 vpr 78.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 996 131 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 80460 131 114 4855 4969 1 2150 1241 34 34 1156 clb auto 41.9 MiB 0.78 31456 78.6 MiB 4.60 0.05 18.9025 -10909.3 -18.9025 18.9025 3.38 0.00918327 0.00807442 0.907521 0.750946 29 50334 48 3.072e+07 2.988e+07 -1 -1 67.99 4.77234 3.99873 43448 604980 -1 45796 24 14035 59384 10157891 928544 0 0 10157891 928544 45109 23366 0 0 68556 60048 0 0 107303 70374 0 0 134089 59464 0 0 4836494 361852 0 0 4966340 353440 0 0 45109 0 0 52561 379686 395718 1589611 16484 11508 27.1986 27.1986 -15880.5 -27.1986 0 0 -1 -1 0.95 1.71 0.24 -1 -1 0.95 0.366436 0.316548 -k4_n4_v7_bidir.xml ex1010.blif common 54.33 vpr 93.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1500 10 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 96024 10 10 4608 4618 0 3623 1520 41 41 1681 clb auto 48.2 MiB 1.02 45872 89.4 MiB 6.07 0.05 24.5792 -235.267 -24.5792 nan 5.32 0.006415 0.00538584 0.793132 0.663681 31 65254 20 4.563e+07 4.5e+07 -1 -1 27.66 3.25018 2.71407 64722 929407 -1 63954 18 24216 95771 7055573 666763 0 0 7055573 666763 56961 36111 0 0 109273 95813 0 0 174451 113693 0 0 175534 76141 0 0 3293471 169392 0 0 3245883 175613 0 0 56961 0 0 41588 334322 328232 718151 42383 22554 27.9887 nan -272.755 -27.9887 0 0 -1 -1 1.58 1.58 0.37 -1 -1 1.58 0.369406 0.323913 -k4_n4_v7_bidir.xml ex5p.blif common 12.21 vpr 54.66 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 346 8 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 55976 8 63 1072 1135 0 907 417 21 21 441 clb auto 16.6 MiB 0.24 11634 54.7 MiB 0.43 0.01 11.978 -548.759 -11.978 nan 0.68 0.0011525 0.000985486 0.0747832 0.065051 31 17207 33 1.083e+07 1.038e+07 -1 -1 7.08 0.739789 0.639275 17122 234247 -1 16571 22 8904 31025 3098432 273627 0 0 3098432 273627 28948 17377 0 0 35377 31395 0 0 57187 36143 0 0 89992 34431 0 0 1473287 76095 0 0 1413641 78186 0 0 28948 0 0 29553 151360 160093 816246 2196 77 15.5226 nan -700.83 -15.5226 0 0 -1 -1 0.47 0.79 0.15 -1 -1 0.47 0.149624 0.133676 -k4_n4_v7_bidir.xml frisc.blif common 50.08 vpr 77.88 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1046 20 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 79744 20 116 4445 4561 1 2328 1182 35 35 1225 clb auto 41.2 MiB 0.91 38585 77.9 MiB 2.67 0.03 22.1146 -12365.8 -22.1146 22.1146 3.71 0.00528366 0.00447534 0.475209 0.407038 35 56359 32 3.267e+07 3.138e+07 -1 -1 29.98 2.88268 2.43689 50922 772933 -1 57324 25 16993 76342 16168415 1581856 0 0 16168415 1581856 62435 30760 0 0 88133 77267 0 0 145133 90673 0 0 170949 77480 0 0 7697195 661582 0 0 8004570 644094 0 0 62435 0 0 61149 408140 405060 1641874 15662 13138 32.2346 32.2346 -18032.9 -32.2346 0 0 -1 -1 1.88 2.69 0.50 -1 -1 1.88 0.424962 0.369636 -k4_n4_v7_bidir.xml misex3.blif common 22.44 vpr 56.96 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 432 14 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 58332 14 14 1411 1425 0 1075 460 23 23 529 clb auto 19.3 MiB 0.33 13609 57.0 MiB 0.58 0.01 12.4424 -149.071 -12.4424 nan 0.86 0.00163903 0.0014081 0.114916 0.101379 29 21846 42 1.323e+07 1.296e+07 -1 -1 16.04 1.4815 1.28088 19986 270173 -1 20590 32 9086 30571 4469118 419574 0 0 4469118 419574 24995 16810 0 0 34386 30615 0 0 56986 35644 0 0 78754 39751 0 0 2170985 145882 0 0 2103012 150872 0 0 24995 0 0 21397 128227 141318 518440 6355 168 18.3893 nan -221.934 -18.3893 0 0 -1 -1 0.55 1.16 0.17 -1 -1 0.55 0.234413 0.205353 -k4_n4_v7_bidir.xml pdc.blif common 98.95 vpr 97.06 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1529 16 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 99388 16 40 4591 4631 0 3652 1585 42 42 1764 clb auto 49.3 MiB 0.81 69136 93.3 MiB 7.10 0.05 21.6179 -743.475 -21.6179 nan 4.96 0.00700891 0.00590051 1.01388 0.835457 44 100646 48 4.8e+07 4.587e+07 -1 -1 70.12 4.57502 3.80785 83766 1407084 -1 95600 20 24773 102469 15423308 1166810 0 0 15423308 1166810 74016 39242 0 0 116224 102629 0 0 197984 121917 0 0 214383 84581 0 0 7373680 413621 0 0 7447021 404820 0 0 74016 0 0 73441 716903 740696 2381599 32053 14947 26.1183 nan -906.175 -26.1183 0 0 -1 -1 2.46 2.66 0.57 -1 -1 2.46 0.422895 0.367668 -k4_n4_v7_bidir.xml s298.blif common 25.62 vpr 61.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 569 4 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 62724 4 6 1942 1948 1 1189 579 26 26 676 clb auto 23.6 MiB 0.37 13902 61.3 MiB 1.32 0.02 21.2653 -159.337 -21.2653 21.2653 1.75 0.00320531 0.00267168 0.264571 0.223752 24 20305 27 1.728e+07 1.707e+07 -1 -1 16.56 1.41965 1.18851 23472 293888 -1 19274 18 6943 36590 2638267 234017 0 0 2638267 234017 17805 10475 0 0 41752 36688 0 0 65211 42563 0 0 59625 22353 0 0 1226514 61624 0 0 1227360 60314 0 0 17805 0 0 22194 266705 262746 1092478 21066 18873 25.5314 25.5314 -197.336 -25.5314 0 0 -1 -1 0.64 0.88 0.20 -1 -1 0.64 0.228434 0.202045 -k4_n4_v7_bidir.xml s38417.blif common 84.42 vpr 109.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1735 29 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 111656 29 106 7534 7640 1 4766 1870 44 44 1936 clb auto 64.0 MiB 1.37 47115 108.9 MiB 10.35 0.07 18.2412 -10727.7 -18.2412 18.2412 7.33 0.0104869 0.00875618 1.67387 1.33366 24 62051 31 5.292e+07 5.205e+07 -1 -1 49.78 5.82268 4.76783 66744 864380 -1 59129 18 26681 84526 5460148 563598 0 0 5460148 563598 64615 36408 0 0 98271 84977 0 0 158580 102786 0 0 183290 79708 0 0 2471241 128411 0 0 2484151 131308 0 0 64615 0 0 45134 233171 226422 823325 21243 27715 21.4718 21.4718 -13370 -21.4718 0 0 -1 -1 1.44 1.45 0.34 -1 -1 1.44 0.547571 0.478136 -k4_n4_v7_bidir.xml s38584.1.blif common 64.64 vpr 106.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1647 38 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 108732 38 304 7475 7779 1 4418 1989 43 43 1849 clb auto 62.9 MiB 1.43 45240 105.7 MiB 9.95 0.14 11.9263 -8962.66 -11.9263 11.9263 4.12 0.0204781 0.0166675 1.72119 1.38324 24 61212 36 5.043e+07 4.941e+07 -1 -1 34.07 5.86018 4.81494 63762 824815 -1 56605 22 22572 68759 4884198 488322 0 0 4884198 488322 60772 29939 0 0 80932 69828 0 0 125514 83937 0 0 158536 66964 0 0 2264487 115193 0 0 2193957 122461 0 0 60772 0 0 46050 185857 193259 964282 8427 16272 13.755 13.755 -10762.8 -13.755 0 0 -1 -1 1.40 1.48 0.33 -1 -1 1.40 0.643036 0.561895 -k4_n4_v7_bidir.xml seq.blif common 20.07 vpr 59.86 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 539 41 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 61292 41 35 1791 1826 0 1383 615 26 26 676 clb auto 22.2 MiB 0.41 18103 59.9 MiB 1.49 0.01 14.1718 -400.404 -14.1718 nan 1.82 0.00303307 0.00272398 0.303942 0.259221 31 26761 43 1.728e+07 1.617e+07 -1 -1 10.87 1.46069 1.2527 26172 364912 -1 25314 17 8904 30236 2761081 242974 0 0 2761081 242974 24676 13763 0 0 34465 30361 0 0 52828 35470 0 0 68647 29227 0 0 1342315 65741 0 0 1238150 68412 0 0 24676 0 0 21575 149219 155663 633503 6083 601 16.7189 nan -485.694 -16.7189 0 0 -1 -1 0.78 0.87 0.23 -1 -1 0.78 0.226284 0.202578 -k4_n4_v7_bidir.xml spla.blif common 66.71 vpr 79.47 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1232 16 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 81380 16 46 3706 3752 0 2880 1294 38 38 1444 clb auto 40.7 MiB 0.98 48512 77.6 MiB 5.03 0.03 19.8708 -663.452 -19.8708 nan 4.51 0.00544925 0.00460716 0.802941 0.666385 39 73127 49 3.888e+07 3.696e+07 -1 -1 41.07 3.28196 2.735 62858 992060 -1 76689 38 24938 108317 27614243 2739526 0 0 27614243 2739526 76714 49943 0 0 122678 108535 0 0 200513 126481 0 0 249994 127773 0 0 13332877 1176574 0 0 13631467 1150220 0 0 76714 0 0 82252 703135 728328 2244915 37471 5691 37.5659 nan -1175.98 -37.5659 0 0 -1 -1 1.68 4.28 0.40 -1 -1 1.68 0.519453 0.445216 -k4_n4_v7_bidir.xml tseng.blif common 6.22 vpr 55.24 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 279 52 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 56568 52 122 1483 1605 1 736 453 19 19 361 clb auto 17.4 MiB 0.17 6088 55.2 MiB 0.48 0.01 10.2937 -2093.39 -10.2937 10.2937 0.82 0.00138201 0.00118438 0.110916 0.0954812 20 9675 50 8.67e+06 8.37e+06 -1 -1 2.39 0.40655 0.349492 11514 125901 -1 9673 32 5136 17053 1401159 155924 0 0 1401159 155924 14106 8513 0 0 20041 17496 0 0 30847 20533 0 0 41694 20676 0 0 635052 44949 0 0 659419 43757 0 0 14106 0 0 11131 34553 34528 136721 3279 924 15.9977 15.9977 -3144.79 -15.9977 0 0 -1 -1 0.24 0.37 0.08 -1 -1 0.24 0.134475 0.118872 -k4_n4_v7_l1_bidir.xml alu4.blif common 33.56 vpr 57.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 475 14 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 59300 14 8 1536 1544 0 1091 497 24 24 576 clb auto 20.4 MiB 0.31 14281 57.9 MiB 0.78 0.01 17.405 -119.79 -17.405 nan 1.78 0.00184221 0.00161656 0.154632 0.136316 22 16570 35 1.452e+07 1.425e+07 -1 -1 24.39 0.918558 0.789986 39160 271852 -1 14294 17 7016 28109 1977925 330598 0 0 1977925 330598 15326 8868 0 0 31846 28139 0 0 60488 32028 0 0 42915 17650 0 0 915107 121672 0 0 912243 122241 0 0 15326 0 0 9202 236629 247885 514191 13461 9470 18.5804 nan -132.237 -18.5804 0 0 -1 -1 0.71 0.84 0.20 -1 -1 0.71 0.173059 0.154279 -k4_n4_v7_l1_bidir.xml apex2.blif common 37.63 vpr 61.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 600 38 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 62788 38 3 1916 1919 0 1509 641 27 27 729 clb auto 23.5 MiB 0.45 20018 61.3 MiB 1.09 0.02 19.526 -55.2387 -19.526 nan 2.20 0.00523962 0.00454581 0.215913 0.18937 24 22361 36 1.875e+07 1.8e+07 -1 -1 26.66 1.40815 1.20568 55250 396047 -1 19916 13 8987 31337 2752007 398559 0 0 2752007 398559 25182 11800 0 0 35578 31350 0 0 67906 35893 0 0 60868 23319 0 0 1290702 143807 0 0 1271771 152390 0 0 25182 0 0 17839 420776 467955 1189438 6915 1684 20.6169 nan -59.9228 -20.6169 0 0 -1 -1 0.94 0.65 0.28 -1 -1 0.94 0.123143 0.112218 -k4_n4_v7_l1_bidir.xml apex4.blif common 79.45 vpr 56.06 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 408 9 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 57404 9 19 1271 1290 0 990 436 23 23 529 clb auto 18.3 MiB 0.28 13467 56.1 MiB 0.97 0.01 17.1168 -270.116 -17.1168 nan 2.00 0.00260616 0.00216057 0.193008 0.163472 24 16172 34 1.323e+07 1.224e+07 -1 -1 71.42 0.933329 0.794523 39522 283015 -1 13934 23 7236 27503 2867797 366950 0 0 2867797 366950 24414 11077 0 0 31211 27595 0 0 61307 31454 0 0 64430 23333 0 0 1369894 135490 0 0 1316541 138001 0 0 24414 0 0 24153 574618 563683 1758928 3335 2073 18.4157 nan -300.859 -18.4157 0 0 -1 -1 0.50 0.58 0.12 -1 -1 0.50 0.0898418 0.0799685 -k4_n4_v7_l1_bidir.xml bigkey.blif common 56.34 vpr 61.64 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 456 229 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 63116 229 197 2152 2349 1 1587 882 29 29 841 io auto 23.8 MiB 0.23 12931 61.6 MiB 2.55 0.03 11.5134 -2580.62 -11.5134 11.5134 2.88 0.00421654 0.00364254 0.47615 0.412335 12 13164 47 2.187e+07 1.368e+07 -1 -1 44.04 1.61239 1.41322 39906 235943 -1 11855 13 7765 23146 1291955 248188 0 0 1291955 248188 12643 8362 0 0 28505 24092 0 0 49719 28704 0 0 34448 15944 0 0 581487 86144 0 0 585153 84942 0 0 12643 0 0 5333 124609 126725 163069 11277 9582 11.8255 11.8255 -2862.95 -11.8255 0 0 -1 -1 0.44 0.39 0.10 -1 -1 0.44 0.0972249 0.0880432 -k4_n4_v7_l1_bidir.xml clma.blif common 340.15 vpr 206.71 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2523 62 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 211676 62 82 8460 8542 1 6360 2667 53 53 2809 clb auto 80.9 MiB 1.80 104583 206.0 MiB 12.08 0.10 40.1845 -1767.17 -40.1845 40.1845 11.40 0.0136538 0.0113861 1.48842 1.24517 32 105692 33 7.803e+07 7.569e+07 -1 -1 280.19 6.43213 5.33296 274482 2081397 -1 102186 15 40416 150858 23165682 4295349 0 0 23165682 4295349 100109 51082 0 0 171431 151091 0 0 338274 173548 0 0 267727 109583 0 0 11216246 1884669 0 0 11071895 1925376 0 0 100109 0 0 70020 2252605 2258862 4673936 54052 149183 43.3092 43.3092 -2193.45 -43.3092 0 0 -1 -1 4.48 5.31 0.94 -1 -1 4.48 0.622857 0.546404 -k4_n4_v7_l1_bidir.xml des.blif common 49.20 vpr 75.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 449 256 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 77004 256 245 1847 2092 0 1443 950 34 34 1156 io auto 22.7 MiB 0.31 16346 75.2 MiB 1.46 0.01 19.6565 -2858.74 -19.6565 nan 4.86 0.00284857 0.00257838 0.283101 0.257273 14 16552 27 3.072e+07 1.347e+07 -1 -1 31.55 1.26213 1.13583 59520 369080 -1 15505 13 7511 24050 1860449 313436 0 0 1860449 313436 22152 10525 0 0 28983 24875 0 0 53359 29212 0 0 48185 20572 0 0 860349 116526 0 0 847421 111726 0 0 22152 0 0 15840 247570 252604 750939 2508 18 21.3793 nan -3183.93 -21.3793 0 0 -1 -1 0.72 0.47 0.17 -1 -1 0.72 0.120924 0.11178 -k4_n4_v7_l1_bidir.xml diffeq.blif common 24.14 vpr 58.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 416 64 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 60248 64 39 1935 1974 1 1104 519 23 23 529 clb auto 21.3 MiB 0.33 10465 58.8 MiB 1.18 0.02 11.8225 -2870.74 -11.8225 11.8225 1.89 0.00511196 0.00457381 0.333762 0.28735 18 11160 32 1.323e+07 1.248e+07 -1 -1 14.86 1.37445 1.19204 32130 214167 -1 9789 17 6691 22909 1510953 250707 0 0 1510953 250707 18516 9798 0 0 26296 23083 0 0 49394 26552 0 0 52340 20358 0 0 684926 86132 0 0 679481 84784 0 0 18516 0 0 15930 204242 199035 622517 5035 6553 12.5315 12.5315 -3204.37 -12.5315 0 0 -1 -1 0.58 0.70 0.16 -1 -1 0.58 0.214184 0.191419 -k4_n4_v7_l1_bidir.xml dsip.blif common 23.51 vpr 58.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 390 229 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 60396 229 197 1815 2012 1 1190 816 29 29 841 io auto 21.2 MiB 0.34 11724 59.0 MiB 1.32 0.01 9.84842 -2315.87 -9.84842 9.84842 3.44 0.00218157 0.00193177 0.230269 0.204499 13 11503 47 2.187e+07 1.17e+07 -1 -1 10.46 1.16394 1.03964 39906 235943 -1 10908 15 6258 21910 1258931 240379 0 0 1258931 240379 12551 7065 0 0 27236 23215 0 0 47561 27320 0 0 30588 14201 0 0 572530 85636 0 0 568465 82942 0 0 12551 0 0 6519 128245 127399 230946 9836 12901 10.5206 10.5206 -2625.5 -10.5206 0 0 -1 -1 0.68 0.68 0.19 -1 -1 0.68 0.215595 0.19482 -k4_n4_v7_l1_bidir.xml elliptic.blif common 160.00 vpr 88.24 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 996 131 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 90360 131 114 4855 4969 1 2150 1241 34 34 1156 clb auto 41.9 MiB 0.82 31289 88.1 MiB 4.69 0.05 24.5087 -13712.9 -24.5087 24.5087 4.92 0.0106927 0.0088657 0.98531 0.811583 24 33336 38 3.072e+07 2.988e+07 -1 -1 137.40 3.62962 3.0277 89088 639360 -1 29972 14 11457 51206 5336173 914779 0 0 5336173 914779 35443 14409 0 0 59947 51878 0 0 111786 60450 0 0 88118 30209 0 0 2531597 371395 0 0 2509282 386438 0 0 35443 0 0 33426 778466 828204 2041062 17548 37702 26.4067 26.4067 -15864.2 -26.4067 0 0 -1 -1 1.27 1.30 0.28 -1 -1 1.27 0.257507 0.227847 -k4_n4_v7_l1_bidir.xml ex1010.blif common 70.32 vpr 121.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1500 10 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 124688 10 10 4608 4618 0 3623 1520 41 41 1681 clb auto 48.1 MiB 1.05 45506 121.8 MiB 8.43 0.08 35.0666 -326.901 -35.0666 nan 5.86 0.0118445 0.00914672 1.17524 0.911921 21 50038 50 4.563e+07 4.5e+07 -1 -1 36.85 3.40866 2.78018 118482 826103 -1 44418 14 23834 91894 5561009 959966 0 0 5561009 959966 52411 31278 0 0 104185 91942 0 0 198164 105431 0 0 153125 66213 0 0 2525458 332837 0 0 2527666 332265 0 0 52411 0 0 32691 601081 610030 690604 43113 80630 37.3324 nan -349.905 -37.3324 0 0 -1 -1 1.70 1.68 0.38 -1 -1 1.70 0.310469 0.275438 -k4_n4_v7_l1_bidir.xml ex5p.blif common 27.69 vpr 54.52 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 346 8 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 55832 8 63 1072 1135 0 907 417 21 21 441 clb auto 16.5 MiB 0.23 11821 54.5 MiB 0.87 0.01 15.1106 -656.442 -15.1106 nan 1.77 0.00226083 0.00190398 0.169572 0.145031 25 13896 33 1.083e+07 1.038e+07 -1 -1 19.53 0.952819 0.818796 32642 233591 -1 12126 17 7100 24125 2468264 400747 0 0 2468264 400747 21863 11273 0 0 27575 24438 0 0 54620 27797 0 0 61067 21819 0 0 1169552 154997 0 0 1133587 160423 0 0 21863 0 0 19464 297997 303068 951464 2405 280 16.0274 nan -722.325 -16.0274 0 0 -1 -1 0.61 0.82 0.17 -1 -1 0.61 0.126359 0.113635 -k4_n4_v7_l1_bidir.xml frisc.blif common 126.45 vpr 91.14 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1046 20 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 93328 20 116 4445 4561 1 2328 1182 35 35 1225 clb auto 41.2 MiB 0.87 37497 90.9 MiB 5.18 0.05 24.4278 -14825.6 -24.4278 24.4278 3.69 0.011256 0.00924867 1.04417 0.864716 28 40396 33 3.267e+07 3.138e+07 -1 -1 102.22 3.47424 2.8993 103554 761463 -1 37472 16 14545 65250 6748340 1094963 0 0 6748340 1094963 51839 20960 0 0 74784 65913 0 0 147412 75320 0 0 124639 42362 0 0 3180898 442393 0 0 3168768 448015 0 0 51839 0 0 44682 913658 964708 2547867 14341 30993 25.9394 25.9394 -16407.8 -25.9394 0 0 -1 -1 1.53 1.58 0.35 -1 -1 1.53 0.264722 0.233403 -k4_n4_v7_l1_bidir.xml misex3.blif common 40.68 vpr 56.92 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 432 14 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 58284 14 14 1411 1425 0 1075 460 23 23 529 clb auto 19.2 MiB 0.23 13559 56.9 MiB 0.92 0.01 15.1312 -191.55 -15.1312 nan 2.00 0.00218608 0.00184569 0.194811 0.167757 24 14933 33 1.323e+07 1.296e+07 -1 -1 32.68 1.14987 0.986492 39522 283015 -1 13559 16 6945 25011 1949638 293678 0 0 1949638 293678 19302 9448 0 0 28270 25064 0 0 54963 28521 0 0 49675 18530 0 0 887784 105602 0 0 909644 106513 0 0 19302 0 0 14303 269839 305045 781317 6280 329 16.3426 nan -207.471 -16.3426 0 0 -1 -1 0.51 0.46 0.12 -1 -1 0.51 0.0865513 0.0778952 -k4_n4_v7_l1_bidir.xml pdc.blif common 557.85 vpr 125.01 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1529 16 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 128012 16 40 4591 4631 0 3652 1585 42 42 1764 clb auto 49.2 MiB 1.30 69783 125.0 MiB 6.42 0.05 33.871 -1073.72 -33.871 nan 6.18 0.00699802 0.00589801 0.910004 0.768433 36 78968 41 4.8e+07 4.587e+07 -1 -1 516.51 3.83176 3.19908 183520 1412616 -1 73165 19 26743 110329 30207887 6932804 0 0 30207887 6932804 75914 39272 0 0 124503 110532 0 0 253579 125570 0 0 208587 91070 0 0 14783600 3307687 0 0 14761704 3258673 0 0 75914 0 0 61677 1926991 1935543 4156709 38205 46838 37.0174 nan -1232.06 -37.0174 0 0 -1 -1 3.20 6.83 0.68 -1 -1 3.20 0.436531 0.380246 -k4_n4_v7_l1_bidir.xml s298.blif common 37.58 vpr 61.30 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 569 4 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 62768 4 6 1942 1948 1 1189 579 26 26 676 clb auto 23.7 MiB 0.34 13873 61.3 MiB 1.35 0.02 24.2645 -193.053 -24.2645 24.2645 2.45 0.0041446 0.00341936 0.294175 0.249736 18 15535 42 1.728e+07 1.707e+07 -1 -1 26.17 1.48666 1.26431 41472 276960 -1 13860 17 7610 39805 2906585 379757 0 0 2906585 379757 18689 10053 0 0 45026 39946 0 0 86533 45268 0 0 56155 21208 0 0 1366072 132494 0 0 1334110 130788 0 0 18689 0 0 17799 645846 615458 1654259 22883 60013 25.7713 25.7713 -215.418 -25.7713 0 0 -1 -1 0.76 1.13 0.20 -1 -1 0.76 0.237676 0.211277 -k4_n4_v7_l1_bidir.xml s38417.blif common 66.71 vpr 143.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1735 29 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 147016 29 106 7534 7640 1 4766 1870 44 44 1936 clb auto 63.9 MiB 1.38 45823 143.6 MiB 8.73 0.07 22.8839 -12843.2 -22.8839 22.8839 8.40 0.00977925 0.00824865 1.49261 1.21027 17 43163 40 5.292e+07 5.205e+07 -1 -1 23.33 4.23076 3.50425 115248 760028 -1 41128 18 28261 92950 7948381 1518251 0 0 7948381 1518251 69307 37985 0 0 106536 93496 0 0 193523 107704 0 0 190632 80873 0 0 3683204 599873 0 0 3705179 598320 0 0 69307 0 0 44754 719843 771922 1984489 24820 86818 25.14 25.14 -15595.2 -25.14 0 0 -1 -1 1.84 2.24 0.60 -1 -1 1.84 0.559665 0.488111 -k4_n4_v7_l1_bidir.xml s38584.1.blif common 117.02 vpr 140.78 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1647 38 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 144160 38 304 7475 7779 1 4418 1989 43 43 1849 clb auto 63.0 MiB 1.26 43857 140.8 MiB 10.37 0.09 16.7322 -11603.5 -16.7322 16.7322 8.15 0.010904 0.00914326 1.7393 1.40031 18 40982 38 5.043e+07 4.941e+07 -1 -1 77.35 5.61243 4.66345 116850 784767 -1 37635 12 19817 61405 3597315 612912 0 0 3597315 612912 51852 23136 0 0 72468 62331 0 0 125179 73271 0 0 124179 46367 0 0 1626073 193860 0 0 1597564 213947 0 0 51852 0 0 33835 414734 459921 1331434 9898 33449 17.1951 17.1951 -12925.6 -17.1951 0 0 -1 -1 1.62 1.22 0.36 -1 -1 1.62 0.416389 0.371329 -k4_n4_v7_l1_bidir.xml seq.blif common 36.27 vpr 59.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 539 41 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 61424 41 35 1791 1826 0 1383 615 26 26 676 clb auto 22.4 MiB 0.30 18265 60.0 MiB 1.69 0.02 17.4129 -521.247 -17.4129 nan 2.62 0.00374591 0.00319704 0.325761 0.272509 25 20249 35 1.728e+07 1.617e+07 -1 -1 25.01 1.43902 1.22664 51072 366016 -1 18520 15 9196 33616 2989180 446006 0 0 2989180 446006 26359 12417 0 0 38313 33765 0 0 73490 38646 0 0 65263 24902 0 0 1401501 167478 0 0 1384254 168798 0 0 26359 0 0 19621 438846 469238 1208589 7716 1628 18.2072 nan -565.551 -18.2072 0 0 -1 -1 0.95 0.69 0.26 -1 -1 0.95 0.12182 0.110706 -k4_n4_v7_l1_bidir.xml spla.blif common 196.97 vpr 106.78 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1232 16 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 109340 16 46 3706 3752 0 2880 1294 38 38 1444 clb auto 40.6 MiB 0.94 47819 106.8 MiB 5.37 0.05 25.6975 -850.101 -25.6975 nan 6.61 0.00868641 0.00714317 0.823337 0.681109 32 53781 33 3.888e+07 3.696e+07 -1 -1 165.70 2.84279 2.37047 138672 1051752 -1 50398 22 20422 87313 14493536 2685062 0 0 14493536 2685062 60340 30084 0 0 98575 87521 0 0 203107 99439 0 0 162715 66046 0 0 7033398 1202081 0 0 6935401 1199891 0 0 60340 0 0 50761 1399051 1395910 3073721 31368 17675 32.7346 nan -1011.41 -32.7346 0 0 -1 -1 2.14 2.98 0.48 -1 -1 2.14 0.325518 0.283942 -k4_n4_v7_l1_bidir.xml tseng.blif common 8.33 vpr 55.22 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 279 52 -1 -1 success v8.0.0-7665-g5d69764bf Release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T18:37:45 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_2/vtr-verilog-to-routing/vtr_flow/tasks 56548 52 122 1483 1605 1 736 453 19 19 361 clb auto 17.4 MiB 0.19 5903 55.2 MiB 0.44 0.01 9.31933 -2372.61 -9.31933 9.31933 0.76 0.00133135 0.00113349 0.0954906 0.0822718 15 6780 34 8.67e+06 8.37e+06 -1 -1 4.56 0.719686 0.622182 19074 119991 -1 5453 18 4114 14172 662101 126995 0 0 662101 126995 11029 6064 0 0 16827 14628 0 0 29363 16930 0 0 30631 12837 0 0 288032 38497 0 0 286219 38039 0 0 11029 0 0 8003 60771 59632 156668 3426 4185 10.6804 10.6804 -2794.19 -10.6804 0 0 -1 -1 0.20 0.21 0.05 -1 -1 0.20 0.0756839 0.0675885 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k4_n4_v7_bidir.xml alu4.blif common 17.44 vpr 69.42 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 475 14 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71084 14 8 1536 1544 0 1091 497 24 24 576 clb auto 31.9 MiB 0.32 14174 132286 40868 89655 1763 69.4 MiB 1.04 0.02 13.4464 -91.906 -13.4464 nan 1.49 0.00363085 0.00306325 0.261021 0.22274 29 20637 30 1.452e+07 1.425e+07 -1 -1 9.67 1.28299 1.09808 21748 295116 -1 20162 26 7151 24783 2647322 244785 20.7148 nan -122.12 -20.7148 0 0 -1 -1 0.64 0.84 0.20 -1 -1 0.64 0.222777 0.19565 +k4_n4_v7_bidir.xml apex2.blif common 24.85 vpr 72.72 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 600 38 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74464 38 3 1916 1919 0 1509 641 27 27 729 clb auto 34.9 MiB 0.39 20043 185695 57099 124547 4049 72.7 MiB 1.47 0.02 17.2847 -48.9949 -17.2847 nan 1.88 0.00525746 0.0044875 0.341191 0.289716 31 29455 45 1.875e+07 1.8e+07 -1 -1 14.99 2.02361 1.73036 28210 394495 -1 28318 19 10543 37881 3416794 290276 20.0732 nan -57.1823 -20.0732 0 0 -1 -1 0.84 1.01 0.24 -1 -1 0.84 0.250665 0.221169 +k4_n4_v7_bidir.xml apex4.blif common 20.26 vpr 67.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 408 9 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69080 9 19 1271 1290 0 990 436 23 23 529 clb auto 29.8 MiB 0.23 13678 104512 30234 72991 1287 67.5 MiB 0.78 0.01 13.0701 -215.669 -13.0701 nan 1.21 0.00280494 0.00238752 0.201724 0.172293 35 20666 30 1.323e+07 1.224e+07 -1 -1 13.18 1.37379 1.18093 22098 325261 -1 22379 35 9612 33453 6478145 645684 25.1822 nan -367.139 -25.1822 0 0 -1 -1 0.62 1.41 0.18 -1 -1 0.62 0.224832 0.196507 +k4_n4_v7_bidir.xml bigkey.blif common 36.05 vpr 72.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 456 229 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74736 229 197 2152 2349 1 1587 882 29 29 841 io auto 35.2 MiB 0.36 12681 423682 131937 280629 11116 73.0 MiB 2.25 0.03 7.52913 -1787.21 -7.52913 7.52913 2.32 0.00489102 0.00427032 0.501032 0.435338 18 19318 32 2.187e+07 1.368e+07 -1 -1 25.01 2.2558 1.95381 25794 279159 -1 18057 22 8149 23937 1589608 166797 9.03766 9.03766 -2317.94 -9.03766 0 0 -1 -1 0.64 0.65 0.19 -1 -1 0.64 0.236769 0.210354 +k4_n4_v7_bidir.xml clma.blif common 188.81 vpr 192.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2523 62 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 196996 62 82 8460 8542 1 6360 2667 53 53 2809 clb auto 92.4 MiB 1.89 105809 1777419 713008 1051759 12652 171.4 MiB 18.44 0.17 26.856 -1426.32 -26.856 26.856 10.00 0.0268114 0.0216048 2.69497 2.17564 39 141378 37 7.803e+07 7.569e+07 -1 -1 126.54 10.991 8.81864 121914 1953961 -1 146171 37 50209 175999 42158191 3721713 43.2117 43.2117 -1915.12 -43.2117 0 0 -1 -1 4.17 7.48 0.81 -1 -1 4.17 1.28312 1.03136 +k4_n4_v7_bidir.xml des.blif common 22.99 vpr 71.62 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 449 256 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73336 256 245 1847 2092 0 1443 950 34 34 1156 io auto 33.9 MiB 0.35 15843 374390 116979 243880 13531 71.6 MiB 1.95 0.02 12.214 -2211.05 -12.214 nan 3.27 0.00507669 0.00440644 0.442277 0.389346 20 22359 42 3.072e+07 1.347e+07 -1 -1 9.31 1.86258 1.64697 36518 419916 -1 22126 18 8638 28641 2394088 234773 15.5292 nan -2754.74 -15.5292 0 0 -1 -1 1.00 0.73 0.28 -1 -1 1.00 0.224301 0.205468 +k4_n4_v7_bidir.xml diffeq.blif common 18.72 vpr 70.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 416 64 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72004 64 39 1935 1974 1 1104 519 23 23 529 clb auto 32.8 MiB 0.33 10636 127643 33462 91017 3164 70.3 MiB 0.91 0.01 11.0319 -2451.49 -11.0319 11.0319 1.21 0.00392968 0.00350365 0.277876 0.239483 24 16882 39 1.323e+07 1.248e+07 -1 -1 12.39 1.74848 1.49821 18402 227975 -1 14715 19 6503 22528 1510733 148184 14.2143 14.2143 -3213.8 -14.2143 0 0 -1 -1 0.44 0.54 0.13 -1 -1 0.44 0.201769 0.177203 +k4_n4_v7_bidir.xml dsip.blif common 22.13 vpr 70.24 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 390 229 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71924 229 197 1815 2012 1 1190 816 29 29 841 io auto 32.5 MiB 0.31 11596 366672 114248 242106 10318 70.2 MiB 1.94 0.02 6.92377 -1731.8 -6.92377 6.92377 2.33 0.00490446 0.0042461 0.46093 0.399107 20 16189 18 2.187e+07 1.17e+07 -1 -1 11.55 2.131 1.87016 26634 302857 -1 16475 18 6750 23374 1738361 174602 9.26105 9.26105 -2366.64 -9.26105 0 0 -1 -1 0.69 0.57 0.20 -1 -1 0.69 0.178407 0.159825 +k4_n4_v7_bidir.xml elliptic.blif common 50.97 vpr 89.56 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 996 131 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 91712 131 114 4855 4969 1 2150 1241 34 34 1156 clb auto 52.8 MiB 0.72 31785 534521 184504 344581 5436 89.6 MiB 4.10 0.05 18.5215 -11025.6 -18.5215 18.5215 3.28 0.0119059 0.00985896 1.0367 0.869774 31 44332 26 3.072e+07 2.988e+07 -1 -1 32.26 5.29498 4.4589 44604 633776 -1 42013 19 11048 50685 4536764 379730 22.2122 22.2122 -14294.3 -22.2122 0 0 -1 -1 1.50 1.66 0.39 -1 -1 1.50 0.588739 0.515726 +k4_n4_v7_bidir.xml ex1010.blif common 103.93 vpr 109.96 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1500 10 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 112596 10 10 4608 4618 0 3623 1520 41 41 1681 clb auto 59.6 MiB 0.91 45315 752816 281185 469923 1708 101.4 MiB 7.26 0.08 24.3506 -238.09 -24.3506 nan 5.27 0.0126535 0.0100518 1.15415 0.942497 28 68536 48 4.563e+07 4.5e+07 -1 -1 74.66 6.2347 5.11744 61362 838935 -1 65084 17 25335 102015 7815580 723670 28.2781 nan -275.02 -28.2781 0 0 -1 -1 2.08 2.50 0.53 -1 -1 2.08 0.589482 0.506697 +k4_n4_v7_bidir.xml ex5p.blif common 14.92 vpr 66.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 346 8 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67628 8 63 1072 1135 0 907 417 21 21 441 clb auto 28.2 MiB 0.21 11649 95394 26684 66851 1859 66.0 MiB 0.74 0.01 12.2107 -549.822 -12.2107 nan 1.04 0.00298017 0.00268159 0.197682 0.173647 31 17501 31 1.083e+07 1.038e+07 -1 -1 9.16 1.21065 1.05362 17122 234247 -1 16400 26 8298 29461 2749441 242838 15.4943 nan -703.763 -15.4943 0 0 -1 -1 0.47 0.78 0.14 -1 -1 0.47 0.183378 0.161694 +k4_n4_v7_bidir.xml frisc.blif common 106.75 vpr 89.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1046 20 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 91388 20 116 4445 4561 1 2328 1182 35 35 1225 clb auto 52.5 MiB 0.82 38027 513436 174788 329424 9224 89.2 MiB 4.24 0.05 22.8124 -12212.1 -22.8124 22.8124 3.78 0.00984378 0.00877387 0.966239 0.811422 32 54923 33 3.267e+07 3.138e+07 -1 -1 83.79 4.92325 4.09207 48474 707469 -1 57066 30 18010 79873 15974450 1527181 34.7154 34.7154 -19995 -34.7154 0 0 -1 -1 1.72 4.08 0.47 -1 -1 1.72 0.775051 0.661693 +k4_n4_v7_bidir.xml misex3.blif common 18.73 vpr 68.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 432 14 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69932 14 14 1411 1425 0 1075 460 23 23 529 clb auto 30.6 MiB 0.32 14069 110510 32966 75632 1912 68.3 MiB 0.85 0.01 13.2769 -167.707 -13.2769 nan 1.21 0.00324616 0.0027205 0.219988 0.185977 30 24468 50 1.323e+07 1.296e+07 -1 -1 12.09 1.17457 0.997604 20514 283063 -1 20028 17 7750 29304 2577901 229573 15.901 nan -202.534 -15.901 0 0 -1 -1 0.54 0.61 0.17 -1 -1 0.54 0.122953 0.109389 +k4_n4_v7_bidir.xml pdc.blif common 171.71 vpr 117.26 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1529 16 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 120072 16 40 4591 4631 0 3652 1585 42 42 1764 clb auto 60.9 MiB 1.22 70145 832915 321309 505590 6016 105.5 MiB 7.69 0.08 23.6438 -801.137 -23.6438 nan 5.69 0.0154547 0.0124982 1.3377 1.08519 44 102142 46 4.8e+07 4.587e+07 -1 -1 137.28 6.61883 5.46802 83766 1407084 -1 93305 18 22702 92798 12728960 976353 28.339 nan -994.51 -28.339 0 0 -1 -1 3.72 3.48 0.92 -1 -1 3.72 0.655128 0.563386 +k4_n4_v7_bidir.xml s298.blif common 23.42 vpr 72.67 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 569 4 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74412 4 6 1942 1948 1 1189 579 26 26 676 clb auto 35.2 MiB 0.32 13928 162183 48631 112366 1186 72.7 MiB 1.36 0.02 20.5855 -161.064 -20.5855 20.5855 1.80 0.0055618 0.00472782 0.398105 0.34059 24 20878 26 1.728e+07 1.707e+07 -1 -1 14.13 2.19818 1.86895 23472 293888 -1 19862 23 7216 40140 2990150 253336 25.0042 25.0042 -207.808 -25.0042 0 0 -1 -1 0.63 1.04 0.18 -1 -1 0.63 0.297513 0.261213 +k4_n4_v7_bidir.xml s38417.blif common 92.73 vpr 129.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1735 29 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 132480 29 106 7534 7640 1 4766 1870 44 44 1936 clb auto 75.5 MiB 1.31 46320 1026794 365793 646544 14457 121.0 MiB 10.73 0.10 16.9705 -10248.7 -16.9705 16.9705 6.78 0.019835 0.0160748 1.96127 1.58256 24 62144 35 5.292e+07 5.205e+07 -1 -1 54.97 8.5233 6.92732 66744 864380 -1 58564 18 26758 87164 5568643 555894 20.4325 20.4325 -12798.9 -20.4325 0 0 -1 -1 2.29 2.44 0.55 -1 -1 2.29 0.987495 0.842229 +k4_n4_v7_bidir.xml s38584.1.blif common 71.08 vpr 125.95 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1647 38 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 128976 38 304 7475 7779 1 4418 1989 43 43 1849 clb auto 74.6 MiB 1.32 44338 1202277 446289 732973 23015 117.8 MiB 10.57 0.13 13.476 -8715.74 -13.476 13.476 6.27 0.0163793 0.0124343 1.93474 1.55906 24 57922 30 5.043e+07 4.941e+07 -1 -1 35.72 6.04173 4.89691 63762 824815 -1 55172 15 20685 62389 4001137 407195 15.274 15.274 -10667.2 -15.274 0 0 -1 -1 1.95 1.73 0.51 -1 -1 1.95 0.763053 0.664617 +k4_n4_v7_bidir.xml seq.blif common 35.98 vpr 71.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 539 41 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73168 41 35 1791 1826 0 1383 615 26 26 676 clb auto 33.9 MiB 0.37 18170 183595 57158 121708 4729 71.5 MiB 1.43 0.02 13.4584 -384.563 -13.4584 nan 1.75 0.00466499 0.00399952 0.352038 0.3005 29 28903 40 1.728e+07 1.617e+07 -1 -1 26.29 1.97949 1.6902 25496 348308 -1 26879 29 10729 35034 4857656 420532 17.7535 nan -517.773 -17.7535 0 0 -1 -1 0.79 1.36 0.22 -1 -1 0.79 0.326225 0.285963 +k4_n4_v7_bidir.xml spla.blif common 84.67 vpr 97.52 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1232 16 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 99860 16 46 3706 3752 0 2880 1294 38 38 1444 clb auto 51.9 MiB 0.91 48320 593326 210099 381047 2180 89.7 MiB 5.08 0.06 19.804 -670.02 -19.804 nan 4.33 0.0109686 0.00872955 0.922311 0.756636 39 72696 43 3.888e+07 3.696e+07 -1 -1 55.39 5.05634 4.22744 62858 992060 -1 76544 39 25012 108571 26158736 2543466 37.3787 nan -1117.24 -37.3787 0 0 -1 -1 2.58 6.77 0.67 -1 -1 2.58 1.03185 0.873787 +k4_n4_v7_bidir.xml tseng.blif common 9.48 vpr 66.60 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 279 52 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68200 52 122 1483 1605 1 736 453 19 19 361 clb auto 28.8 MiB 0.20 6010 104793 27903 73131 3759 66.6 MiB 0.71 0.01 9.61652 -2176.65 -9.61652 9.61652 0.81 0.00337811 0.00293143 0.225685 0.197501 20 9821 48 8.67e+06 8.37e+06 -1 -1 4.93 1.02391 0.897111 11514 125901 -1 9683 24 4919 16156 1251159 137257 18.4476 18.4476 -3634.31 -18.4476 0 0 -1 -1 0.24 0.47 0.08 -1 -1 0.24 0.192534 0.171119 +k4_n4_v7_l1_bidir.xml alu4.blif common 26.57 vpr 69.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 475 14 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70952 14 8 1536 1544 0 1091 497 24 24 576 clb auto 31.7 MiB 0.31 14038 132286 40480 89756 2050 69.3 MiB 1.02 0.01 18.8338 -136.416 -18.8338 nan 2.13 0.00352168 0.00299109 0.273932 0.233388 21 16106 46 1.452e+07 1.425e+07 -1 -1 16.70 1.24963 1.06913 39160 271852 -1 14185 16 7135 28705 1954251 340264 20.0348 nan -150.466 -20.0348 0 0 -1 -1 0.72 0.82 0.21 -1 -1 0.72 0.168429 0.15049 +k4_n4_v7_l1_bidir.xml apex2.blif common 107.96 vpr 72.71 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 600 38 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74460 38 3 1916 1919 0 1509 641 27 27 729 clb auto 34.9 MiB 0.40 19885 205029 64844 135695 4490 72.7 MiB 1.62 0.02 18.2297 -54.2142 -18.2297 nan 2.84 0.00493427 0.00408727 0.385936 0.328263 24 23070 41 1.875e+07 1.8e+07 -1 -1 94.46 2.0691 1.75961 55250 396047 -1 20150 17 10295 37717 3295270 456089 19.7281 nan -58.6098 -19.7281 0 0 -1 -1 1.08 1.21 0.29 -1 -1 1.08 0.227 0.200295 +k4_n4_v7_l1_bidir.xml apex4.blif common 35.32 vpr 67.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 408 9 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68960 9 19 1271 1290 0 990 436 23 23 529 clb auto 29.7 MiB 0.25 13558 117728 36618 79402 1708 67.3 MiB 0.93 0.01 15.4514 -256.46 -15.4514 nan 1.92 0.00310336 0.00269028 0.234697 0.203953 25 16602 43 1.323e+07 1.224e+07 -1 -1 26.30 1.3031 1.12635 39522 283015 -1 13931 18 7749 28466 2777214 358914 16.5132 nan -276.242 -16.5132 0 0 -1 -1 0.74 0.87 0.22 -1 -1 0.74 0.145756 0.129708 +k4_n4_v7_l1_bidir.xml bigkey.blif common 26.41 vpr 72.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 456 229 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74512 229 197 2152 2349 1 1587 882 29 29 841 io auto 35.0 MiB 0.30 12767 444822 145271 288077 11474 72.8 MiB 2.44 0.03 9.91523 -2238.85 -9.91523 9.91523 3.43 0.00545049 0.00469794 0.600117 0.519323 13 12656 28 2.187e+07 1.368e+07 -1 -1 11.77 1.91937 1.66951 39906 235943 -1 12014 17 7519 22941 1304618 249715 11.9802 11.9802 -2661.92 -11.9802 0 0 -1 -1 0.65 0.70 0.19 -1 -1 0.65 0.237757 0.211357 +k4_n4_v7_l1_bidir.xml clma.blif common 364.66 vpr 233.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2523 62 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 238648 62 82 8460 8542 1 6360 2667 53 53 2809 clb auto 92.4 MiB 1.93 106250 1906828 766911 1124716 15201 221.5 MiB 19.46 0.17 38.7957 -1752.06 -38.7957 38.7957 14.25 0.0235555 0.0190246 2.72279 2.19106 32 106889 32 7.803e+07 7.569e+07 -1 -1 281.64 12.3104 9.95411 274482 2081397 -1 102260 16 40820 147435 22452188 4160096 42.337 42.337 -2091.56 -42.337 0 0 -1 -1 6.69 7.94 1.55 -1 -1 6.69 1.12296 0.957882 +k4_n4_v7_l1_bidir.xml des.blif common 35.92 vpr 87.26 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 449 256 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 89356 256 245 1847 2092 0 1443 950 34 34 1156 io auto 33.8 MiB 0.35 15948 383726 122306 247332 14088 87.3 MiB 2.05 0.03 15.9777 -2830.67 -15.9777 nan 4.94 0.00587598 0.00521456 0.492448 0.435243 13 16386 34 3.072e+07 1.347e+07 -1 -1 16.55 1.71915 1.53068 55296 328128 -1 15284 17 8535 28623 2331783 407957 16.94 nan -3054.8 -16.94 0 0 -1 -1 0.91 0.90 0.25 -1 -1 0.91 0.244 0.222984 +k4_n4_v7_l1_bidir.xml diffeq.blif common 24.43 vpr 70.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 416 64 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71940 64 39 1935 1974 1 1104 519 23 23 529 clb auto 32.8 MiB 0.30 10598 138063 36162 98499 3402 70.3 MiB 1.08 0.02 12.2512 -2895.83 -12.2512 12.2512 1.95 0.0046839 0.00396852 0.331079 0.284912 19 12324 46 1.323e+07 1.248e+07 -1 -1 15.46 1.86954 1.61149 32130 214167 -1 9951 18 6548 22867 1571604 268055 13.0903 13.0903 -3319.71 -13.0903 0 0 -1 -1 0.56 0.67 0.16 -1 -1 0.56 0.202353 0.178714 +k4_n4_v7_l1_bidir.xml dsip.blif common 22.61 vpr 70.55 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 390 229 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72248 229 197 1815 2012 1 1190 816 29 29 841 io auto 32.4 MiB 0.30 11385 385727 121997 253019 10711 70.6 MiB 2.09 0.02 10.2792 -2388.21 -10.2792 10.2792 3.47 0.00527809 0.00458139 0.509663 0.444255 13 11187 20 2.187e+07 1.17e+07 -1 -1 8.41 1.3622 1.19955 39906 235943 -1 10666 15 5973 21004 1125980 209113 10.6813 10.6813 -2649.67 -10.6813 0 0 -1 -1 0.65 0.58 0.18 -1 -1 0.65 0.195074 0.174713 +k4_n4_v7_l1_bidir.xml elliptic.blif common 256.93 vpr 103.23 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 996 131 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 105708 131 114 4855 4969 1 2150 1241 34 34 1156 clb auto 53.2 MiB 0.77 31282 587849 207319 373027 7503 99.8 MiB 4.24 0.05 22.9812 -14010 -22.9812 22.9812 4.90 0.0107819 0.00881581 0.985116 0.808559 24 35240 46 3.072e+07 2.988e+07 -1 -1 231.46 5.20843 4.33517 89088 639360 -1 30504 15 11812 52913 5079020 780401 24.3392 24.3392 -16223.2 -24.3392 0 0 -1 -1 1.99 2.04 0.53 -1 -1 1.99 0.469385 0.403404 +k4_n4_v7_l1_bidir.xml ex1010.blif common 102.78 vpr 136.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1500 10 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 139680 10 10 4608 4618 0 3623 1520 41 41 1681 clb auto 59.7 MiB 0.93 45908 779024 293384 483341 2299 134.7 MiB 7.21 0.08 32.4915 -315.42 -32.4915 nan 7.79 0.012388 0.0100229 1.14846 0.935265 22 50810 39 4.563e+07 4.5e+07 -1 -1 63.00 4.79869 3.97 118482 826103 -1 45959 18 26145 103822 6738378 1107233 34.9381 nan -338.005 -34.9381 0 0 -1 -1 2.47 3.09 0.63 -1 -1 2.47 0.608655 0.526574 +k4_n4_v7_l1_bidir.xml ex5p.blif common 28.10 vpr 65.74 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 346 8 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67320 8 63 1072 1135 0 907 417 21 21 441 clb auto 27.9 MiB 0.21 11744 106293 32437 71356 2500 65.7 MiB 0.79 0.01 15.9063 -721.883 -15.9063 nan 1.53 0.00284433 0.00250074 0.193913 0.170976 24 13582 33 1.083e+07 1.038e+07 -1 -1 20.73 0.775578 0.670126 32642 233591 -1 11958 17 7190 24788 2135216 321508 17.2032 nan -799.732 -17.2032 0 0 -1 -1 0.59 0.67 0.18 -1 -1 0.59 0.110667 0.0989465 +k4_n4_v7_l1_bidir.xml frisc.blif common 159.45 vpr 107.85 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1046 20 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 110436 20 116 4445 4561 1 2328 1182 35 35 1225 clb auto 52.5 MiB 0.87 37595 544671 189581 345218 9872 102.8 MiB 4.19 0.05 25.8633 -14640.9 -25.8633 25.8633 5.78 0.0102966 0.00861327 0.928872 0.768097 28 40169 32 3.267e+07 3.138e+07 -1 -1 130.94 4.75729 3.98788 103554 761463 -1 37656 20 14312 64361 6520176 1086680 27.3877 27.3877 -16231.3 -27.3877 0 0 -1 -1 2.30 2.48 0.60 -1 -1 2.30 0.507682 0.436597 +k4_n4_v7_l1_bidir.xml misex3.blif common 40.81 vpr 68.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 432 14 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69968 14 14 1411 1425 0 1075 460 23 23 529 clb auto 30.6 MiB 0.28 13603 122935 37802 83503 1630 68.3 MiB 0.91 0.01 13.8245 -177.164 -13.8245 nan 1.82 0.003268 0.00279845 0.239989 0.205344 23 16940 50 1.323e+07 1.296e+07 -1 -1 32.16 1.33962 1.14839 37674 266685 -1 13977 15 7579 26380 2866376 521181 15.5442 nan -198.671 -15.5442 0 0 -1 -1 0.64 0.83 0.18 -1 -1 0.64 0.117533 0.105231 +k4_n4_v7_l1_bidir.xml pdc.blif common 869.97 vpr 151.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1529 16 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 155632 16 40 4591 4631 0 3652 1585 42 42 1764 clb auto 60.9 MiB 1.14 68899 906811 351987 548831 5993 139.0 MiB 7.86 0.08 29.6897 -986.645 -29.6897 nan 7.88 0.0136857 0.0109913 1.36494 1.10476 36 79933 46 4.8e+07 4.587e+07 -1 -1 819.71 6.72289 5.51324 183520 1412616 -1 74383 22 28592 120264 31547803 6920039 33.0809 nan -1129.97 -33.0809 0 0 -1 -1 4.60 10.19 1.08 -1 -1 4.60 0.781141 0.663216 +k4_n4_v7_l1_bidir.xml s298.blif common 27.15 vpr 72.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 569 4 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74204 4 6 1942 1948 1 1189 579 26 26 676 clb auto 35.0 MiB 0.29 14145 167007 51573 114453 981 72.5 MiB 1.23 0.02 24.5862 -193.603 -24.5862 24.5862 2.46 0.00480873 0.00409747 0.363203 0.312414 18 15856 30 1.728e+07 1.707e+07 -1 -1 16.01 1.52954 1.31259 41472 276960 -1 14068 16 7678 38272 2944169 350902 26.0138 26.0138 -211.366 -26.0138 0 0 -1 -1 0.70 0.97 0.19 -1 -1 0.70 0.20784 0.183691 +k4_n4_v7_l1_bidir.xml s38417.blif common 119.64 vpr 157.11 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1735 29 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 160884 29 106 7534 7640 1 4766 1870 44 44 1936 clb auto 75.2 MiB 1.18 46153 1084374 392034 674958 17382 156.2 MiB 10.59 0.11 24.4089 -13791 -24.4089 24.4089 9.51 0.0188306 0.014903 2.02721 1.6349 18 43430 31 5.292e+07 5.205e+07 -1 -1 71.64 7.30466 5.94662 122472 822684 -1 40193 15 22858 72604 3997439 712272 25.9612 25.9612 -15612.1 -25.9612 0 0 -1 -1 2.52 2.29 0.63 -1 -1 2.52 0.846999 0.722897 +k4_n4_v7_l1_bidir.xml s38584.1.blif common 86.64 vpr 152.72 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1647 38 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 156388 38 304 7475 7779 1 4418 1989 43 43 1849 clb auto 74.5 MiB 1.27 44316 1227283 454172 750014 23097 151.3 MiB 9.50 0.13 16.4414 -11776.3 -16.4414 16.4414 8.09 0.0175251 0.0139135 1.85343 1.50098 19 43437 39 5.043e+07 4.941e+07 -1 -1 44.60 7.74941 6.42709 116850 784767 -1 38365 12 20072 62335 3603682 632397 17.8523 17.8523 -13299.5 -17.8523 0 0 -1 -1 2.20 1.91 0.56 -1 -1 2.20 0.722847 0.634058 +k4_n4_v7_l1_bidir.xml seq.blif common 31.86 vpr 71.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 539 41 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 73044 41 35 1791 1826 0 1383 615 26 26 676 clb auto 33.8 MiB 0.34 18254 201893 63880 132728 5285 71.3 MiB 1.44 0.02 17.233 -510.179 -17.233 nan 2.46 0.00439885 0.00374544 0.352693 0.300549 24 21248 36 1.728e+07 1.617e+07 -1 -1 20.29 1.29987 1.10883 51072 366016 -1 18280 15 8556 30692 2636146 395078 18.9849 nan -566.618 -18.9849 0 0 -1 -1 0.93 0.90 0.25 -1 -1 0.93 0.167677 0.149036 +k4_n4_v7_l1_bidir.xml spla.blif common 302.84 vpr 124.02 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1232 16 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 127000 16 46 3706 3752 0 2880 1294 38 38 1444 clb auto 51.8 MiB 0.85 48226 649710 239147 405633 4930 118.9 MiB 5.53 0.05 24.4927 -822.54 -24.4927 nan 6.45 0.00967525 0.00783866 1.00067 0.821688 32 56310 44 3.888e+07 3.696e+07 -1 -1 265.70 4.92212 4.08149 138672 1051752 -1 51527 23 20790 87930 15608992 2956885 27.5216 nan -972.173 -27.5216 0 0 -1 -1 3.31 5.30 0.83 -1 -1 3.31 0.615304 0.528218 +k4_n4_v7_l1_bidir.xml tseng.blif common 17.67 vpr 66.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 279 52 -1 -1 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68168 52 122 1483 1605 1 736 453 19 19 361 clb auto 28.7 MiB 0.21 5895 113488 30987 78721 3780 66.6 MiB 0.70 0.01 10.0375 -2507.19 -10.0375 10.0375 1.12 0.00306287 0.00276065 0.228288 0.199673 14 6605 43 8.67e+06 8.37e+06 -1 -1 11.20 1.21912 1.0597 17850 109085 -1 5798 20 4993 17181 981399 196655 11.2089 11.2089 -2994.28 -11.2089 0 0 -1 -1 0.25 0.44 0.07 -1 -1 0.25 0.155727 0.13857 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt index 1de4493214c..be6e7de563f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time placement_technique reward uniform_percentage median_percentage wmedian_percentage wcent_percentage fr_percentage critUni_percentage centroid_percentage - k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 416.21 5.81 214900 100 88.68 -1 -1 103868 -1 -1 2180 114 44 8 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 552108 114 102 38208 33849 1 17938 2448 57 57 3249 clb auto 65.72 241056 53.99 0.35 67.277 -55627.4 -67.277 67.277 0.73 0.058493 0.0484982 9.87791 7.03915 -1 347629 68 1.92089e+08 1.44771e+08 2.12617e+07 6544.09 23.28 20.7009 15.9127 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 simple RL 'Softmax agent' -1 -1 -1 -1 -1 -1 -1 -1 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 348.88 vpr 480.89 MiB 5.57 207028 -1 -1 101 121.37 -1 -1 108080 -1 -1 2196 114 44 8 exited with return code 2 c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:53 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 492432 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 366.7 MiB 75.25 238374 1932124 720274 1178336 33514 397.8 MiB 80.74 0.64 69.2874 -55421.2 -69.2874 69.2874 1.23 0.112996 0.0916765 13.4398 11.1111 -1 -1 -1 -1 -1 -1 -1 32.95 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 From f797e99ac47c3ff86d6e2852af40317cb79be698 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 11 Jan 2024 19:08:43 -0500 Subject: [PATCH 142/608] golden_result: strong: update golden results --- .../vtr_reg_strong/strong_bidir/config/golden_results.txt | 2 +- .../strong_sweep_constant_outputs/config/golden_results.txt | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bidir/config/golden_results.txt index 7fd2f15aa84..5e1d0a58a70 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bidir/config/golden_results.txt @@ -2,4 +2,4 @@ k4_n4_v7_bidir.xml styr.blif common 1.48 vpr 57.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6989-g4a9293e1e-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T01:37:29 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 58568 10 10 253 263 1 169 87 11 11 121 clb auto 19.0 MiB 0.04 1270 57.2 MiB 0.04 0.00 5.46016 -69.6089 -5.46016 5.46016 0.13 0.000215331 0.000171987 0.00792391 0.00665338 13 1998 44 2.43e+06 2.01e+06 -1 -1 0.67 0.0832184 0.0722661 2006 27 1483 4679 322103 41342 9.23088 9.23088 -107.619 -9.23088 0 0 -1 -1 0.03 0.08 0.0198743 0.0176388 k4_n4_v7_longline_bidir.xml styr.blif common 2.03 vpr 57.30 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6989-g4a9293e1e-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T01:37:29 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 58680 10 10 253 263 1 169 87 11 11 121 clb auto 19.1 MiB 0.04 1274 57.3 MiB 0.04 0.00 5.85046 -74.2233 -5.85046 5.85046 0.19 0.000232214 0.000188182 0.00878653 0.00745938 18 2532 43 2.43e+06 2.01e+06 -1 -1 0.88 0.0924504 0.0755557 2435 38 2098 6378 540887 56511 9.2499 9.2499 -108.539 -9.2499 0 0 -1 -1 0.07 0.11 0.0228221 0.0199636 k4_n4_v7_l1_bidir.xml styr.blif common 2.31 vpr 57.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6989-g4a9293e1e-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T01:37:29 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 58420 10 10 253 263 1 169 87 11 11 121 clb auto 18.8 MiB 0.04 1314 57.1 MiB 0.05 0.00 7.03989 -83.5605 -7.03989 7.03989 0.17 0.000198421 0.000157186 0.0109691 0.00909187 11 1696 38 2.43e+06 2.01e+06 -1 -1 1.33 0.0788319 0.0684137 1347 23 1338 4185 371579 77951 8.29094 8.29094 -101.157 -8.29094 0 0 -1 -1 0.04 0.10 0.0180735 0.0162332 - k4_n4_v7_bidir_pass_gate.xml styr.blif common 2.59 vpr 57.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6989-g4a9293e1e-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T01:37:29 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 58404 10 10 253 263 1 169 87 11 11 121 clb auto 18.8 MiB 0.04 1338 57.0 MiB 0.06 0.00 6.19376 -68.5604 -6.19376 6.19376 0.46 0.000203538 0.000160851 0.0127857 0.0106469 16 2173 41 2.43e+06 2.01e+06 -1 -1 1.21 0.0981799 0.0859522 2120 27 1736 5578 1452395 185427 11.6688 11.6688 -146.824 -11.6688 0 0 -1 -1 0.04 0.26 0.0209466 0.0188615 + k4_n4_v7_bidir_pass_gate.xml styr.blif common 2.59 vpr 57.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6989-g4a9293e1e-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T01:37:29 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 58404 10 10 253 263 1 169 87 11 11 121 clb auto 18.8 MiB 0.04 1338 57.0 MiB 0.06 0.00 6.19376 -68.5604 -6.19376 6.19376 0.46 0.000203538 0.000160851 0.0127857 0.0106469 16 2173 41 2.43e+06 2.01e+06 -1 -1 1.21 0.0981799 0.0859522 2120 27 1736 5578 1452395 185427 17.5032 17.5032 -249.6 -17.5032 0 0 -1 -1 0.04 0.26 0.0209466 0.0188615 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sweep_constant_outputs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sweep_constant_outputs/config/golden_results.txt index 63d950cd8f2..b5954039019 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sweep_constant_outputs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sweep_constant_outputs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml ch_intrinsics.v common 1.69 vpr 62.93 MiB -1 -1 0.24 22092 3 0.07 -1 -1 36748 -1 -1 17 99 1 0 success v8.0.0-6989-g4a9293e1e-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T01:37:29 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64436 99 73 291 364 1 178 190 8 8 64 io memory auto 24.3 MiB 0.08 562 62.9 MiB 0.06 0.00 1.62355 -111.333 -1.62355 1.62355 0.09 0.000326387 0.000284469 0.0118933 0.0104324 46 924 13 2.23746e+06 1.4642e+06 144579. 2259.05 0.36 0.127156 0.118738 929 10 587 838 52635 15926 1.90524 1.90524 -132.49 -1.90524 -0.118254 -0.10383 184668. 2885.44 0.04 0.02 0.0123857 0.0116361 + k6_N10_mem32K_40nm.xml ch_intrinsics.v common 1.69 vpr 62.93 MiB -1 -1 0.24 22092 3 0.07 -1 -1 36748 -1 -1 17 99 1 0 success v8.0.0-6989-g4a9293e1e-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T01:37:29 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64436 99 73 291 364 1 178 190 8 8 64 io memory auto 24.3 MiB 0.08 562 62.9 MiB 0.06 0.00 1.62355 -111.333 -1.62355 1.62355 0.09 0.000326387 0.000284469 0.0118933 0.0104324 46 1386 13 2.23746e+06 1.4642e+06 144579. 2259.05 0.36 0.127156 0.118738 929 10 587 838 52635 15926 1.90524 1.90524 -132.49 -1.90524 -0.118254 -0.10383 184668. 2885.44 0.04 0.02 0.0123857 0.0116361 From 38d7f521abc1353a00b7105bf90b93cc90105f4a Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 11 Jan 2024 19:13:34 -0500 Subject: [PATCH 143/608] golden_result: strong_odin: update golden results --- .../strong_bidir/config/golden_results.txt | 4 ++-- .../strong_fix_pins_random/config/golden_results.txt | 2 +- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bidir/config/golden_results.txt index f32e5ae8a6e..755324715cf 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bidir/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time k4_n4_v7_bidir.xml styr.blif common 3.35 vpr 55.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 56776 10 10 253 263 1 169 87 11 11 121 clb auto 17.3 MiB 0.13 1270 55.4 MiB 0.08 0.00 5.46016 -69.6089 -5.46016 5.46016 0.17 0.000441019 0.000369117 0.0162387 0.0140536 13 1998 44 2.43e+06 2.01e+06 -1 -1 2.02 0.207776 0.184477 2006 27 1483 4679 322103 41342 9.23088 9.23088 -107.619 -9.23088 0 0 -1 -1 0.04 0.10 0.0296234 0.0264785 - k4_n4_v7_longline_bidir.xml styr.blif common 5.13 vpr 55.63 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 56964 10 10 253 263 1 169 87 11 11 121 clb auto 17.5 MiB 0.05 1274 55.6 MiB 0.05 0.00 5.85046 -74.2233 -5.85046 5.85046 0.23 0.000349213 0.000282966 0.0126593 0.0106736 18 2532 43 2.43e+06 2.01e+06 -1 -1 3.42 0.314676 0.279732 2435 38 2098 6378 540887 56511 9.2499 9.2499 -108.539 -9.2499 0 0 -1 -1 0.10 0.16 0.0389244 0.0344476 + k4_n4_v7_longline_bidir.xml styr.blif common 5.13 vpr 55.63 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 56964 10 10 253 263 1 169 87 11 11 121 clb auto 17.5 MiB 0.05 1274 55.6 MiB 0.05 0.00 5.85046 -74.2233 -5.85046 5.85046 0.23 0.000349213 0.000282966 0.0126593 0.0106736 18 2532 43 2.43e+06 2.01e+06 -1 -1 3.42 0.314676 0.279732 2435 43.7 2098 6378 540887 56511 9.2499 9.2499 -108.539 -9.2499 0 0 -1 -1 0.10 0.16 0.0389244 0.0344476 k4_n4_v7_l1_bidir.xml styr.blif common 5.09 vpr 55.64 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 56976 10 10 253 263 1 169 87 11 11 121 clb auto 17.5 MiB 0.04 1314 55.6 MiB 0.07 0.00 7.03989 -83.5605 -7.03989 7.03989 0.23 0.000327368 0.000264148 0.0182445 0.0152593 11 1696 38 2.43e+06 2.01e+06 -1 -1 2.95 0.205017 0.182264 1347 23 1338 4185 371579 77951 8.29094 8.29094 -101.157 -8.29094 0 0 -1 -1 0.05 0.38 0.0324361 0.0292616 - k4_n4_v7_bidir_pass_gate.xml styr.blif common 6.59 vpr 55.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 56664 10 10 253 263 1 169 87 11 11 121 clb auto 17.1 MiB 0.08 1338 55.3 MiB 0.14 0.00 6.19376 -68.5604 -6.19376 6.19376 1.18 0.000425619 0.000362908 0.0221479 0.0188897 16 2173 41 2.43e+06 2.01e+06 -1 -1 3.77 0.284495 0.251032 2120 27 1736 5578 1452395 185427 11.6688 11.6688 -146.824 -11.6688 0 0 -1 -1 0.05 0.32 0.0327982 0.0292008 + k4_n4_v7_bidir_pass_gate.xml styr.blif common 6.59 vpr 55.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 67 10 -1 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 56664 10 10 253 263 1 169 87 11 11 121 clb auto 17.1 MiB 0.08 1338 55.3 MiB 0.14 0.00 6.19376 -68.5604 -6.19376 6.19376 1.18 0.000425619 0.000362908 0.0221479 0.0188897 16 2173 41 2.43e+06 2.01e+06 -1 -1 3.77 0.284495 0.251032 2120 27 1736 5578 1452395 185427 17.5032 17.5032 -220.236 -17.5032 0 0 -1 -1 0.05 0.32 0.0327982 0.0292008 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_pins_random/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_pins_random/config/golden_results.txt index fc69288aa32..f7631cf97e0 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_pins_random/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_pins_random/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 4.02 vpr 61.07 MiB 0.13 10072 -1 -1 4 0.16 -1 -1 33252 -1 -1 19 11 0 0 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 62540 11 30 262 292 2 104 60 7 7 49 clb auto 22.4 MiB 0.17 491 61.1 MiB 0.14 0.00 2.22129 -174.848 -2.22129 2.12256 0.08 0.000609229 0.000514776 0.0130362 0.0113054 30 570 24 1.07788e+06 1.02399e+06 73670.2 1503.47 1.53 0.218794 0.187902 537 22 693 1872 55819 14529 2.35993 2.22897 -183.816 -2.35993 0 0 90369.8 1844.28 0.02 0.10 0.0321781 0.0283564 + k6_N10_mem32K_40nm.xml stereovision3.v common 4.02 vpr 61.07 MiB 0.13 10072 -1 -1 4 0.16 -1 -1 33252 -1 -1 19 11 0 0 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 62540 11 30 262 292 2 104 60 7 7 49 clb auto 22.4 MiB 0.17 491 61.1 MiB 0.14 0.00 2.22129 -174.848 -2.22129 2.12256 0.08 0.000609229 0.000514776 0.0130362 0.0113054 30 570 24 1.07788e+06 1.02399e+06 58936.16 1202.776 1.53 0.218794 0.187902 537 22 693 1872 55819 14529 2.35993 2.22897 -183.816 -2.35993 0 0 90369.8 1844.28 0.02 0.10 0.0321781 0.0283564 From 6a76b62c4e92e50ca41cacb392c17178176f6884 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 12 Jan 2024 11:01:38 -0500 Subject: [PATCH 144/608] golden_results: strong_odin: update ch_intrinsics --- .../strong_blocks_with_no_inputs/config/golden_results.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_blocks_with_no_inputs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_blocks_with_no_inputs/config/golden_results.txt index 8092fa20b6e..2efbc1a0f7e 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_blocks_with_no_inputs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_blocks_with_no_inputs/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml ch_intrinsics.v common 4.16 vpr 61.71 MiB 0.05 9356 -1 -1 3 0.32 -1 -1 36424 -1 -1 70 99 1 0 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 63192 99 130 363 493 1 255 300 12 12 144 clb auto 23.0 MiB 0.09 651 61.7 MiB 0.25 0.00 2.12937 -209.611 -2.12937 2.12937 0.36 0.000776998 0.000687895 0.0452586 0.0404722 38 1327 20 5.66058e+06 4.32058e+06 306247. 2126.71 1.32 0.218639 0.19888 1152 12 739 1046 92976 29786 2.59213 2.59213 -230.045 -2.59213 0 0 388532. 2698.14 0.11 0.10 0.0235111 0.0220819 + k6_N10_mem32K_40nm.xml ch_intrinsics.v common 4.16 vpr 61.71 MiB 0.05 9356 -1 -1 3 0.32 -1 -1 36424 -1 -1 70 99 1 0 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 63192 99 130 363 493 1 255 300 12 12 144 clb auto 23.0 MiB 0.09 651 61.7 MiB 0.25 0.00 2.12937 -209.611 -2.12937 2.12937 0.36 0.000776998 0.000687895 0.0452586 0.0404722 45 1327 20 5.66058e+06 4.32058e+06 306247. 2126.71 1.32 0.218639 0.19888 1152 12 739 1046 92976 29786 2.59213 2.59213 -230.045 -2.59213 0 0 388532. 2698.14 0.11 0.10 0.0235111 0.0220819 k6_N10_mem32K_40nm.xml diffeq1.v common 15.34 vpr 65.20 MiB 0.22 9376 -1 -1 15 0.55 -1 -1 34800 -1 -1 52 162 0 5 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 66764 162 96 999 932 1 707 315 16 16 256 mult_36 auto 27.3 MiB 0.26 5727 65.2 MiB 0.80 0.01 20.0262 -1714.67 -20.0262 20.0262 0.88 0.00329273 0.00304787 0.267812 0.246983 48 10769 39 1.21132e+07 4.78249e+06 721839. 2819.68 9.16 1.84461 1.71187 9509 27 3851 8094 2113049 494039 21.894 21.894 -1860.91 -21.894 0 0 926152. 3617.78 0.32 0.68 0.198378 0.187088 k6_N10_mem32K_40nm.xml single_wire.v common 0.73 vpr 59.00 MiB 0.01 5820 -1 -1 1 0.01 -1 -1 29912 -1 -1 0 1 0 0 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 60412 1 1 1 2 0 1 2 3 3 9 -1 auto 20.3 MiB 0.00 2 59.0 MiB 0.03 0.00 0.205011 -0.205011 -0.205011 nan 0.05 7.2e-06 3.866e-06 5.8595e-05 3.7101e-05 2 1 1 53894 0 1165.58 129.509 0.01 0.000144043 9.3417e-05 1 1 1 1 17 8 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 5.5588e-05 3.5966e-05 k6_N10_mem32K_40nm.xml single_ff.v common 0.77 vpr 59.09 MiB 0.01 5860 -1 -1 1 0.00 -1 -1 29820 -1 -1 1 2 0 0 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 60504 2 1 3 4 1 3 4 3 3 9 -1 auto 20.5 MiB 0.00 4 59.1 MiB 0.00 0.00 0.570641 -0.944653 -0.570641 0.570641 0.00 9.436e-06 5.971e-06 6.3709e-05 4.2746e-05 2 2 2 53894 53894 1165.58 129.509 0.00 0.000196127 0.000137887 2 2 3 3 69 44 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.00 0.02 0.000173861 0.000131604 From d4b0940d5e075a0101884c6f9cc7494f769100d9 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 12 Jan 2024 11:18:22 -0500 Subject: [PATCH 145/608] golden_results: nightly_test1: update golden results for arithmetic_tasks/multless_consts and power_extended_arch_list --- .../multless_consts/config/golden_results.txt | 2 +- .../config/golden_results.txt | 60 +++++++++---------- 2 files changed, 31 insertions(+), 31 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt index f0e171fa889..bf39cd13c71 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt @@ -603,7 +603,7 @@ fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 7.99 vpr 64.93 MiB -1 -1 0 fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 6.05 vpr 64.54 MiB -1 -1 0.16 20408 1 0.03 -1 -1 33692 -1 -1 13 31 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66088 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 26.0 MiB 0.56 520 10156 4208 5460 488 64.5 MiB 0.06 0.00 2.78823 -83.3973 -2.78823 2.78823 0.98 0.00038541 0.00035254 0.027093 0.0247675 40 1986 43 6.99608e+06 191304 706193. 2443.58 2.17 0.120287 0.105938 26914 176310 -1 1531 19 1159 1692 141978 36628 3.44082 3.44082 -116.803 -3.44082 0 0 926341. 3205.33 0.36 0.06 0.16 -1 -1 0.36 0.0177805 0.0158211 56 -1 -1 -1 -1 -1 fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 9.10 vpr 65.30 MiB -1 -1 0.15 20552 1 0.03 -1 -1 33488 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66864 32 32 348 274 1 208 79 17 17 289 -1 unnamed_device 26.9 MiB 0.87 904 12585 3591 6489 2505 65.3 MiB 0.09 0.00 3.57951 -115.674 -3.57951 3.57951 0.95 0.000492524 0.000443955 0.0383023 0.0348045 44 2631 37 6.99608e+06 220735 787024. 2723.27 4.90 0.210713 0.183281 27778 195446 -1 1886 22 1561 2117 148664 34042 3.93935 3.93935 -136.751 -3.93935 0 0 997811. 3452.63 0.39 0.06 0.17 -1 -1 0.39 0.0244827 0.0216884 88 31 -1 -1 -1 -1 fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 10.11 vpr 65.29 MiB -1 -1 0.16 20904 1 0.03 -1 -1 33892 -1 -1 15 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66856 32 32 356 289 1 218 79 17 17 289 -1 unnamed_device 26.8 MiB 1.99 1011 12923 5460 7214 249 65.3 MiB 0.10 0.00 3.63687 -114.181 -3.63687 3.63687 1.00 0.000512184 0.000466437 0.042575 0.0387467 38 3385 47 6.99608e+06 220735 678818. 2348.85 4.74 0.190678 0.167487 26626 170182 -1 2370 24 1901 2606 192658 41420 4.54021 4.54021 -145.164 -4.54021 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0270122 0.0238555 95 53 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 10.00 vpr 65.08 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33764 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 26.7 MiB 0.48 834 15481 6763 7987 731 65.1 MiB 0.12 0.00 3.77681 -111.474 -3.77681 3.77681 0.99 0.000516362 0.000469858 0.0487555 0.0443729 60 1861 23 6.99608e+06 250167 1.01997e+06 3529.29 5.91 0.223303 0.195086 30658 258169 -1 1638 20 1441 2475 151299 35025 3.93725 3.93725 -132.612 -3.93725 0 0 1.27783e+06 4421.56 0.48 0.06 0.25 -1 -1 0.48 0.0239108 0.0212626 83 -1 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 10.00 vpr 65.08 MiB -1 -1 0.16 20540 1 0.03 -1 -1 33764 -1 -1 17 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66640 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 26.7 MiB 0.48 834 15481 6763 7987 731 65.1 MiB 0.12 0.00 3.77681 -111.474 -3.77681 3.77681 0.99 0.000516362 0.000469858 0.0487555 0.0443729 60 2791 23 6.99608e+06 250167 1.01997e+06 3529.29 5.91 0.223303 0.195086 30658 258169 -1 1638 20 1441 2475 151299 35025 3.93725 3.93725 -132.612 -3.93725 0 0 1.27783e+06 4421.56 0.48 0.06 0.25 -1 -1 0.48 0.0239108 0.0212626 83 -1 -1 -1 -1 -1 fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 7.43 vpr 64.95 MiB -1 -1 0.16 20232 1 0.03 -1 -1 33600 -1 -1 16 30 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66512 30 32 316 264 1 197 78 17 17 289 -1 unnamed_device 26.6 MiB 1.28 788 9706 3488 4525 1693 65.0 MiB 0.07 0.00 3.02754 -88.8726 -3.02754 3.02754 0.95 0.000458375 0.00041713 0.0290752 0.0265676 44 2913 40 6.99608e+06 235451 787024. 2723.27 2.74 0.140387 0.122689 27778 195446 -1 1819 30 1874 2785 293285 88250 3.44887 3.44887 -111.408 -3.44887 0 0 997811. 3452.63 0.40 0.11 0.18 -1 -1 0.40 0.0304349 0.0267978 86 47 -1 -1 -1 -1 fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 6.50 vpr 64.73 MiB -1 -1 0.15 20244 1 0.03 -1 -1 34044 -1 -1 15 27 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 66280 27 32 255 219 1 145 74 17 17 289 -1 unnamed_device 26.3 MiB 1.07 487 10459 4407 5408 644 64.7 MiB 0.07 0.00 2.96122 -84.2305 -2.96122 2.96122 0.98 0.000381696 0.000346765 0.0282647 0.0257799 38 1689 31 6.99608e+06 220735 678818. 2348.85 2.14 0.13637 0.119461 26626 170182 -1 1252 18 926 1390 88619 22352 3.33712 3.33712 -109.295 -3.33712 0 0 902133. 3121.57 0.35 0.05 0.15 -1 -1 0.35 0.0177372 0.0158279 66 26 -1 -1 -1 -1 fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 10.72 vpr 65.58 MiB -1 -1 0.17 20760 1 0.03 -1 -1 33916 -1 -1 18 32 0 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67156 32 32 421 327 1 257 82 17 17 289 -1 unnamed_device 27.2 MiB 0.97 1215 16280 7180 8676 424 65.6 MiB 0.15 0.00 3.41284 -117.869 -3.41284 3.41284 0.95 0.000548929 0.000505518 0.0567989 0.0515993 54 3476 33 6.99608e+06 264882 949917. 3286.91 5.97 0.291098 0.25581 29506 232905 -1 2711 22 2270 3461 285867 58254 4.65241 4.65241 -148.813 -4.65241 0 0 1.17392e+06 4061.99 0.45 0.09 0.21 -1 -1 0.45 0.0295072 0.0263227 111 62 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt index 019e6c9cff2..0b2bf10fb64 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt @@ -1,31 +1,31 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.69 vpr 66.72 MiB -1 -1 0.31 20712 3 0.11 -1 -1 36896 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68320 99 130 343 473 1 230 298 12 12 144 clb auto 27.9 MiB 0.09 572 76913 23489 39933 13491 66.7 MiB 0.21 0.00 1.63028 -108.738 -1.63028 1.63028 0.36 0.000756212 0.000690824 0.0642119 0.0587385 44 1417 14 5.66058e+06 4.21279e+06 360780. 2505.42 0.84 0.216882 0.19517 13094 71552 -1 1213 9 420 686 37001 11382 1.9084 1.9084 -141.154 -1.9084 -0.416006 -0.147762 470765. 3269.20 0.15 0.04 0.08 -1 -1 0.15 0.0233823 0.0217708 -1 -1 -1 -1 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 16.06 vpr 69.89 MiB -1 -1 0.47 25992 15 0.40 -1 -1 37616 -1 -1 38 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71568 162 96 994 935 1 693 301 16 16 256 mult_36 auto 31.7 MiB 0.28 5553 93037 31401 54835 6801 69.9 MiB 0.62 0.01 19.6435 -1535.77 -19.6435 19.6435 0.79 0.00261906 0.00243256 0.252945 0.233584 50 11403 23 1.21132e+07 4.02797e+06 780512. 3048.87 9.10 1.18321 1.08125 25484 153448 -1 9690 19 3079 6315 1827689 461626 22.3689 22.3689 -1732.76 -22.3689 0 0 1.00276e+06 3917.05 0.35 0.54 0.15 -1 -1 0.35 0.131022 0.122034 -1 -1 -1 -1 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 813.31 vpr 416.75 MiB -1 -1 65.11 337588 122 76.47 -1 -1 83000 -1 -1 1377 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 426748 114 102 21867 21777 1 11713 1646 50 50 2500 memory auto 193.6 MiB 19.28 162689 1089614 391592 677293 20729 403.0 MiB 25.01 0.20 68.7817 -50162.9 -68.7817 68.7817 28.20 0.0481828 0.0414266 6.00345 4.99477 92 250867 49 1.47946e+08 1.02043e+08 1.52089e+07 6083.58 508.28 25.5115 21.2579 338772 3221652 -1 219490 20 46199 173936 32037054 7025008 79.5231 79.5231 -64122.1 -79.5231 -14.6679 -0.295467 1.93279e+07 7731.17 9.63 13.36 3.41 -1 -1 9.63 3.7003 3.17559 -1 -1 -1 -1 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.71 vpr 66.02 MiB -1 -1 0.30 20932 3 0.11 -1 -1 36940 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67600 99 130 343 473 1 232 298 12 12 144 clb auto 27.6 MiB 0.11 600 72933 21291 38319 13323 66.0 MiB 0.20 0.00 1.62851 -111.864 -1.62851 1.62851 0.36 0.000793147 0.000729115 0.0602412 0.0555138 48 1205 12 5.66058e+06 4.21279e+06 394078. 2736.65 0.87 0.214609 0.193946 13382 75762 -1 1273 12 433 696 34379 10096 1.93197 1.93197 -141.609 -1.93197 -1.04495 -0.29768 503207. 3494.49 0.16 0.04 0.08 -1 -1 0.16 0.026214 0.0242485 -1 -1 -1 -1 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 19.91 vpr 69.70 MiB -1 -1 0.44 26056 15 0.39 -1 -1 37972 -1 -1 38 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71376 162 96 994 935 1 693 301 16 16 256 mult_36 auto 31.7 MiB 0.37 5304 70861 21705 42305 6851 69.7 MiB 0.45 0.01 19.8404 -1612.05 -19.8404 19.8404 0.73 0.00247872 0.00226966 0.185557 0.170784 50 13198 40 1.21132e+07 4.02797e+06 780512. 3048.87 13.44 1.26685 1.15732 25484 153448 -1 9762 18 3567 7404 1763577 467738 22.4126 22.4126 -1817.04 -22.4126 0 0 1.00276e+06 3917.05 0.31 0.48 0.14 -1 -1 0.31 0.116176 0.108517 -1 -1 -1 -1 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 703.06 vpr 412.45 MiB -1 -1 64.61 338532 122 78.87 -1 -1 83172 -1 -1 1266 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 422348 114 102 21867 21777 1 11313 1535 50 50 2500 memory auto 194.2 MiB 36.55 151112 966294 344210 601392 20692 403.7 MiB 22.88 0.18 67.357 -48177.1 -67.357 67.357 28.15 0.0482317 0.0415788 5.81135 4.84128 98 226162 27 1.47946e+08 9.60601e+07 1.60641e+07 6425.63 370.33 28.0808 23.4864 348768 3430976 -1 202955 23 42244 161866 44059555 11392801 77.9223 77.9223 -64352.1 -77.9223 -33.0693 -0.296573 2.03677e+07 8147.07 10.68 21.46 3.72 -1 -1 10.68 4.375 3.74412 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.85 vpr 66.59 MiB -1 -1 0.29 20984 3 0.10 -1 -1 37048 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68188 99 130 343 473 1 228 298 12 12 144 clb auto 27.9 MiB 0.14 546 74923 23130 38217 13576 66.6 MiB 0.21 0.00 1.50426 -109.539 -1.50426 1.50426 0.39 0.000796061 0.000736476 0.0638837 0.0590025 48 1087 13 5.66058e+06 4.21279e+06 411630. 2858.54 0.92 0.227468 0.206602 13872 80872 -1 1145 11 391 594 36888 11702 1.93939 1.93939 -137.398 -1.93939 -0.720336 -0.298787 526257. 3654.56 0.17 0.04 0.08 -1 -1 0.17 0.0257972 0.0239496 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 12.76 vpr 69.87 MiB -1 -1 0.44 26036 15 0.39 -1 -1 37636 -1 -1 38 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71548 162 96 994 935 1 696 301 16 16 256 mult_36 auto 31.7 MiB 1.01 5160 85981 26474 51553 7954 69.9 MiB 0.58 0.01 20.1982 -1657.55 -20.1982 20.1982 0.79 0.00265146 0.00245038 0.247541 0.228841 50 12455 43 1.21132e+07 4.02797e+06 817349. 3192.77 5.24 0.879102 0.806436 26464 163948 -1 9727 20 3117 6352 1683985 497731 22.6797 22.6797 -1894.94 -22.6797 0 0 1.05038e+06 4103.04 0.33 0.46 0.15 -1 -1 0.33 0.125583 0.117514 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 949.26 vpr 463.36 MiB -1 -1 63.87 338668 122 76.68 -1 -1 83652 -1 -1 1285 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 474484 114 102 21867 21777 1 11916 1554 50 50 2500 memory auto 191.0 MiB 347.04 162772 1018215 364449 632498 21268 431.4 MiB 32.92 0.26 67.5941 -49002.7 -67.5941 67.5941 32.24 0.0711587 0.0586215 8.43565 6.9474 100 233668 21 1.47946e+08 9.70841e+07 1.70584e+07 6823.36 296.68 34.2658 28.3827 363360 3730996 -1 213348 19 39349 151722 38372031 10271648 78.7792 78.7792 -60948.5 -78.7792 -22.65 -0.29436 2.14473e+07 8578.92 9.43 16.42 4.13 -1 -1 9.43 3.09641 2.71037 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.61 vpr 66.59 MiB -1 -1 0.28 20908 3 0.10 -1 -1 37004 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68192 99 130 343 473 1 225 298 12 12 144 clb auto 28.2 MiB 0.12 548 74923 24125 37485 13313 66.6 MiB 0.20 0.00 1.47901 -107.394 -1.47901 1.47901 0.36 0.000747799 0.000691571 0.0589702 0.0543371 46 1178 11 5.66058e+06 4.21279e+06 396063. 2750.44 0.85 0.204502 0.185113 13728 78551 -1 1169 9 386 580 26085 8334 1.91033 1.91033 -140.927 -1.91033 -1.37858 -0.320482 508433. 3530.78 0.15 0.03 0.08 -1 -1 0.15 0.0206489 0.0191437 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 15.32 vpr 70.15 MiB -1 -1 0.44 26048 15 0.40 -1 -1 37484 -1 -1 38 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71832 162 96 994 935 1 695 301 16 16 256 mult_36 auto 31.9 MiB 0.84 5338 78925 22769 48737 7419 70.1 MiB 0.49 0.01 19.722 -1669.48 -19.722 19.722 0.74 0.00242599 0.00222898 0.200653 0.184772 46 11620 25 1.21132e+07 4.02797e+06 761464. 2974.47 8.03 0.965215 0.883344 25952 154797 -1 9666 18 3039 6203 2093356 536473 22.2382 22.2382 -1921.9 -22.2382 0 0 979054. 3824.43 0.34 0.60 0.15 -1 -1 0.34 0.138883 0.129689 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1092.31 vpr 432.65 MiB -1 -1 63.73 336208 122 81.09 -1 -1 83408 -1 -1 1189 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 443032 114 102 21867 21777 1 11141 1458 50 50 2500 memory auto 192.1 MiB 348.37 153992 885706 303196 562770 19740 432.6 MiB 25.50 0.21 66.8869 -49530.9 -66.8869 66.8869 32.94 0.0513497 0.0443795 6.34845 5.3245 94 234879 36 1.47946e+08 9.19101e+07 1.62379e+07 6495.14 442.31 24.4448 20.5188 353364 3504872 -1 209335 21 40010 161158 43087728 10591940 79.2314 79.2314 -65396.6 -79.2314 -11.1836 -0.292146 2.03897e+07 8155.87 9.03 16.65 3.78 -1 -1 9.03 3.22035 2.83123 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.65 vpr 66.62 MiB -1 -1 0.25 21264 3 0.10 -1 -1 36776 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68224 99 130 343 473 1 229 298 12 12 144 clb auto 28.3 MiB 0.14 572 77908 22766 40880 14262 66.6 MiB 0.21 0.00 1.47901 -108.738 -1.47901 1.47901 0.37 0.000735917 0.000679002 0.0609858 0.0559504 42 1388 17 5.66058e+06 4.21279e+06 375846. 2610.04 1.87 0.290802 0.262471 13720 75108 -1 1254 9 371 586 42933 13370 1.9789 1.9789 -138.6 -1.9789 -0.812026 -0.29768 470559. 3267.77 0.15 0.04 0.08 -1 -1 0.15 0.0211009 0.0195486 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 13.10 vpr 70.01 MiB -1 -1 0.43 26084 15 0.39 -1 -1 37872 -1 -1 36 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71692 162 96 994 935 1 695 299 16 16 256 mult_36 auto 31.8 MiB 1.06 5534 85214 26856 51801 6557 70.0 MiB 0.54 0.01 19.5939 -1585.17 -19.5939 19.5939 0.78 0.00248629 0.00228672 0.221783 0.203267 46 12280 25 1.21132e+07 3.92018e+06 791147. 3090.42 5.57 0.680797 0.623007 26792 163197 -1 10271 19 3196 6609 1904630 459419 22.1474 22.1474 -1822.81 -22.1474 0 0 1.01637e+06 3970.19 0.32 0.50 0.15 -1 -1 0.32 0.121868 0.113387 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1099.14 vpr 458.35 MiB -1 -1 61.44 337396 122 80.73 -1 -1 82932 -1 -1 1284 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 469348 114 102 21867 21777 1 11781 1553 50 50 2500 memory auto 190.8 MiB 459.70 163806 1008321 349998 638565 19758 458.3 MiB 32.35 0.26 67.825 -49300.5 -67.825 67.825 36.43 0.0611333 0.0492676 7.98245 6.57665 98 238475 49 1.47946e+08 9.70302e+07 1.74237e+07 6969.48 328.75 30.5375 25.4471 371232 3885440 -1 212357 18 37810 147778 39995241 9831860 79.581 79.581 -64631.2 -79.581 -23.5457 -0.295467 2.19566e+07 8782.65 9.81 15.71 4.15 -1 -1 9.81 3.04727 2.6999 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.47 vpr 66.63 MiB -1 -1 0.29 21180 3 0.10 -1 -1 36848 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68232 99 130 343 473 1 229 298 12 12 144 clb auto 28.3 MiB 0.14 572 77908 22766 40880 14262 66.6 MiB 0.20 0.00 1.47901 -108.738 -1.47901 1.47901 0.37 0.000717395 0.000663687 0.0602347 0.0554104 44 1272 12 5.66058e+06 4.21279e+06 391831. 2721.05 1.60 0.24161 0.218346 14004 80442 -1 1116 11 387 589 24810 7601 1.91792 1.91792 -133 -1.91792 -1.3795 -0.321515 509951. 3541.33 0.16 0.04 0.08 -1 -1 0.16 0.024306 0.0224747 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 12.35 vpr 70.26 MiB -1 -1 0.45 25964 15 0.39 -1 -1 37936 -1 -1 36 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71944 162 96 994 935 1 695 299 16 16 256 mult_36 auto 32.0 MiB 1.15 5590 83216 24140 52050 7026 70.3 MiB 0.52 0.01 19.5529 -1611.29 -19.5529 19.5529 0.77 0.00242587 0.0022287 0.212008 0.194868 46 11831 30 1.21132e+07 3.92018e+06 791147. 3090.42 4.64 0.701678 0.643126 26792 163197 -1 9974 18 3273 6923 2289929 579109 22.4976 22.4976 -1870.35 -22.4976 0 0 1.01637e+06 3970.19 0.33 0.59 0.15 -1 -1 0.33 0.116859 0.10914 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1045.47 vpr 474.70 MiB -1 -1 61.70 338996 122 80.93 -1 -1 83200 -1 -1 1172 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 486096 114 102 21867 21777 1 10748 1441 50 50 2500 memory auto 191.8 MiB 445.28 147420 888265 306545 561309 20411 465.3 MiB 28.11 0.20 67.9174 -47347.6 -67.9174 67.9174 35.96 0.0523956 0.045579 7.68232 6.45411 96 219805 43 1.47946e+08 9.09939e+07 1.71713e+07 6868.52 293.50 37.7021 31.3563 366232 3764912 -1 196195 20 34850 143717 36734928 9562782 78.8888 78.8888 -62661.4 -78.8888 -10.7154 -0.295467 2.13622e+07 8544.87 9.53 16.23 4.05 -1 -1 9.53 3.49666 3.05294 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.58 vpr 66.47 MiB -1 -1 0.28 20964 3 0.10 -1 -1 37176 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68064 99 130 343 473 1 228 298 12 12 144 clb auto 27.7 MiB 0.12 541 73928 22213 38293 13422 66.5 MiB 0.20 0.00 1.47901 -109.079 -1.47901 1.47901 0.34 0.000768529 0.000707681 0.0583063 0.0536197 46 1137 15 5.66058e+06 4.21279e+06 378970. 2631.74 0.82 0.210144 0.190042 13238 73581 -1 1078 10 408 646 27054 9056 1.91033 1.91033 -132.161 -1.91033 -0.352058 -0.105593 486261. 3376.82 0.14 0.03 0.08 -1 -1 0.14 0.022331 0.0206868 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 12.74 vpr 69.84 MiB -1 -1 0.44 26044 15 0.38 -1 -1 37772 -1 -1 37 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71516 162 96 994 935 1 696 300 16 16 256 mult_36 auto 31.6 MiB 0.60 5304 83549 28001 48239 7309 69.8 MiB 0.53 0.01 20.0587 -1602.4 -20.0587 20.0587 0.74 0.00244036 0.00223675 0.21961 0.201875 52 12265 39 1.21132e+07 3.97408e+06 805949. 3148.24 5.86 0.8901 0.814504 25992 162577 -1 9778 18 2927 5929 1678779 445874 22.3853 22.3853 -1863.93 -22.3853 0 0 1.06067e+06 4143.25 0.33 0.47 0.17 -1 -1 0.33 0.118138 0.110348 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 941.63 vpr 410.52 MiB -1 -1 61.98 337276 122 78.79 -1 -1 83260 -1 -1 1319 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 420372 114 102 21867 21777 1 11967 1588 50 50 2500 memory auto 191.9 MiB 246.94 169221 992515 336566 634780 21169 401.5 MiB 29.59 0.25 68.1675 -50495 -68.1675 68.1675 30.08 0.0629475 0.056798 7.71565 6.51782 100 260247 46 1.47946e+08 9.89166e+07 1.63173e+07 6526.93 396.33 26.8399 22.334 351264 3480436 -1 228823 21 46113 172655 36693649 8111973 78.8552 78.8552 -66692.1 -78.8552 -44.3207 -0.296573 2.05845e+07 8233.80 9.82 13.85 3.95 -1 -1 9.82 3.34563 2.91203 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.62 vpr 66.38 MiB -1 -1 0.30 20972 3 0.11 -1 -1 37092 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67972 99 130 343 473 1 225 298 12 12 144 clb auto 28.0 MiB 0.13 553 74923 22965 38487 13471 66.4 MiB 0.19 0.00 1.47813 -107.395 -1.47813 1.47813 0.35 0.000708812 0.000653781 0.0581659 0.053285 44 1301 15 5.66058e+06 4.21279e+06 360780. 2505.42 1.84 0.361539 0.324374 13094 71552 -1 1090 10 405 635 28863 8479 1.90922 1.90922 -130.567 -1.90922 -1.38109 -0.321515 470765. 3269.20 0.14 0.03 0.08 -1 -1 0.14 0.0220702 0.0204142 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 15.04 vpr 69.84 MiB -1 -1 0.44 25960 15 0.39 -1 -1 37956 -1 -1 37 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71512 162 96 994 935 1 695 300 16 16 256 mult_36 auto 31.6 MiB 0.61 5385 92576 29181 55636 7759 69.8 MiB 0.57 0.01 19.9291 -1628 -19.9291 19.9291 0.73 0.00238055 0.00218005 0.238699 0.21926 50 12012 41 1.21132e+07 3.97408e+06 780512. 3048.87 8.13 1.15578 1.05568 25484 153448 -1 9715 18 2982 6036 1729981 467234 22.1799 22.1799 -1838.21 -22.1799 0 0 1.00276e+06 3917.05 0.31 0.47 0.15 -1 -1 0.31 0.11522 0.107633 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 907.42 vpr 409.55 MiB -1 -1 62.38 338844 122 77.65 -1 -1 82876 -1 -1 1218 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 419380 114 102 21867 21777 1 11178 1487 50 50 2500 memory auto 191.6 MiB 265.31 152714 883823 302316 563184 18323 401.3 MiB 24.89 0.21 67.1858 -47730.8 -67.1858 67.1858 29.22 0.0548785 0.0446561 6.76473 5.62292 96 244741 48 1.47946e+08 9.34731e+07 1.58254e+07 6330.17 343.94 30.8783 25.5657 343768 3324272 -1 209886 23 43124 166102 50650878 13691398 78.7959 78.7959 -62782.2 -78.7959 -26.8998 -0.29436 1.97871e+07 7914.84 8.90 23.90 3.67 -1 -1 8.90 4.18584 3.60748 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.72 vpr 66.77 MiB -1 -1 0.30 21048 3 0.11 -1 -1 36928 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68376 99 130 343 473 1 229 298 12 12 144 clb auto 28.0 MiB 0.14 541 76913 22319 40564 14030 66.8 MiB 0.21 0.00 1.47901 -110.001 -1.47901 1.47901 0.36 0.000772357 0.000700795 0.0638503 0.0586222 44 1268 13 5.66058e+06 4.21279e+06 360780. 2505.42 0.85 0.224577 0.20337 13094 71552 -1 1145 10 433 647 27670 8065 1.95498 1.95498 -137.42 -1.95498 -1.52687 -0.321515 470765. 3269.20 0.15 0.04 0.08 -1 -1 0.15 0.0241859 0.0224861 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 20.60 vpr 69.93 MiB -1 -1 0.44 25876 15 0.39 -1 -1 38012 -1 -1 36 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71612 162 96 994 935 1 692 299 16 16 256 mult_36 auto 31.7 MiB 0.79 5313 88211 28008 52620 7583 69.9 MiB 0.55 0.01 20.0904 -1626.32 -20.0904 20.0904 0.74 0.00232049 0.00210481 0.233361 0.214504 60 10466 18 1.21132e+07 3.92018e+06 934704. 3651.19 13.46 1.13218 1.03475 27268 184674 -1 8960 16 2677 5308 1604545 394354 22.3673 22.3673 -1820.23 -22.3673 0 0 1.17756e+06 4599.85 0.36 0.42 0.18 -1 -1 0.36 0.105277 0.0985184 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 886.38 vpr 459.16 MiB -1 -1 62.28 338840 122 79.80 -1 -1 83348 -1 -1 1300 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 470184 114 102 21867 21777 1 11836 1569 50 50 2500 memory auto 190.9 MiB 265.60 160076 976660 338112 616153 22395 400.6 MiB 25.03 0.22 67.1242 -47132.9 -67.1242 67.1242 29.13 0.0523805 0.0448707 6.10581 5.1078 100 241810 38 1.47946e+08 9.78926e+07 1.63173e+07 6526.93 320.66 32.429 26.961 351264 3480436 -1 217750 20 45466 171579 43268728 10828334 78.529 78.529 -60544.2 -78.529 -56.8323 -0.296573 2.05845e+07 8233.80 10.76 20.38 3.78 -1 -1 10.76 3.94378 3.40014 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.72 vpr 66.61 MiB -1 -1 0.29 20988 3 0.10 -1 -1 37152 -1 -1 68 99 1 0 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68204 99 130 343 473 1 229 298 12 12 144 clb auto 28.2 MiB 0.14 541 76913 22319 40564 14030 66.6 MiB 0.23 0.00 1.47901 -110.001 -1.47901 1.47901 0.37 0.000797679 0.000727122 0.0665831 0.0614853 44 1317 19 5.66058e+06 4.21279e+06 360780. 2505.42 0.81 0.187101 0.17036 13094 71552 -1 1145 11 442 658 29546 8450 1.95498 1.95498 -140.804 -1.95498 -1.52687 -0.321515 470765. 3269.20 0.15 0.04 0.08 -1 -1 0.15 0.024445 0.022597 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 12.08 vpr 69.88 MiB -1 -1 0.44 26152 15 0.39 -1 -1 37760 -1 -1 36 162 0 5 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71552 162 96 994 935 1 692 299 16 16 256 mult_36 auto 31.6 MiB 0.76 5567 78221 24129 47222 6870 69.9 MiB 0.50 0.01 19.5867 -1602.31 -19.5867 19.5867 0.71 0.00253771 0.00232159 0.207857 0.191436 54 11095 21 1.21132e+07 3.92018e+06 835850. 3265.04 4.95 0.86422 0.790864 26248 167850 -1 9799 17 2879 5873 2003906 513973 22.3133 22.3133 -1810.62 -22.3133 0 0 1.08614e+06 4242.72 0.35 0.51 0.17 -1 -1 0.35 0.113664 0.106099 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 908.22 vpr 446.26 MiB -1 -1 62.30 338424 122 79.55 -1 -1 83236 -1 -1 1196 114 45 8 success c4c4d02-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-10T19:39:12 gh-actions-runner-vtr-auto-spawned3 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 456972 114 102 21867 21777 1 11032 1465 50 50 2500 memory auto 190.8 MiB 264.91 151087 908018 323032 565050 19936 400.7 MiB 23.41 0.20 66.7869 -47762.1 -66.7869 66.7869 28.65 0.0498715 0.0430724 6.08211 5.07177 98 227251 26 1.47946e+08 9.22874e+07 1.60641e+07 6425.63 343.30 31.6939 26.2157 348768 3430976 -1 204692 21 42686 166841 49135844 12470236 77.6436 77.6436 -62930.2 -77.6436 -30.924 -0.296573 2.03677e+07 8147.07 10.09 22.40 3.72 -1 -1 10.09 3.83803 3.29333 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.69 vpr 66.59 MiB -1 -1 0.30 21304 3 0.11 -1 -1 36896 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68184 99 130 343 473 1 230 298 12 12 144 clb auto 27.8 MiB 0.08 572 76913 23489 39933 13491 66.6 MiB 0.21 0.00 1.63028 -108.738 -1.63028 1.63028 0.35 0.000809123 0.000742403 0.0638013 0.0584761 44 1417 14 5.66058e+06 4.21279e+06 360780. 2505.42 0.89 0.230064 0.206862 13094 71552 -1 1213 9 420 686 37001 11382 1.9084 1.9084 -141.154 -1.9084 -0.416006 -0.147762 470765. 3269.20 0.14 0.04 0.07 -1 -1 0.14 0.0229685 0.0212475 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 11.70 vpr 69.89 MiB -1 -1 0.47 26196 15 0.38 -1 -1 37532 -1 -1 38 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71568 162 96 994 935 1 693 301 16 16 256 mult_36 auto 31.6 MiB 0.27 5553 93037 31401 54835 6801 69.9 MiB 0.62 0.01 19.6435 -1535.77 -19.6435 19.6435 0.77 0.00284425 0.00264225 0.267204 0.246751 50 11403 23 1.21132e+07 4.02797e+06 780512. 3048.87 4.89 0.862783 0.791211 25484 153448 -1 9690 19 3079 6315 1827689 461626 22.3689 22.3689 -1732.76 -22.3689 0 0 1.00276e+06 3917.05 0.35 0.53 0.15 -1 -1 0.35 0.129316 0.120837 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 865.35 vpr 417.04 MiB -1 -1 69.86 338776 122 80.33 -1 -1 82904 -1 -1 1377 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 427048 114 102 21867 21777 1 11713 1646 50 50 2500 memory auto 193.8 MiB 19.45 162689 1089614 391592 677293 20729 403.1 MiB 26.36 0.22 68.7817 -50162.9 -68.7817 68.7817 29.58 0.0494187 0.0426644 6.17017 5.14459 92 250867 49 1.47946e+08 1.02043e+08 1.52089e+07 6083.58 543.85 27.3232 22.7797 338772 3221652 -1 219490 20 46199 173936 32037054 7025008 79.5231 79.5231 -64122.1 -79.5231 -14.6679 -0.295467 1.93279e+07 7731.17 10.07 14.03 3.57 -1 -1 10.07 3.95557 3.37749 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.78 vpr 66.22 MiB -1 -1 0.29 21100 3 0.10 -1 -1 37048 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67808 99 130 343 473 1 232 298 12 12 144 clb auto 27.8 MiB 0.11 600 72933 21291 38319 13323 66.2 MiB 0.22 0.00 1.62851 -111.864 -1.62851 1.62851 0.38 0.000858338 0.000787871 0.0694951 0.0640475 48 1205 12 5.66058e+06 4.21279e+06 394078. 2736.65 0.87 0.225103 0.203404 13382 75762 -1 1273 12 433 696 34379 10096 1.93197 1.93197 -141.609 -1.93197 -1.04495 -0.29768 503207. 3494.49 0.15 0.04 0.08 -1 -1 0.15 0.026728 0.024666 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 16.21 vpr 69.70 MiB -1 -1 0.43 25952 15 0.37 -1 -1 37876 -1 -1 38 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71376 162 96 994 935 1 693 301 16 16 256 mult_36 auto 31.6 MiB 0.35 5304 70861 21705 42305 6851 69.7 MiB 0.46 0.01 19.8404 -1612.05 -19.8404 19.8404 0.72 0.00238649 0.00218998 0.186503 0.171958 50 13198 40 1.21132e+07 4.02797e+06 780512. 3048.87 9.59 0.88596 0.810106 25484 153448 -1 9762 18 3567 7404 1763577 467738 22.4126 22.4126 -1817.04 -22.4126 0 0 1.00276e+06 3917.05 0.39 0.57 0.15 -1 -1 0.39 0.133969 0.125415 -1 -1 -1 -1 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 728.21 vpr 412.02 MiB -1 -1 66.91 338792 122 83.96 -1 -1 82696 -1 -1 1266 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 421912 114 102 21867 21777 1 11313 1535 50 50 2500 memory auto 193.8 MiB 36.73 151112 966294 344210 601392 20692 403.3 MiB 24.21 0.20 67.357 -48177.1 -67.357 67.357 29.55 0.0492253 0.0424258 6.00734 5.01043 98 226162 27 1.47946e+08 9.60601e+07 1.60641e+07 6425.63 382.78 28.7561 24.0104 348768 3430976 -1 202955 23 42244 161866 44059555 11392801 77.9223 77.9223 -64352.1 -77.9223 -33.0693 -0.296573 2.03677e+07 8147.07 10.85 22.23 3.75 -1 -1 10.85 4.45742 3.79784 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.69 vpr 66.50 MiB -1 -1 0.29 20912 3 0.10 -1 -1 36860 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68092 99 130 343 473 1 228 298 12 12 144 clb auto 27.8 MiB 0.13 546 74923 23130 38217 13576 66.5 MiB 0.20 0.00 1.50426 -109.539 -1.50426 1.50426 0.37 0.000815357 0.000752795 0.0609655 0.056143 48 1087 13 5.66058e+06 4.21279e+06 411630. 2858.54 0.85 0.208087 0.188139 13872 80872 -1 1145 11 391 594 36888 11702 1.93939 1.93939 -137.398 -1.93939 -0.720336 -0.298787 526257. 3654.56 0.16 0.04 0.08 -1 -1 0.16 0.0246205 0.0227861 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 14.20 vpr 69.60 MiB -1 -1 0.46 25764 15 0.38 -1 -1 37496 -1 -1 38 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71272 162 96 994 935 1 696 301 16 16 256 mult_36 auto 31.4 MiB 0.93 5160 85981 26474 51553 7954 69.6 MiB 0.51 0.01 20.1982 -1657.55 -20.1982 20.1982 0.76 0.00231137 0.00212832 0.214939 0.19815 50 12455 43 1.21132e+07 4.02797e+06 817349. 3192.77 7.01 0.888514 0.813986 26464 163948 -1 9727 20 3117 6352 1683985 497731 22.6797 22.6797 -1894.94 -22.6797 0 0 1.05038e+06 4103.04 0.34 0.48 0.15 -1 -1 0.34 0.126158 0.117648 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1064.03 vpr 431.21 MiB -1 -1 66.59 339184 122 85.08 -1 -1 82708 -1 -1 1285 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 441556 114 102 21867 21777 1 11916 1554 50 50 2500 memory auto 190.9 MiB 357.51 162772 1018215 364449 632498 21268 431.2 MiB 29.63 0.23 67.5941 -49002.7 -67.5941 67.5941 32.26 0.0532475 0.0440026 6.63957 5.51343 100 233668 21 1.47946e+08 9.70841e+07 1.70584e+07 6823.36 390.84 27.5388 22.9472 363360 3730996 -1 213348 19 39349 151722 38372031 10271648 78.7792 78.7792 -60948.5 -78.7792 -22.65 -0.29436 2.14473e+07 8578.92 10.09 18.45 4.06 -1 -1 10.09 3.7765 3.25932 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.60 vpr 66.59 MiB -1 -1 0.28 21028 3 0.10 -1 -1 36880 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68188 99 130 343 473 1 225 298 12 12 144 clb auto 28.1 MiB 0.12 548 74923 24125 37485 13313 66.6 MiB 0.20 0.00 1.47901 -107.394 -1.47901 1.47901 0.36 0.000786706 0.000728444 0.0625933 0.0579448 46 1178 11 5.66058e+06 4.21279e+06 396063. 2750.44 0.84 0.207618 0.188656 13728 78551 -1 1169 9 386 580 26085 8334 1.91033 1.91033 -140.927 -1.91033 -1.37858 -0.320482 508433. 3530.78 0.15 0.03 0.07 -1 -1 0.15 0.021123 0.019633 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 12.82 vpr 70.02 MiB -1 -1 0.45 26084 15 0.38 -1 -1 38004 -1 -1 38 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71696 162 96 994 935 1 695 301 16 16 256 mult_36 auto 31.8 MiB 0.84 5338 78925 22769 48737 7419 70.0 MiB 0.51 0.01 19.722 -1669.48 -19.722 19.722 0.79 0.00264236 0.00245067 0.216799 0.199634 46 11620 25 1.21132e+07 4.02797e+06 761464. 2974.47 5.51 0.832451 0.764661 25952 154797 -1 9666 18 3039 6203 2093356 536473 22.2382 22.2382 -1921.9 -22.2382 0 0 979054. 3824.43 0.32 0.57 0.15 -1 -1 0.32 0.124204 0.116064 -1 -1 -1 -1 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1157.05 vpr 432.39 MiB -1 -1 68.29 339088 122 85.75 -1 -1 82768 -1 -1 1189 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 442768 114 102 21867 21777 1 11141 1458 50 50 2500 memory auto 191.8 MiB 353.80 153992 885706 303196 562770 19740 432.4 MiB 30.38 0.26 66.8869 -49530.9 -66.8869 66.8869 32.93 0.0658452 0.0592846 7.85015 6.6054 94 234879 36 1.47946e+08 9.19101e+07 1.62379e+07 6495.14 484.86 29.7838 24.8431 353364 3504872 -1 209335 21 40010 161158 43087728 10591940 79.2314 79.2314 -65396.6 -79.2314 -11.1836 -0.292146 2.03897e+07 8155.87 9.35 17.50 3.84 -1 -1 9.35 3.39298 2.96349 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.94 vpr 66.74 MiB -1 -1 0.30 20828 3 0.11 -1 -1 36648 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68340 99 130 343 473 1 229 298 12 12 144 clb auto 28.4 MiB 0.15 572 77908 22766 40880 14262 66.7 MiB 0.23 0.00 1.47901 -108.738 -1.47901 1.47901 0.41 0.000862336 0.000798193 0.0710988 0.0653567 42 1388 17 5.66058e+06 4.21279e+06 375846. 2610.04 0.88 0.234385 0.211585 13720 75108 -1 1254 9 371 586 42933 13370 1.9789 1.9789 -138.6 -1.9789 -0.812026 -0.29768 470559. 3267.77 0.16 0.04 0.07 -1 -1 0.16 0.022555 0.020962 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 13.45 vpr 69.87 MiB -1 -1 0.46 25828 15 0.39 -1 -1 37748 -1 -1 36 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71548 162 96 994 935 1 695 299 16 16 256 mult_36 auto 31.6 MiB 1.06 5534 85214 26856 51801 6557 69.9 MiB 0.55 0.01 19.5939 -1585.17 -19.5939 19.5939 0.79 0.00266614 0.00247756 0.229372 0.211954 46 12280 25 1.21132e+07 3.92018e+06 791147. 3090.42 5.81 0.701956 0.64506 26792 163197 -1 10271 19 3196 6609 1904630 459419 22.1474 22.1474 -1822.81 -22.1474 0 0 1.01637e+06 3970.19 0.33 0.52 0.16 -1 -1 0.33 0.125318 0.116844 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1148.90 vpr 458.55 MiB -1 -1 68.36 339280 122 86.60 -1 -1 83000 -1 -1 1284 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 469556 114 102 21867 21777 1 11781 1553 50 50 2500 memory auto 190.9 MiB 464.99 163806 1008321 349998 638565 19758 458.6 MiB 35.37 0.29 67.825 -49300.5 -67.825 67.825 37.17 0.0737473 0.0599774 8.71336 7.19907 98 238475 49 1.47946e+08 9.70302e+07 1.74237e+07 6969.48 354.26 35.0008 29.1785 371232 3885440 -1 212357 18 37810 147778 39995241 9831860 79.581 79.581 -64631.2 -79.581 -23.5457 -0.295467 2.19566e+07 8782.65 9.82 15.57 4.21 -1 -1 9.82 2.98611 2.64748 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.99 vpr 66.41 MiB -1 -1 0.31 20952 3 0.10 -1 -1 36840 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68000 99 130 343 473 1 229 298 12 12 144 clb auto 28.0 MiB 0.15 572 77908 22766 40880 14262 66.4 MiB 0.23 0.00 1.47901 -108.738 -1.47901 1.47901 0.41 0.000877273 0.000812912 0.0735659 0.0680471 44 1272 12 5.66058e+06 4.21279e+06 391831. 2721.05 0.93 0.241035 0.219164 14004 80442 -1 1116 11 387 589 24810 7601 1.91792 1.91792 -133 -1.91792 -1.3795 -0.321515 509951. 3541.33 0.17 0.04 0.08 -1 -1 0.17 0.0248723 0.0229939 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 15.17 vpr 70.26 MiB -1 -1 0.45 26016 15 0.38 -1 -1 37664 -1 -1 36 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71944 162 96 994 935 1 695 299 16 16 256 mult_36 auto 32.3 MiB 1.14 5590 83216 24140 52050 7026 70.3 MiB 0.53 0.01 19.5529 -1611.29 -19.5529 19.5529 0.79 0.00240813 0.00221834 0.218245 0.201571 46 11831 30 1.21132e+07 3.92018e+06 791147. 3090.42 7.45 0.833138 0.764352 26792 163197 -1 9974 18 3273 6923 2289929 579109 22.4976 22.4976 -1870.35 -22.4976 0 0 1.01637e+06 3970.19 0.32 0.60 0.16 -1 -1 0.32 0.118758 0.110947 -1 -1 -1 -1 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 985.57 vpr 465.16 MiB -1 -1 65.38 338696 122 87.16 -1 -1 82852 -1 -1 1172 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 476324 114 102 21867 21777 1 10748 1441 50 50 2500 memory auto 191.7 MiB 440.71 147420 888265 306545 561309 20411 465.2 MiB 29.36 0.23 67.9174 -47347.6 -67.9174 67.9174 36.90 0.0641931 0.0572295 8.09492 6.83533 96 219805 43 1.47946e+08 9.09939e+07 1.71713e+07 6868.52 222.69 35.3144 29.5411 366232 3764912 -1 196195 20 34850 143717 36734928 9562782 78.8888 78.8888 -62661.4 -78.8888 -10.7154 -0.295467 2.13622e+07 8544.87 9.90 17.59 4.02 -1 -1 9.90 3.87645 3.35621 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.64 vpr 66.78 MiB -1 -1 0.30 21256 3 0.13 -1 -1 37072 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68380 99 130 343 473 1 228 298 12 12 144 clb auto 28.0 MiB 0.12 541 73928 22213 38293 13422 66.8 MiB 0.20 0.00 1.47901 -109.079 -1.47901 1.47901 0.35 0.000781093 0.000715553 0.0616709 0.0566206 46 1137 15 5.66058e+06 4.21279e+06 378970. 2631.74 0.84 0.215848 0.19482 13238 73581 -1 1078 10 408 646 27054 9056 1.91033 1.91033 -132.161 -1.91033 -0.352058 -0.105593 486261. 3376.82 0.14 0.04 0.08 -1 -1 0.14 0.0240144 0.0223327 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 13.29 vpr 69.77 MiB -1 -1 0.46 25996 15 0.40 -1 -1 37776 -1 -1 37 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71444 162 96 994 935 1 696 300 16 16 256 mult_36 auto 31.6 MiB 0.61 5304 83549 28001 48239 7309 69.8 MiB 0.55 0.01 20.0587 -1602.4 -20.0587 20.0587 0.76 0.00256386 0.00237497 0.234414 0.216422 52 12265 39 1.21132e+07 3.97408e+06 805949. 3148.24 6.09 0.926929 0.850943 25992 162577 -1 9778 18 2927 5929 1678779 445874 22.3853 22.3853 -1863.93 -22.3853 0 0 1.06067e+06 4143.25 0.36 0.52 0.17 -1 -1 0.36 0.130405 0.121845 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1123.16 vpr 412.41 MiB -1 -1 66.82 338916 122 86.96 -1 -1 83136 -1 -1 1319 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 422308 114 102 21867 21777 1 11967 1588 50 50 2500 memory auto 192.1 MiB 246.17 169221 992515 336566 634780 21169 401.9 MiB 30.50 0.27 68.1675 -50495 -68.1675 68.1675 30.67 0.0622324 0.0555164 7.76604 6.55796 100 260247 46 1.47946e+08 9.89166e+07 1.63173e+07 6526.93 562.75 28.6703 23.7888 351264 3480436 -1 228823 21 46113 172655 36693649 8111973 78.8552 78.8552 -66692.1 -78.8552 -44.3207 -0.296573 2.05845e+07 8233.80 10.00 14.17 3.95 -1 -1 10.00 3.2939 2.88146 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.69 vpr 66.40 MiB -1 -1 0.31 20804 3 0.11 -1 -1 37124 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67996 99 130 343 473 1 225 298 12 12 144 clb auto 28.0 MiB 0.13 553 74923 22965 38487 13471 66.4 MiB 0.21 0.00 1.47813 -107.395 -1.47813 1.47813 0.35 0.000834721 0.000766215 0.0654498 0.0600669 44 1301 15 5.66058e+06 4.21279e+06 360780. 2505.42 0.85 0.226012 0.204027 13094 71552 -1 1090 10 405 635 28863 8479 1.90922 1.90922 -130.567 -1.90922 -1.38109 -0.321515 470765. 3269.20 0.14 0.04 0.08 -1 -1 0.14 0.0235191 0.0217218 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 12.29 vpr 70.00 MiB -1 -1 0.48 25792 15 0.41 -1 -1 37612 -1 -1 37 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71680 162 96 994 935 1 695 300 16 16 256 mult_36 auto 31.7 MiB 0.64 5385 92576 29181 55636 7759 70.0 MiB 0.59 0.01 19.9291 -1628 -19.9291 19.9291 0.74 0.00248516 0.00228115 0.247702 0.227864 50 12012 41 1.21132e+07 3.97408e+06 780512. 3048.87 5.01 0.928586 0.851053 25484 153448 -1 9715 18 2982 6036 1729981 467234 22.1799 22.1799 -1838.21 -22.1799 0 0 1.00276e+06 3917.05 0.34 0.52 0.16 -1 -1 0.34 0.128967 0.120691 -1 -1 -1 -1 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1013.63 vpr 408.48 MiB -1 -1 67.49 338784 122 86.64 -1 -1 82892 -1 -1 1218 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 418280 114 102 21867 21777 1 11178 1487 50 50 2500 memory auto 191.4 MiB 264.54 152714 883823 302316 563184 18323 401.3 MiB 23.13 0.21 67.1858 -47730.8 -67.1858 67.1858 29.53 0.0543605 0.0444172 5.88605 4.9315 96 244741 48 1.47946e+08 9.34731e+07 1.58254e+07 6330.17 435.25 29.8668 24.778 343768 3324272 -1 209886 23 43124 166102 50650878 13691398 78.7959 78.7959 -62782.2 -78.7959 -26.8998 -0.29436 1.97871e+07 7914.84 8.91 24.85 3.54 -1 -1 8.91 4.28458 3.68048 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.83 vpr 66.75 MiB -1 -1 0.30 20852 3 0.11 -1 -1 36852 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68352 99 130 343 473 1 229 298 12 12 144 clb auto 28.1 MiB 0.14 541 76913 22319 40564 14030 66.8 MiB 0.23 0.00 1.47901 -110.001 -1.47901 1.47901 0.37 0.000967109 0.000872991 0.0697485 0.0639485 44 1268 13 5.66058e+06 4.21279e+06 360780. 2505.42 0.88 0.235931 0.213094 13094 71552 -1 1145 10 433 647 27670 8065 1.95498 1.95498 -137.42 -1.95498 -1.52687 -0.321515 470765. 3269.20 0.15 0.04 0.08 -1 -1 0.15 0.0243772 0.0225791 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 13.94 vpr 69.71 MiB -1 -1 0.48 25968 15 0.40 -1 -1 38080 -1 -1 36 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71380 162 96 994 935 1 692 299 16 16 256 mult_36 auto 31.5 MiB 0.83 5313 88211 28008 52620 7583 69.7 MiB 0.60 0.01 20.0904 -1626.32 -20.0904 20.0904 0.78 0.00271376 0.00250827 0.251491 0.232571 60 10466 18 1.21132e+07 3.92018e+06 934704. 3651.19 6.31 0.870883 0.802545 27268 184674 -1 8960 16 2677 5308 1604545 394354 22.3673 22.3673 -1820.23 -22.3673 0 0 1.17756e+06 4599.85 0.42 0.49 0.19 -1 -1 0.42 0.122798 0.115406 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1086.56 vpr 418.05 MiB -1 -1 65.81 338988 122 87.66 -1 -1 82932 -1 -1 1300 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 428088 114 102 21867 21777 1 11836 1569 50 50 2500 memory auto 190.8 MiB 266.28 160076 976660 338112 616153 22395 400.5 MiB 25.67 0.21 67.1242 -47132.9 -67.1242 67.1242 29.46 0.0507735 0.0436528 6.14619 5.14045 100 241810 38 1.47946e+08 9.78926e+07 1.63173e+07 6526.93 506.18 27.6656 23.0882 351264 3480436 -1 217750 20 45466 171579 43268728 10828334 78.529 78.529 -60544.2 -78.529 -56.8323 -0.296573 2.05845e+07 8233.80 10.72 20.39 3.78 -1 -1 10.72 3.91771 3.37756 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.84 vpr 66.34 MiB -1 -1 0.32 20996 3 0.11 -1 -1 37236 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67932 99 130 343 473 1 229 298 12 12 144 clb auto 28.0 MiB 0.14 541 76913 22319 40564 14030 66.3 MiB 0.23 0.00 1.47901 -110.001 -1.47901 1.47901 0.38 0.000813383 0.000747662 0.0687281 0.0634296 44 1317 19 5.66058e+06 4.21279e+06 360780. 2505.42 0.87 0.240586 0.218164 13094 71552 -1 1145 11 442 658 29546 8450 1.95498 1.95498 -140.804 -1.95498 -1.52687 -0.321515 470765. 3269.20 0.15 0.04 0.08 -1 -1 0.15 0.0264035 0.024424 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 11.07 vpr 69.99 MiB -1 -1 0.47 25488 15 0.39 -1 -1 37984 -1 -1 36 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71672 162 96 994 935 1 692 299 16 16 256 mult_36 auto 31.7 MiB 0.77 5567 78221 24129 47222 6870 70.0 MiB 0.50 0.01 19.5867 -1602.31 -19.5867 19.5867 0.75 0.00253168 0.00232177 0.209716 0.193111 54 11095 21 1.21132e+07 3.92018e+06 835850. 3265.04 3.81 0.796937 0.730191 26248 167850 -1 9799 17 2879 5873 2003906 513973 22.3133 22.3133 -1810.62 -22.3133 0 0 1.08614e+06 4242.72 0.34 0.54 0.16 -1 -1 0.34 0.115917 0.108556 -1 -1 -1 -1 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 958.49 vpr 409.59 MiB -1 -1 67.01 339064 122 84.37 -1 -1 83100 -1 -1 1196 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 419424 114 102 21867 21777 1 11032 1465 50 50 2500 memory auto 191.0 MiB 260.76 151087 908018 323032 565050 19936 400.9 MiB 28.99 0.24 66.7869 -47762.1 -66.7869 66.7869 30.75 0.0642432 0.0573574 8.12819 6.79564 98 227251 26 1.47946e+08 9.22874e+07 1.60641e+07 6425.63 375.88 32.9021 27.3394 348768 3430976 -1 204692 21 42686 166841 49135844 12470236 77.6436 77.6436 -62930.2 -77.6436 -30.924 -0.296573 2.03677e+07 8147.07 10.82 21.33 3.87 -1 -1 10.82 3.31504 2.8947 -1 -1 -1 -1 From 031415869015be56379a4910aa42bb7e95359826 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 12 Jan 2024 14:51:39 -0500 Subject: [PATCH 146/608] goldne_results: nightly_test_1: restore vtr_reg_nightly_test1/power_extended_arch_list --- .../config/golden_results.txt | 62 +++++++++---------- 1 file changed, 31 insertions(+), 31 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt index 0b2bf10fb64..defcdc98c38 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt @@ -1,31 +1,31 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.69 vpr 66.59 MiB -1 -1 0.30 21304 3 0.11 -1 -1 36896 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68184 99 130 343 473 1 230 298 12 12 144 clb auto 27.8 MiB 0.08 572 76913 23489 39933 13491 66.6 MiB 0.21 0.00 1.63028 -108.738 -1.63028 1.63028 0.35 0.000809123 0.000742403 0.0638013 0.0584761 44 1417 14 5.66058e+06 4.21279e+06 360780. 2505.42 0.89 0.230064 0.206862 13094 71552 -1 1213 9 420 686 37001 11382 1.9084 1.9084 -141.154 -1.9084 -0.416006 -0.147762 470765. 3269.20 0.14 0.04 0.07 -1 -1 0.14 0.0229685 0.0212475 -1 -1 -1 -1 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 11.70 vpr 69.89 MiB -1 -1 0.47 26196 15 0.38 -1 -1 37532 -1 -1 38 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71568 162 96 994 935 1 693 301 16 16 256 mult_36 auto 31.6 MiB 0.27 5553 93037 31401 54835 6801 69.9 MiB 0.62 0.01 19.6435 -1535.77 -19.6435 19.6435 0.77 0.00284425 0.00264225 0.267204 0.246751 50 11403 23 1.21132e+07 4.02797e+06 780512. 3048.87 4.89 0.862783 0.791211 25484 153448 -1 9690 19 3079 6315 1827689 461626 22.3689 22.3689 -1732.76 -22.3689 0 0 1.00276e+06 3917.05 0.35 0.53 0.15 -1 -1 0.35 0.129316 0.120837 -1 -1 -1 -1 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 865.35 vpr 417.04 MiB -1 -1 69.86 338776 122 80.33 -1 -1 82904 -1 -1 1377 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 427048 114 102 21867 21777 1 11713 1646 50 50 2500 memory auto 193.8 MiB 19.45 162689 1089614 391592 677293 20729 403.1 MiB 26.36 0.22 68.7817 -50162.9 -68.7817 68.7817 29.58 0.0494187 0.0426644 6.17017 5.14459 92 250867 49 1.47946e+08 1.02043e+08 1.52089e+07 6083.58 543.85 27.3232 22.7797 338772 3221652 -1 219490 20 46199 173936 32037054 7025008 79.5231 79.5231 -64122.1 -79.5231 -14.6679 -0.295467 1.93279e+07 7731.17 10.07 14.03 3.57 -1 -1 10.07 3.95557 3.37749 -1 -1 -1 -1 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.78 vpr 66.22 MiB -1 -1 0.29 21100 3 0.10 -1 -1 37048 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67808 99 130 343 473 1 232 298 12 12 144 clb auto 27.8 MiB 0.11 600 72933 21291 38319 13323 66.2 MiB 0.22 0.00 1.62851 -111.864 -1.62851 1.62851 0.38 0.000858338 0.000787871 0.0694951 0.0640475 48 1205 12 5.66058e+06 4.21279e+06 394078. 2736.65 0.87 0.225103 0.203404 13382 75762 -1 1273 12 433 696 34379 10096 1.93197 1.93197 -141.609 -1.93197 -1.04495 -0.29768 503207. 3494.49 0.15 0.04 0.08 -1 -1 0.15 0.026728 0.024666 -1 -1 -1 -1 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 16.21 vpr 69.70 MiB -1 -1 0.43 25952 15 0.37 -1 -1 37876 -1 -1 38 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71376 162 96 994 935 1 693 301 16 16 256 mult_36 auto 31.6 MiB 0.35 5304 70861 21705 42305 6851 69.7 MiB 0.46 0.01 19.8404 -1612.05 -19.8404 19.8404 0.72 0.00238649 0.00218998 0.186503 0.171958 50 13198 40 1.21132e+07 4.02797e+06 780512. 3048.87 9.59 0.88596 0.810106 25484 153448 -1 9762 18 3567 7404 1763577 467738 22.4126 22.4126 -1817.04 -22.4126 0 0 1.00276e+06 3917.05 0.39 0.57 0.15 -1 -1 0.39 0.133969 0.125415 -1 -1 -1 -1 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 728.21 vpr 412.02 MiB -1 -1 66.91 338792 122 83.96 -1 -1 82696 -1 -1 1266 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 421912 114 102 21867 21777 1 11313 1535 50 50 2500 memory auto 193.8 MiB 36.73 151112 966294 344210 601392 20692 403.3 MiB 24.21 0.20 67.357 -48177.1 -67.357 67.357 29.55 0.0492253 0.0424258 6.00734 5.01043 98 226162 27 1.47946e+08 9.60601e+07 1.60641e+07 6425.63 382.78 28.7561 24.0104 348768 3430976 -1 202955 23 42244 161866 44059555 11392801 77.9223 77.9223 -64352.1 -77.9223 -33.0693 -0.296573 2.03677e+07 8147.07 10.85 22.23 3.75 -1 -1 10.85 4.45742 3.79784 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.69 vpr 66.50 MiB -1 -1 0.29 20912 3 0.10 -1 -1 36860 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68092 99 130 343 473 1 228 298 12 12 144 clb auto 27.8 MiB 0.13 546 74923 23130 38217 13576 66.5 MiB 0.20 0.00 1.50426 -109.539 -1.50426 1.50426 0.37 0.000815357 0.000752795 0.0609655 0.056143 48 1087 13 5.66058e+06 4.21279e+06 411630. 2858.54 0.85 0.208087 0.188139 13872 80872 -1 1145 11 391 594 36888 11702 1.93939 1.93939 -137.398 -1.93939 -0.720336 -0.298787 526257. 3654.56 0.16 0.04 0.08 -1 -1 0.16 0.0246205 0.0227861 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 14.20 vpr 69.60 MiB -1 -1 0.46 25764 15 0.38 -1 -1 37496 -1 -1 38 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71272 162 96 994 935 1 696 301 16 16 256 mult_36 auto 31.4 MiB 0.93 5160 85981 26474 51553 7954 69.6 MiB 0.51 0.01 20.1982 -1657.55 -20.1982 20.1982 0.76 0.00231137 0.00212832 0.214939 0.19815 50 12455 43 1.21132e+07 4.02797e+06 817349. 3192.77 7.01 0.888514 0.813986 26464 163948 -1 9727 20 3117 6352 1683985 497731 22.6797 22.6797 -1894.94 -22.6797 0 0 1.05038e+06 4103.04 0.34 0.48 0.15 -1 -1 0.34 0.126158 0.117648 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1064.03 vpr 431.21 MiB -1 -1 66.59 339184 122 85.08 -1 -1 82708 -1 -1 1285 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 441556 114 102 21867 21777 1 11916 1554 50 50 2500 memory auto 190.9 MiB 357.51 162772 1018215 364449 632498 21268 431.2 MiB 29.63 0.23 67.5941 -49002.7 -67.5941 67.5941 32.26 0.0532475 0.0440026 6.63957 5.51343 100 233668 21 1.47946e+08 9.70841e+07 1.70584e+07 6823.36 390.84 27.5388 22.9472 363360 3730996 -1 213348 19 39349 151722 38372031 10271648 78.7792 78.7792 -60948.5 -78.7792 -22.65 -0.29436 2.14473e+07 8578.92 10.09 18.45 4.06 -1 -1 10.09 3.7765 3.25932 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.60 vpr 66.59 MiB -1 -1 0.28 21028 3 0.10 -1 -1 36880 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68188 99 130 343 473 1 225 298 12 12 144 clb auto 28.1 MiB 0.12 548 74923 24125 37485 13313 66.6 MiB 0.20 0.00 1.47901 -107.394 -1.47901 1.47901 0.36 0.000786706 0.000728444 0.0625933 0.0579448 46 1178 11 5.66058e+06 4.21279e+06 396063. 2750.44 0.84 0.207618 0.188656 13728 78551 -1 1169 9 386 580 26085 8334 1.91033 1.91033 -140.927 -1.91033 -1.37858 -0.320482 508433. 3530.78 0.15 0.03 0.07 -1 -1 0.15 0.021123 0.019633 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 12.82 vpr 70.02 MiB -1 -1 0.45 26084 15 0.38 -1 -1 38004 -1 -1 38 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71696 162 96 994 935 1 695 301 16 16 256 mult_36 auto 31.8 MiB 0.84 5338 78925 22769 48737 7419 70.0 MiB 0.51 0.01 19.722 -1669.48 -19.722 19.722 0.79 0.00264236 0.00245067 0.216799 0.199634 46 11620 25 1.21132e+07 4.02797e+06 761464. 2974.47 5.51 0.832451 0.764661 25952 154797 -1 9666 18 3039 6203 2093356 536473 22.2382 22.2382 -1921.9 -22.2382 0 0 979054. 3824.43 0.32 0.57 0.15 -1 -1 0.32 0.124204 0.116064 -1 -1 -1 -1 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1157.05 vpr 432.39 MiB -1 -1 68.29 339088 122 85.75 -1 -1 82768 -1 -1 1189 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 442768 114 102 21867 21777 1 11141 1458 50 50 2500 memory auto 191.8 MiB 353.80 153992 885706 303196 562770 19740 432.4 MiB 30.38 0.26 66.8869 -49530.9 -66.8869 66.8869 32.93 0.0658452 0.0592846 7.85015 6.6054 94 234879 36 1.47946e+08 9.19101e+07 1.62379e+07 6495.14 484.86 29.7838 24.8431 353364 3504872 -1 209335 21 40010 161158 43087728 10591940 79.2314 79.2314 -65396.6 -79.2314 -11.1836 -0.292146 2.03897e+07 8155.87 9.35 17.50 3.84 -1 -1 9.35 3.39298 2.96349 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.94 vpr 66.74 MiB -1 -1 0.30 20828 3 0.11 -1 -1 36648 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68340 99 130 343 473 1 229 298 12 12 144 clb auto 28.4 MiB 0.15 572 77908 22766 40880 14262 66.7 MiB 0.23 0.00 1.47901 -108.738 -1.47901 1.47901 0.41 0.000862336 0.000798193 0.0710988 0.0653567 42 1388 17 5.66058e+06 4.21279e+06 375846. 2610.04 0.88 0.234385 0.211585 13720 75108 -1 1254 9 371 586 42933 13370 1.9789 1.9789 -138.6 -1.9789 -0.812026 -0.29768 470559. 3267.77 0.16 0.04 0.07 -1 -1 0.16 0.022555 0.020962 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 13.45 vpr 69.87 MiB -1 -1 0.46 25828 15 0.39 -1 -1 37748 -1 -1 36 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71548 162 96 994 935 1 695 299 16 16 256 mult_36 auto 31.6 MiB 1.06 5534 85214 26856 51801 6557 69.9 MiB 0.55 0.01 19.5939 -1585.17 -19.5939 19.5939 0.79 0.00266614 0.00247756 0.229372 0.211954 46 12280 25 1.21132e+07 3.92018e+06 791147. 3090.42 5.81 0.701956 0.64506 26792 163197 -1 10271 19 3196 6609 1904630 459419 22.1474 22.1474 -1822.81 -22.1474 0 0 1.01637e+06 3970.19 0.33 0.52 0.16 -1 -1 0.33 0.125318 0.116844 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1148.90 vpr 458.55 MiB -1 -1 68.36 339280 122 86.60 -1 -1 83000 -1 -1 1284 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 469556 114 102 21867 21777 1 11781 1553 50 50 2500 memory auto 190.9 MiB 464.99 163806 1008321 349998 638565 19758 458.6 MiB 35.37 0.29 67.825 -49300.5 -67.825 67.825 37.17 0.0737473 0.0599774 8.71336 7.19907 98 238475 49 1.47946e+08 9.70302e+07 1.74237e+07 6969.48 354.26 35.0008 29.1785 371232 3885440 -1 212357 18 37810 147778 39995241 9831860 79.581 79.581 -64631.2 -79.581 -23.5457 -0.295467 2.19566e+07 8782.65 9.82 15.57 4.21 -1 -1 9.82 2.98611 2.64748 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.99 vpr 66.41 MiB -1 -1 0.31 20952 3 0.10 -1 -1 36840 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68000 99 130 343 473 1 229 298 12 12 144 clb auto 28.0 MiB 0.15 572 77908 22766 40880 14262 66.4 MiB 0.23 0.00 1.47901 -108.738 -1.47901 1.47901 0.41 0.000877273 0.000812912 0.0735659 0.0680471 44 1272 12 5.66058e+06 4.21279e+06 391831. 2721.05 0.93 0.241035 0.219164 14004 80442 -1 1116 11 387 589 24810 7601 1.91792 1.91792 -133 -1.91792 -1.3795 -0.321515 509951. 3541.33 0.17 0.04 0.08 -1 -1 0.17 0.0248723 0.0229939 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 15.17 vpr 70.26 MiB -1 -1 0.45 26016 15 0.38 -1 -1 37664 -1 -1 36 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71944 162 96 994 935 1 695 299 16 16 256 mult_36 auto 32.3 MiB 1.14 5590 83216 24140 52050 7026 70.3 MiB 0.53 0.01 19.5529 -1611.29 -19.5529 19.5529 0.79 0.00240813 0.00221834 0.218245 0.201571 46 11831 30 1.21132e+07 3.92018e+06 791147. 3090.42 7.45 0.833138 0.764352 26792 163197 -1 9974 18 3273 6923 2289929 579109 22.4976 22.4976 -1870.35 -22.4976 0 0 1.01637e+06 3970.19 0.32 0.60 0.16 -1 -1 0.32 0.118758 0.110947 -1 -1 -1 -1 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 985.57 vpr 465.16 MiB -1 -1 65.38 338696 122 87.16 -1 -1 82852 -1 -1 1172 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 476324 114 102 21867 21777 1 10748 1441 50 50 2500 memory auto 191.7 MiB 440.71 147420 888265 306545 561309 20411 465.2 MiB 29.36 0.23 67.9174 -47347.6 -67.9174 67.9174 36.90 0.0641931 0.0572295 8.09492 6.83533 96 219805 43 1.47946e+08 9.09939e+07 1.71713e+07 6868.52 222.69 35.3144 29.5411 366232 3764912 -1 196195 20 34850 143717 36734928 9562782 78.8888 78.8888 -62661.4 -78.8888 -10.7154 -0.295467 2.13622e+07 8544.87 9.90 17.59 4.02 -1 -1 9.90 3.87645 3.35621 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.64 vpr 66.78 MiB -1 -1 0.30 21256 3 0.13 -1 -1 37072 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68380 99 130 343 473 1 228 298 12 12 144 clb auto 28.0 MiB 0.12 541 73928 22213 38293 13422 66.8 MiB 0.20 0.00 1.47901 -109.079 -1.47901 1.47901 0.35 0.000781093 0.000715553 0.0616709 0.0566206 46 1137 15 5.66058e+06 4.21279e+06 378970. 2631.74 0.84 0.215848 0.19482 13238 73581 -1 1078 10 408 646 27054 9056 1.91033 1.91033 -132.161 -1.91033 -0.352058 -0.105593 486261. 3376.82 0.14 0.04 0.08 -1 -1 0.14 0.0240144 0.0223327 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 13.29 vpr 69.77 MiB -1 -1 0.46 25996 15 0.40 -1 -1 37776 -1 -1 37 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71444 162 96 994 935 1 696 300 16 16 256 mult_36 auto 31.6 MiB 0.61 5304 83549 28001 48239 7309 69.8 MiB 0.55 0.01 20.0587 -1602.4 -20.0587 20.0587 0.76 0.00256386 0.00237497 0.234414 0.216422 52 12265 39 1.21132e+07 3.97408e+06 805949. 3148.24 6.09 0.926929 0.850943 25992 162577 -1 9778 18 2927 5929 1678779 445874 22.3853 22.3853 -1863.93 -22.3853 0 0 1.06067e+06 4143.25 0.36 0.52 0.17 -1 -1 0.36 0.130405 0.121845 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1123.16 vpr 412.41 MiB -1 -1 66.82 338916 122 86.96 -1 -1 83136 -1 -1 1319 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 422308 114 102 21867 21777 1 11967 1588 50 50 2500 memory auto 192.1 MiB 246.17 169221 992515 336566 634780 21169 401.9 MiB 30.50 0.27 68.1675 -50495 -68.1675 68.1675 30.67 0.0622324 0.0555164 7.76604 6.55796 100 260247 46 1.47946e+08 9.89166e+07 1.63173e+07 6526.93 562.75 28.6703 23.7888 351264 3480436 -1 228823 21 46113 172655 36693649 8111973 78.8552 78.8552 -66692.1 -78.8552 -44.3207 -0.296573 2.05845e+07 8233.80 10.00 14.17 3.95 -1 -1 10.00 3.2939 2.88146 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.69 vpr 66.40 MiB -1 -1 0.31 20804 3 0.11 -1 -1 37124 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67996 99 130 343 473 1 225 298 12 12 144 clb auto 28.0 MiB 0.13 553 74923 22965 38487 13471 66.4 MiB 0.21 0.00 1.47813 -107.395 -1.47813 1.47813 0.35 0.000834721 0.000766215 0.0654498 0.0600669 44 1301 15 5.66058e+06 4.21279e+06 360780. 2505.42 0.85 0.226012 0.204027 13094 71552 -1 1090 10 405 635 28863 8479 1.90922 1.90922 -130.567 -1.90922 -1.38109 -0.321515 470765. 3269.20 0.14 0.04 0.08 -1 -1 0.14 0.0235191 0.0217218 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 12.29 vpr 70.00 MiB -1 -1 0.48 25792 15 0.41 -1 -1 37612 -1 -1 37 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71680 162 96 994 935 1 695 300 16 16 256 mult_36 auto 31.7 MiB 0.64 5385 92576 29181 55636 7759 70.0 MiB 0.59 0.01 19.9291 -1628 -19.9291 19.9291 0.74 0.00248516 0.00228115 0.247702 0.227864 50 12012 41 1.21132e+07 3.97408e+06 780512. 3048.87 5.01 0.928586 0.851053 25484 153448 -1 9715 18 2982 6036 1729981 467234 22.1799 22.1799 -1838.21 -22.1799 0 0 1.00276e+06 3917.05 0.34 0.52 0.16 -1 -1 0.34 0.128967 0.120691 -1 -1 -1 -1 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1013.63 vpr 408.48 MiB -1 -1 67.49 338784 122 86.64 -1 -1 82892 -1 -1 1218 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 418280 114 102 21867 21777 1 11178 1487 50 50 2500 memory auto 191.4 MiB 264.54 152714 883823 302316 563184 18323 401.3 MiB 23.13 0.21 67.1858 -47730.8 -67.1858 67.1858 29.53 0.0543605 0.0444172 5.88605 4.9315 96 244741 48 1.47946e+08 9.34731e+07 1.58254e+07 6330.17 435.25 29.8668 24.778 343768 3324272 -1 209886 23 43124 166102 50650878 13691398 78.7959 78.7959 -62782.2 -78.7959 -26.8998 -0.29436 1.97871e+07 7914.84 8.91 24.85 3.54 -1 -1 8.91 4.28458 3.68048 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.83 vpr 66.75 MiB -1 -1 0.30 20852 3 0.11 -1 -1 36852 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68352 99 130 343 473 1 229 298 12 12 144 clb auto 28.1 MiB 0.14 541 76913 22319 40564 14030 66.8 MiB 0.23 0.00 1.47901 -110.001 -1.47901 1.47901 0.37 0.000967109 0.000872991 0.0697485 0.0639485 44 1268 13 5.66058e+06 4.21279e+06 360780. 2505.42 0.88 0.235931 0.213094 13094 71552 -1 1145 10 433 647 27670 8065 1.95498 1.95498 -137.42 -1.95498 -1.52687 -0.321515 470765. 3269.20 0.15 0.04 0.08 -1 -1 0.15 0.0243772 0.0225791 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 13.94 vpr 69.71 MiB -1 -1 0.48 25968 15 0.40 -1 -1 38080 -1 -1 36 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71380 162 96 994 935 1 692 299 16 16 256 mult_36 auto 31.5 MiB 0.83 5313 88211 28008 52620 7583 69.7 MiB 0.60 0.01 20.0904 -1626.32 -20.0904 20.0904 0.78 0.00271376 0.00250827 0.251491 0.232571 60 10466 18 1.21132e+07 3.92018e+06 934704. 3651.19 6.31 0.870883 0.802545 27268 184674 -1 8960 16 2677 5308 1604545 394354 22.3673 22.3673 -1820.23 -22.3673 0 0 1.17756e+06 4599.85 0.42 0.49 0.19 -1 -1 0.42 0.122798 0.115406 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1086.56 vpr 418.05 MiB -1 -1 65.81 338988 122 87.66 -1 -1 82932 -1 -1 1300 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 428088 114 102 21867 21777 1 11836 1569 50 50 2500 memory auto 190.8 MiB 266.28 160076 976660 338112 616153 22395 400.5 MiB 25.67 0.21 67.1242 -47132.9 -67.1242 67.1242 29.46 0.0507735 0.0436528 6.14619 5.14045 100 241810 38 1.47946e+08 9.78926e+07 1.63173e+07 6526.93 506.18 27.6656 23.0882 351264 3480436 -1 217750 20 45466 171579 43268728 10828334 78.529 78.529 -60544.2 -78.529 -56.8323 -0.296573 2.05845e+07 8233.80 10.72 20.39 3.78 -1 -1 10.72 3.91771 3.37756 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.84 vpr 66.34 MiB -1 -1 0.32 20996 3 0.11 -1 -1 37236 -1 -1 68 99 1 0 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67932 99 130 343 473 1 229 298 12 12 144 clb auto 28.0 MiB 0.14 541 76913 22319 40564 14030 66.3 MiB 0.23 0.00 1.47901 -110.001 -1.47901 1.47901 0.38 0.000813383 0.000747662 0.0687281 0.0634296 44 1317 19 5.66058e+06 4.21279e+06 360780. 2505.42 0.87 0.240586 0.218164 13094 71552 -1 1145 11 442 658 29546 8450 1.95498 1.95498 -140.804 -1.95498 -1.52687 -0.321515 470765. 3269.20 0.15 0.04 0.08 -1 -1 0.15 0.0264035 0.024424 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 11.07 vpr 69.99 MiB -1 -1 0.47 25488 15 0.39 -1 -1 37984 -1 -1 36 162 0 5 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71672 162 96 994 935 1 692 299 16 16 256 mult_36 auto 31.7 MiB 0.77 5567 78221 24129 47222 6870 70.0 MiB 0.50 0.01 19.5867 -1602.31 -19.5867 19.5867 0.75 0.00253168 0.00232177 0.209716 0.193111 54 11095 21 1.21132e+07 3.92018e+06 835850. 3265.04 3.81 0.796937 0.730191 26248 167850 -1 9799 17 2879 5873 2003906 513973 22.3133 22.3133 -1810.62 -22.3133 0 0 1.08614e+06 4242.72 0.34 0.54 0.16 -1 -1 0.34 0.115917 0.108556 -1 -1 -1 -1 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 958.49 vpr 409.59 MiB -1 -1 67.01 339064 122 84.37 -1 -1 83100 -1 -1 1196 114 45 8 success 1f42744-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-01-12T00:24:23 gh-actions-runner-vtr-auto-spawned53 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 419424 114 102 21867 21777 1 11032 1465 50 50 2500 memory auto 191.0 MiB 260.76 151087 908018 323032 565050 19936 400.9 MiB 28.99 0.24 66.7869 -47762.1 -66.7869 66.7869 30.75 0.0642432 0.0573574 8.12819 6.79564 98 227251 26 1.47946e+08 9.22874e+07 1.60641e+07 6425.63 375.88 32.9021 27.3394 348768 3430976 -1 204692 21 42686 166841 49135844 12470236 77.6436 77.6436 -62930.2 -77.6436 -30.924 -0.296573 2.03677e+07 8147.07 10.82 21.33 3.87 -1 -1 10.82 3.31504 2.8947 -1 -1 -1 -1 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.09 vpr 55.19 MiB -1 -1 0.18 18560 3 0.06 -1 -1 32744 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56512 99 130 343 473 1 230 298 12 12 144 clb auto 16.3 MiB 0.07 572 55.2 MiB 0.14 0.00 1.63028 -108.738 -1.63028 1.63028 0.22 0.000340502 0.000307112 0.027896 0.0251334 44 1417 14 5.66058e+06 4.21279e+06 360780. 2505.42 1.09 0.189663 0.17397 13094 71552 -1 1231 11 449 718 38782 11946 0 0 38782 11946 718 614 0 0 2355 2101 0 0 2978 2360 0 0 788 667 0 0 15634 3532 0 0 16309 2672 0 0 718 0 0 269 414 445 2996 0 0 1.9084 1.9084 -139.139 -1.9084 -0.416006 -0.147762 470765. 3269.20 0.10 0.02 0.04 -1 -1 0.10 0.0136163 0.0128607 0.01049 0.2555 0.07883 0.6657 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 9.79 vpr 58.29 MiB -1 -1 0.31 22900 15 0.32 -1 -1 33264 -1 -1 38 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59684 162 96 993 934 1 696 301 16 16 256 mult_36 auto 19.9 MiB 0.18 5428 58.3 MiB 0.32 0.00 19.9596 -1648.74 -19.9596 19.9596 0.48 0.00101658 0.000903954 0.0970702 0.0873825 46 13271 37 1.21132e+07 4.02797e+06 727248. 2840.81 4.94 0.46586 0.425714 24972 144857 -1 10025 17 3164 6144 1940934 498468 0 0 1940934 498468 6144 4223 0 0 78011 76422 0 0 81313 78168 0 0 6697 4572 0 0 879964 161352 0 0 888805 173731 0 0 6144 0 0 3018 8452 8155 50099 0 0 22.8999 22.8999 -1888.31 -22.8999 0 0 934704. 3651.19 0.20 0.34 0.09 -1 -1 0.20 0.0666329 0.0627901 0.007475 0.3634 0.01692 0.6197 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 492.93 vpr 388.55 MiB -1 -1 48.62 339644 122 59.03 -1 -1 77844 -1 -1 1377 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 397880 114 102 21867 21777 1 11713 1646 50 50 2500 memory auto 180.9 MiB 15.53 162689 388.6 MiB 19.63 0.14 68.7817 -50162.9 -68.7817 68.7817 23.70 0.0347519 0.0304026 4.6802 3.81808 92 251169 44 1.47946e+08 1.02043e+08 1.52089e+07 6083.58 244.79 18.37 15.2806 338772 3221652 -1 220101 19 45751 172307 32920188 7264749 0 0 32920188 7264749 164441 57994 0 0 591691 548992 0 0 704893 597954 0 0 169930 67144 0 0 15682645 2978165 0 0 15606588 3014500 0 0 164441 0 0 121062 487151 475835 2963694 8158 5092 79.5055 79.5055 -65870.8 -79.5055 -14.9102 -0.295467 1.93279e+07 7731.17 6.90 9.50 2.30 -1 -1 6.90 2.37124 2.05828 0.08136 0.4285 0.01142 0.5601 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 2.51 vpr 55.01 MiB -1 -1 0.18 18632 3 0.06 -1 -1 32612 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56332 99 130 343 473 1 232 298 12 12 144 clb auto 16.7 MiB 0.07 572 55.0 MiB 0.13 0.00 1.62851 -108.359 -1.62851 1.62851 0.22 0.000326051 0.000293181 0.0262507 0.0236426 48 1189 19 5.66058e+06 4.21279e+06 394078. 2736.65 0.52 0.111503 0.102523 13382 75762 -1 1252 11 422 669 38874 12066 0 0 38874 12066 669 523 0 0 1920 1755 0 0 2584 1921 0 0 733 577 0 0 16320 4045 0 0 16648 3245 0 0 669 0 0 247 404 345 2663 0 0 1.97488 1.97488 -136.181 -1.97488 -0.782377 -0.296573 503207. 3494.49 0.10 0.02 0.05 -1 -1 0.10 0.0138063 0.0130659 0.01159 0.2336 0.07188 0.6946 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 9.77 vpr 58.51 MiB -1 -1 0.34 23384 15 0.34 -1 -1 33192 -1 -1 38 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59916 162 96 993 934 1 696 301 16 16 256 mult_36 auto 20.3 MiB 0.25 5428 58.5 MiB 0.31 0.00 19.9596 -1648.74 -19.9596 19.9596 0.48 0.000947709 0.00084599 0.0928615 0.0832684 46 12909 39 1.21132e+07 4.02797e+06 727248. 2840.81 4.89 0.456105 0.417072 24972 144857 -1 9960 16 3161 6072 1841496 470541 0 0 1841496 470541 6072 4110 0 0 73535 71998 0 0 76748 73650 0 0 6596 4486 0 0 836410 152472 0 0 842135 163825 0 0 6072 0 0 2947 8520 8356 52651 0 0 22.7389 22.7389 -1891.59 -22.7389 0 0 934704. 3651.19 0.20 0.31 0.08 -1 -1 0.20 0.0642102 0.0606279 0.007787 0.3485 0.01635 0.6352 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 448.83 vpr 388.89 MiB -1 -1 45.04 339716 122 60.33 -1 -1 78016 -1 -1 1266 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 398224 114 102 21867 21777 1 11313 1535 50 50 2500 memory auto 180.3 MiB 31.53 151112 388.9 MiB 17.49 0.13 67.357 -48177.1 -67.357 67.357 21.24 0.0348124 0.0304923 4.46047 3.64836 98 226104 23 1.47946e+08 9.60601e+07 1.60641e+07 6425.63 193.53 15.3956 12.7951 348768 3430976 -1 203055 19 41666 159584 42519209 11149043 0 0 42519209 11149043 153478 52594 0 0 531133 494387 0 0 635471 535883 0 0 159184 61491 0 0 20329034 4924891 0 0 20710909 5079797 0 0 153478 0 0 114200 430530 431244 2752936 6538 3635 77.9533 77.9533 -64058.9 -77.9533 -34.0227 -0.296573 2.03677e+07 8147.07 7.41 14.23 2.51 -1 -1 7.41 2.43096 2.10953 0.08408 0.4242 0.01152 0.5643 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.11 vpr 55.38 MiB -1 -1 0.16 18640 3 0.05 -1 -1 32624 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56708 99 130 343 473 1 228 298 12 12 144 clb auto 16.5 MiB 0.09 546 55.4 MiB 0.14 0.00 1.50426 -109.539 -1.50426 1.50426 0.23 0.000321786 0.000290019 0.026723 0.0240597 48 1087 13 5.66058e+06 4.21279e+06 411630. 2858.54 1.08 0.153456 0.140768 13872 80872 -1 1145 11 389 590 36976 11771 0 0 36976 11771 590 439 0 0 2012 1783 0 0 2405 2015 0 0 615 464 0 0 16619 3596 0 0 14735 3474 0 0 590 0 0 201 347 249 2251 0 0 1.93939 1.93939 -137.398 -1.93939 -0.720336 -0.298787 526257. 3654.56 0.11 0.02 0.05 -1 -1 0.11 0.0135719 0.0128201 0.01039 0.2475 0.08197 0.6705 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 10.47 vpr 58.50 MiB -1 -1 0.26 23316 15 0.34 -1 -1 33248 -1 -1 36 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59904 162 96 993 934 1 691 299 16 16 256 mult_36 auto 20.2 MiB 0.40 5242 58.5 MiB 0.31 0.00 19.856 -1634.5 -19.856 19.856 0.50 0.000933671 0.000830507 0.094687 0.0851361 52 11187 29 1.21132e+07 3.92018e+06 843768. 3295.97 5.44 0.563902 0.516092 26972 173637 -1 9405 18 3120 6376 1891735 547258 0 0 1891735 547258 6376 3957 0 0 91624 88938 0 0 95572 91775 0 0 6712 4262 0 0 852492 179740 0 0 838959 178586 0 0 6376 0 0 3288 9625 9038 50683 0 0 22.1237 22.1237 -1801.73 -22.1237 0 0 1.11026e+06 4336.95 0.24 0.33 0.10 -1 -1 0.24 0.0681991 0.0642887 0.00808 0.3627 0.01728 0.62 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 694.85 vpr 419.09 MiB -1 -1 45.28 339596 122 60.77 -1 -1 77984 -1 -1 1285 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 429152 114 102 21867 21777 1 11916 1554 50 50 2500 memory auto 179.7 MiB 229.70 162772 419.1 MiB 20.07 0.16 67.5941 -49002.7 -67.5941 67.5941 23.17 0.0400612 0.0315621 4.89658 3.94384 98 243767 43 1.47946e+08 9.70841e+07 1.67994e+07 6719.74 239.26 17.8073 14.8023 360864 3674624 -1 215233 18 40684 156406 38892741 10213543 0 0 38892741 10213543 149595 52193 0 0 576074 522161 0 0 685418 581380 0 0 154879 61991 0 0 18534406 4442126 0 0 18792369 4553692 0 0 149595 0 0 110910 420664 410078 2621052 7165 6836 78.8522 78.8522 -62098.7 -78.8522 -30.1856 -0.29436 2.12220e+07 8488.81 7.01 12.37 2.60 -1 -1 7.01 2.31393 2.0319 0.08751 0.4235 0.0115 0.565 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.27 vpr 55.15 MiB -1 -1 0.18 18684 3 0.07 -1 -1 32640 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56472 99 130 343 473 1 225 298 12 12 144 clb auto 16.5 MiB 0.09 548 55.1 MiB 0.14 0.00 1.47901 -107.394 -1.47901 1.47901 0.23 0.000318477 0.000284588 0.0261925 0.0235342 46 1178 11 5.66058e+06 4.21279e+06 396063. 2750.44 1.23 0.135026 0.123819 13728 78551 -1 1163 9 386 580 26592 8561 0 0 26592 8561 580 512 0 0 1629 1404 0 0 2114 1629 0 0 672 592 0 0 11675 2276 0 0 9922 2148 0 0 580 0 0 194 276 223 1985 0 0 1.91033 1.91033 -140.454 -1.91033 -1.37858 -0.320482 508433. 3530.78 0.10 0.02 0.05 -1 -1 0.10 0.0119744 0.0113546 0.01178 0.2146 0.07228 0.7131 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 9.51 vpr 58.63 MiB -1 -1 0.27 23484 15 0.30 -1 -1 33304 -1 -1 38 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 60036 162 96 993 934 1 694 301 16 16 256 mult_36 auto 20.4 MiB 0.45 5341 58.6 MiB 0.34 0.00 20.0691 -1671.27 -20.0691 20.0691 0.49 0.00094399 0.000839503 0.0980284 0.0877106 50 11589 31 1.21132e+07 4.02797e+06 817349. 3192.77 4.45 0.469532 0.430508 26464 163948 -1 9622 20 3346 7062 1853074 482972 0 0 1853074 482972 7062 4238 0 0 88258 85884 0 0 92514 88441 0 0 7628 4697 0 0 815648 153741 0 0 841964 145971 0 0 7062 0 0 3754 10960 10910 61346 0 0 22.7782 22.7782 -1875.43 -22.7782 0 0 1.05038e+06 4103.04 0.23 0.33 0.09 -1 -1 0.23 0.0728706 0.0684196 0.008122 0.3432 0.01562 0.6412 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 723.43 vpr 418.66 MiB -1 -1 42.40 340804 122 62.31 -1 -1 78068 -1 -1 1189 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 428712 114 102 21867 21777 1 11141 1458 50 50 2500 memory auto 179.0 MiB 232.37 153992 418.7 MiB 15.95 0.13 66.8869 -49530.9 -66.8869 66.8869 22.64 0.0343307 0.0300405 4.2818 3.52376 94 233968 44 1.47946e+08 9.19101e+07 1.62379e+07 6495.14 270.48 18.6021 15.4716 353364 3504872 -1 208614 22 39746 158437 44829525 11060816 0 0 44829525 11060816 151638 52260 0 0 630115 562975 0 0 759437 638546 0 0 157207 61696 0 0 21550951 4878435 0 0 21580177 4866904 0 0 151638 0 0 114016 440723 449870 2754101 7183 5301 79.2314 79.2314 -65292.9 -79.2314 -11.1139 -0.292146 2.03897e+07 8155.87 6.69 13.79 2.45 -1 -1 6.69 2.68373 2.34649 0.08739 0.406 0.01147 0.5826 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.11 vpr 55.39 MiB -1 -1 0.18 18532 3 0.05 -1 -1 32672 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56716 99 130 343 473 1 229 298 12 12 144 clb auto 16.8 MiB 0.09 535 55.4 MiB 0.14 0.00 1.50322 -110.367 -1.50322 1.50322 0.24 0.00031694 0.000285466 0.0278571 0.0250213 38 1372 21 5.66058e+06 4.21279e+06 347689. 2414.51 1.04 0.168992 0.154412 13432 70334 -1 1054 9 411 616 27691 9531 0 0 27691 9531 616 478 0 0 1880 1578 0 0 2227 1881 0 0 653 516 0 0 11438 2666 0 0 10877 2412 0 0 616 0 0 205 385 234 2336 0 0 2.05211 2.05211 -139.952 -2.05211 -1.13196 -0.29768 440062. 3055.98 0.10 0.02 0.04 -1 -1 0.10 0.0121001 0.0114727 0.009477 0.2238 0.07661 0.6996 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 8.66 vpr 58.82 MiB -1 -1 0.27 23292 15 0.30 -1 -1 33264 -1 -1 35 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 60232 162 96 993 934 1 704 298 16 16 256 mult_36 auto 20.7 MiB 0.50 5446 58.8 MiB 0.33 0.00 20.1297 -1647.97 -20.1297 20.1297 0.51 0.000904406 0.000802593 0.098466 0.0881199 46 12661 43 1.21132e+07 3.86629e+06 791147. 3090.42 3.47 0.409852 0.374882 26792 163197 -1 10223 21 3388 6763 1975781 487013 0 0 1975781 487013 6763 4295 0 0 80671 77935 0 0 85132 80849 0 0 7391 4858 0 0 915703 157684 0 0 880121 161392 0 0 6763 0 0 3414 10175 9976 55514 0 0 22.641 22.641 -1874.1 -22.641 0 0 1.01637e+06 3970.19 0.22 0.35 0.09 -1 -1 0.22 0.0748807 0.0704858 0.007983 0.3559 0.0162 0.6279 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 755.16 vpr 445.16 MiB -1 -1 42.59 339680 122 62.80 -1 -1 77808 -1 -1 1284 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 455844 114 102 21867 21777 1 11781 1553 50 50 2500 memory auto 178.9 MiB 287.95 163806 445.2 MiB 20.36 0.16 67.825 -49300.5 -67.825 67.825 26.55 0.040145 0.0316068 4.92974 3.94112 98 236687 21 1.47946e+08 9.70302e+07 1.74237e+07 6969.48 238.11 19.1585 15.9116 371232 3885440 -1 212661 20 37783 148892 39345972 9537156 0 0 39345972 9537156 141735 48142 0 0 580119 511992 0 0 691652 585858 0 0 145960 56603 0 0 18902814 4114484 0 0 18883692 4220077 0 0 141735 0 0 105806 409785 407162 2545339 7369 5036 79.5943 79.5943 -63624.9 -79.5943 -23.4799 -0.295467 2.19566e+07 8782.65 6.97 10.57 2.63 -1 -1 6.97 2.34806 2.07952 0.09067 0.4158 0.01165 0.5726 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.21 vpr 55.42 MiB -1 -1 0.19 18576 3 0.05 -1 -1 32724 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56748 99 130 343 473 1 229 298 12 12 144 clb auto 17.1 MiB 0.09 535 55.4 MiB 0.14 0.00 1.50322 -110.367 -1.50322 1.50322 0.24 0.000320205 0.000288127 0.0280234 0.0251782 36 1377 22 5.66058e+06 4.21279e+06 333113. 2313.29 1.14 0.149766 0.137029 13148 65652 -1 1061 11 403 624 25883 8887 0 0 25883 8887 624 480 0 0 1903 1638 0 0 2218 1903 0 0 651 521 0 0 9972 2371 0 0 10515 1974 0 0 624 0 0 221 401 288 2456 0 0 1.90592 1.90592 -135.525 -1.90592 -1.08733 -0.318417 410918. 2853.60 0.09 0.02 0.04 -1 -1 0.09 0.0136201 0.0129052 0.01132 0.1889 0.06759 0.7435 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 10.39 vpr 58.74 MiB -1 -1 0.25 23464 15 0.35 -1 -1 33608 -1 -1 35 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 60152 162 96 993 934 1 705 298 16 16 256 mult_36 auto 20.6 MiB 0.56 5344 58.7 MiB 0.32 0.00 19.8283 -1655.73 -19.8283 19.8283 0.50 0.000924698 0.000822885 0.0965477 0.0866682 48 12369 34 1.21132e+07 3.86629e+06 822491. 3212.85 5.15 0.538632 0.492044 27048 168158 -1 9968 15 3038 6000 1608560 403033 0 0 1608560 403033 6000 3812 0 0 76557 74069 0 0 79789 76702 0 0 6504 4207 0 0 722305 123879 0 0 717405 120364 0 0 6000 0 0 2995 8403 8806 49428 0 0 22.6591 22.6591 -1905.78 -22.6591 0 0 1.05295e+06 4113.10 0.24 0.28 0.10 -1 -1 0.24 0.0621008 0.0588223 0.008265 0.3422 0.01588 0.6419 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 727.49 vpr 450.72 MiB -1 -1 43.14 339736 122 64.52 -1 -1 77952 -1 -1 1172 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 461536 114 102 21867 21777 1 10748 1441 50 50 2500 memory auto 177.9 MiB 280.75 147420 450.7 MiB 17.99 0.13 67.9174 -47347.6 -67.9174 67.9174 25.92 0.0366419 0.0321162 4.71915 3.87923 92 216433 29 1.47946e+08 9.09939e+07 1.65231e+07 6609.23 220.29 22.2587 18.4814 361236 3648468 -1 195513 18 35439 146001 35950888 9052086 0 0 35950888 9052086 140069 46503 0 0 566522 494870 0 0 674657 572353 0 0 145658 53960 0 0 17221423 3923915 0 0 17202559 3960485 0 0 140069 0 0 106595 397100 389319 2525910 6363 3754 79.1326 79.1326 -64342.9 -79.1326 -15.7329 -0.292146 2.08892e+07 8355.67 6.98 11.01 2.44 -1 -1 6.98 2.29299 2.01736 0.08968 0.3926 0.01168 0.5957 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.16 vpr 55.35 MiB -1 -1 0.18 18684 3 0.05 -1 -1 32680 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56680 99 130 343 473 1 228 298 12 12 144 clb auto 16.5 MiB 0.09 541 55.4 MiB 0.13 0.00 1.47901 -109.079 -1.47901 1.47901 0.22 0.000318916 0.000287721 0.0263451 0.0236836 46 1148 15 5.66058e+06 4.21279e+06 378970. 2631.74 1.18 0.117991 0.108252 13238 73581 -1 1102 12 438 677 28265 9438 0 0 28265 9438 677 544 0 0 1829 1645 0 0 2482 1829 0 0 749 619 0 0 11616 2635 0 0 10912 2166 0 0 677 0 0 239 435 295 2676 0 0 1.91033 1.91033 -132.48 -1.91033 -0.352058 -0.105593 486261. 3376.82 0.10 0.02 0.04 -1 -1 0.10 0.0139157 0.0131353 0.01037 0.2404 0.08179 0.6778 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 8.02 vpr 58.40 MiB -1 -1 0.28 23240 15 0.33 -1 -1 33532 -1 -1 37 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59804 162 96 993 934 1 692 300 16 16 256 mult_36 auto 20.3 MiB 0.38 5234 58.4 MiB 0.31 0.00 20.0433 -1672.62 -20.0433 20.0433 0.48 0.000898425 0.000795538 0.0925576 0.0828233 52 12076 42 1.21132e+07 3.97408e+06 805949. 3148.24 3.04 0.425198 0.388619 25992 162577 -1 9495 16 3017 6031 1792092 491608 0 0 1792092 491608 6031 3866 0 0 85428 83427 0 0 89114 85629 0 0 6674 4326 0 0 826313 159469 0 0 778532 154891 0 0 6031 0 0 3046 9559 8892 50798 0 0 23.1002 23.1002 -1917.27 -23.1002 0 0 1.06067e+06 4143.25 0.23 0.31 0.11 -1 -1 0.23 0.0633234 0.059839 0.007699 0.3679 0.0173 0.6148 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 604.40 vpr 424.55 MiB -1 -1 42.59 339484 122 64.91 -1 -1 77952 -1 -1 1319 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 434740 114 102 21867 21777 1 11967 1588 50 50 2500 memory auto 178.9 MiB 165.28 169221 387.3 MiB 17.72 0.14 68.1675 -50495 -68.1675 68.1675 20.79 0.0358291 0.0315066 4.27622 3.51733 102 255497 34 1.47946e+08 9.89166e+07 1.66061e+07 6642.43 218.29 23.6846 19.579 353764 3530188 -1 229055 21 45771 171217 36461485 8182823 0 0 36461485 8182823 163796 59620 0 0 586225 546511 0 0 706124 592797 0 0 169893 69552 0 0 17565096 3464520 0 0 17270351 3449823 0 0 163796 0 0 120164 497251 477495 2938235 7677 4439 78.6633 78.6633 -68683.7 -78.6633 -45.1814 -0.295467 2.08230e+07 8329.19 7.24 10.28 2.59 -1 -1 7.24 2.51571 2.17775 0.0855 0.4398 0.01149 0.5487 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.30 vpr 54.37 MiB -1 -1 0.18 18728 3 0.07 -1 -1 32756 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 55676 99 130 343 473 1 225 298 12 12 144 clb auto 15.9 MiB 0.08 532 54.4 MiB 0.14 0.00 1.5264 -110.235 -1.5264 1.5264 0.22 0.000338118 0.000304261 0.0274229 0.024766 48 1232 14 5.66058e+06 4.21279e+06 394078. 2736.65 1.22 0.138843 0.127414 13382 75762 -1 1178 9 446 672 42208 13412 0 0 42208 13412 672 547 0 0 2247 2082 0 0 2741 2248 0 0 733 616 0 0 17790 4371 0 0 18025 3548 0 0 672 0 0 226 316 312 2338 0 0 1.95198 1.95198 -138.731 -1.95198 -0.557195 -0.29768 503207. 3494.49 0.10 0.02 0.05 -1 -1 0.10 0.0119754 0.0113447 0.01157 0.2206 0.07286 0.7065 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 8.34 vpr 58.48 MiB -1 -1 0.32 23216 15 0.30 -1 -1 33252 -1 -1 37 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59888 162 96 993 934 1 693 300 16 16 256 mult_36 auto 20.2 MiB 0.39 5592 58.5 MiB 0.33 0.00 20.185 -1650.79 -20.185 20.185 0.47 0.000967658 0.00085674 0.0973469 0.0873189 48 13452 34 1.21132e+07 3.97408e+06 756778. 2956.16 3.24 0.369884 0.338319 25228 149258 -1 10206 23 3194 6152 2280274 567804 0 0 2280274 567804 6152 3978 0 0 75477 74044 0 0 78618 75643 0 0 6641 4491 0 0 1055082 201561 0 0 1058304 208087 0 0 6152 0 0 2995 8462 7505 50154 0 0 22.6302 22.6302 -1961.4 -22.6302 0 0 968034. 3781.38 0.21 0.39 0.09 -1 -1 0.21 0.0765697 0.0718575 0.008038 0.3506 0.01615 0.6332 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 623.86 vpr 388.09 MiB -1 -1 42.95 340780 122 62.23 -1 -1 77740 -1 -1 1218 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 397408 114 102 21867 21777 1 11178 1487 50 50 2500 memory auto 179.6 MiB 177.34 152714 388.1 MiB 15.62 0.14 67.1858 -47730.8 -67.1858 67.1858 20.08 0.0397658 0.0310592 4.31209 3.50846 98 231587 24 1.47946e+08 9.34731e+07 1.60641e+07 6425.63 227.30 19.0682 15.7292 348768 3430976 -1 206991 22 42256 161258 47495211 13011074 0 0 47495211 13011074 154775 54841 0 0 578537 541420 0 0 691430 584456 0 0 160756 63906 0 0 22663509 5812565 0 0 23246204 5953886 0 0 154775 0 0 114528 435051 423075 2667186 6987 3572 78.8935 78.8935 -62708.5 -78.8935 -42.5002 -0.296573 2.03677e+07 8147.07 7.13 15.27 2.49 -1 -1 7.13 2.54157 2.19877 0.08516 0.4199 0.01153 0.5686 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.24 vpr 54.80 MiB -1 -1 0.23 18172 3 0.06 -1 -1 32528 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56112 99 130 343 473 1 229 298 12 12 144 clb auto 15.9 MiB 0.09 556 54.8 MiB 0.14 0.00 1.47813 -109.972 -1.47813 1.47813 0.22 0.000320354 0.000288299 0.0271899 0.0244086 44 1271 11 5.66058e+06 4.21279e+06 360780. 2505.42 1.13 0.11057 0.101347 13094 71552 -1 1218 12 462 709 47394 15463 0 0 47394 15463 709 569 0 0 2415 2235 0 0 2908 2417 0 0 788 651 0 0 20592 5384 0 0 19982 4207 0 0 709 0 0 247 486 362 2880 0 0 1.87646 1.87646 -140.25 -1.87646 -0.539402 -0.295467 470765. 3269.20 0.10 0.03 0.04 -1 -1 0.10 0.0142796 0.0134851 0.01061 0.2454 0.07925 0.6753 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 8.20 vpr 58.37 MiB -1 -1 0.27 22780 15 0.35 -1 -1 33384 -1 -1 36 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59772 162 96 993 934 1 706 299 16 16 256 mult_36 auto 20.3 MiB 0.50 5511 58.4 MiB 0.31 0.00 19.9061 -1687.45 -19.9061 19.9061 0.48 0.000954628 0.000846505 0.0907668 0.0810877 48 13006 40 1.21132e+07 3.92018e+06 756778. 2956.16 3.00 0.382407 0.349558 25228 149258 -1 10178 21 3597 7695 2425913 610945 0 0 2425913 610945 7695 4780 0 0 94544 92807 0 0 99100 94775 0 0 8342 5362 0 0 1111887 211315 0 0 1104345 201906 0 0 7695 0 0 4131 11854 11380 63908 0 0 22.4244 22.4244 -1941.38 -22.4244 0 0 968034. 3781.38 0.21 0.40 0.09 -1 -1 0.21 0.0747584 0.0704015 0.007889 0.3562 0.01659 0.6272 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 810.59 vpr 386.49 MiB -1 -1 43.36 339496 122 64.87 -1 -1 77984 -1 -1 1300 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 395764 114 102 21867 21777 1 11836 1569 50 50 2500 memory auto 178.0 MiB 177.92 160076 386.5 MiB 16.96 0.13 67.1242 -47132.9 -67.1242 67.1242 20.78 0.0346237 0.0303318 4.33427 3.53109 98 249946 49 1.47946e+08 9.78926e+07 1.60641e+07 6425.63 409.65 18.4526 15.3256 348768 3430976 -1 219379 20 45618 172134 43762325 10888236 0 0 43762325 10888236 164096 59521 0 0 602555 563359 0 0 724684 609793 0 0 169880 69191 0 0 20961455 4718538 0 0 21139655 4867834 0 0 164096 0 0 120432 475180 472179 2855102 8231 4124 78.5043 78.5043 -61586.2 -78.5043 -53.3078 -0.29436 2.03677e+07 8147.07 6.78 12.75 2.50 -1 -1 6.78 2.3776 2.08171 0.0854 0.4261 0.01172 0.5622 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.15 vpr 54.51 MiB -1 -1 0.18 18536 3 0.06 -1 -1 32604 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 55820 99 130 343 473 1 229 298 12 12 144 clb auto 16.1 MiB 0.09 556 54.5 MiB 0.14 0.00 1.47813 -110.014 -1.47813 1.47813 0.22 0.000326773 0.000294752 0.0277451 0.0249515 46 1249 11 5.66058e+06 4.21279e+06 378970. 2631.74 0.99 0.131252 0.120512 13238 73581 -1 1164 9 447 694 32827 10026 0 0 32827 10026 694 557 0 0 1863 1656 0 0 2434 1865 0 0 777 649 0 0 13401 3055 0 0 13658 2244 0 0 694 0 0 247 508 357 2936 0 0 1.93232 1.93232 -138.156 -1.93232 -0.954778 -0.320482 486261. 3376.82 0.10 0.02 0.04 -1 -1 0.10 0.0121542 0.01153 0.01166 0.2182 0.07187 0.71 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 11.22 vpr 58.46 MiB -1 -1 0.28 22992 15 0.33 -1 -1 33296 -1 -1 36 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 59864 162 96 993 934 1 705 299 16 16 256 mult_36 auto 20.3 MiB 0.56 5514 58.5 MiB 0.30 0.00 19.6313 -1701.34 -19.6313 19.6313 0.47 0.000954233 0.00084484 0.0889769 0.0792547 56 11864 33 1.21132e+07 3.92018e+06 870502. 3400.40 5.93 0.594929 0.543587 26504 172068 -1 9889 17 3114 6180 1994800 529658 0 0 1994800 529658 6180 3921 0 0 71278 69696 0 0 74956 71458 0 0 6638 4381 0 0 904227 187507 0 0 931521 192695 0 0 6180 0 0 3102 9302 8573 50735 0 0 22.2079 22.2079 -1911.27 -22.2079 0 0 1.11200e+06 4343.75 0.23 0.35 0.11 -1 -1 0.23 0.0660134 0.062305 0.00843 0.3588 0.01628 0.6249 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 694.12 vpr 413.84 MiB -1 -1 42.56 340828 122 59.41 -1 -1 77936 -1 -1 1196 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 423776 114 102 21867 21777 1 11032 1465 50 50 2500 memory auto 178.3 MiB 174.13 151087 387.1 MiB 16.87 0.13 66.7869 -47762.1 -66.7869 66.7869 20.89 0.03394 0.0295648 4.511 3.66309 96 241038 48 1.47946e+08 9.22874e+07 1.58254e+07 6330.17 301.89 25.6824 21.1516 343768 3324272 -1 207751 22 42832 166152 51654842 12975718 0 0 51654842 12975718 159409 56567 0 0 605498 561267 0 0 728989 613381 0 0 165652 66470 0 0 24605570 5721536 0 0 25389724 5956497 0 0 159409 0 0 118536 457145 451474 2782853 7287 6458 77.9118 77.9118 -63943.6 -77.9118 -19.8028 -0.29436 1.97871e+07 7914.84 6.42 15.65 2.47 -1 -1 6.42 2.62495 2.29195 0.08581 0.4093 0.01174 0.579 From a354c7269c48efee1669686b0ac8ab70d4521183 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 15 Jan 2024 12:01:01 -0500 Subject: [PATCH 147/608] golden_results: nightly_test_1: update two failing cases --- .../power_extended_arch_list/config/golden_results.txt | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt index defcdc98c38..420466a25c2 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt @@ -11,10 +11,10 @@ k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 694.85 vpr 419.09 MiB -1 k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.27 vpr 55.15 MiB -1 -1 0.18 18684 3 0.07 -1 -1 32640 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56472 99 130 343 473 1 225 298 12 12 144 clb auto 16.5 MiB 0.09 548 55.1 MiB 0.14 0.00 1.47901 -107.394 -1.47901 1.47901 0.23 0.000318477 0.000284588 0.0261925 0.0235342 46 1178 11 5.66058e+06 4.21279e+06 396063. 2750.44 1.23 0.135026 0.123819 13728 78551 -1 1163 9 386 580 26592 8561 0 0 26592 8561 580 512 0 0 1629 1404 0 0 2114 1629 0 0 672 592 0 0 11675 2276 0 0 9922 2148 0 0 580 0 0 194 276 223 1985 0 0 1.91033 1.91033 -140.454 -1.91033 -1.37858 -0.320482 508433. 3530.78 0.10 0.02 0.05 -1 -1 0.10 0.0119744 0.0113546 0.01178 0.2146 0.07228 0.7131 k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 9.51 vpr 58.63 MiB -1 -1 0.27 23484 15 0.30 -1 -1 33304 -1 -1 38 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 60036 162 96 993 934 1 694 301 16 16 256 mult_36 auto 20.4 MiB 0.45 5341 58.6 MiB 0.34 0.00 20.0691 -1671.27 -20.0691 20.0691 0.49 0.00094399 0.000839503 0.0980284 0.0877106 50 11589 31 1.21132e+07 4.02797e+06 817349. 3192.77 4.45 0.469532 0.430508 26464 163948 -1 9622 20 3346 7062 1853074 482972 0 0 1853074 482972 7062 4238 0 0 88258 85884 0 0 92514 88441 0 0 7628 4697 0 0 815648 153741 0 0 841964 145971 0 0 7062 0 0 3754 10960 10910 61346 0 0 22.7782 22.7782 -1875.43 -22.7782 0 0 1.05038e+06 4103.04 0.23 0.33 0.09 -1 -1 0.23 0.0728706 0.0684196 0.008122 0.3432 0.01562 0.6412 k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 723.43 vpr 418.66 MiB -1 -1 42.40 340804 122 62.31 -1 -1 78068 -1 -1 1189 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 428712 114 102 21867 21777 1 11141 1458 50 50 2500 memory auto 179.0 MiB 232.37 153992 418.7 MiB 15.95 0.13 66.8869 -49530.9 -66.8869 66.8869 22.64 0.0343307 0.0300405 4.2818 3.52376 94 233968 44 1.47946e+08 9.19101e+07 1.62379e+07 6495.14 270.48 18.6021 15.4716 353364 3504872 -1 208614 22 39746 158437 44829525 11060816 0 0 44829525 11060816 151638 52260 0 0 630115 562975 0 0 759437 638546 0 0 157207 61696 0 0 21550951 4878435 0 0 21580177 4866904 0 0 151638 0 0 114016 440723 449870 2754101 7183 5301 79.2314 79.2314 -65292.9 -79.2314 -11.1139 -0.292146 2.03897e+07 8155.87 6.69 13.79 2.45 -1 -1 6.69 2.68373 2.34649 0.08739 0.406 0.01147 0.5826 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.11 vpr 55.39 MiB -1 -1 0.18 18532 3 0.05 -1 -1 32672 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56716 99 130 343 473 1 229 298 12 12 144 clb auto 16.8 MiB 0.09 535 55.4 MiB 0.14 0.00 1.50322 -110.367 -1.50322 1.50322 0.24 0.00031694 0.000285466 0.0278571 0.0250213 38 1372 21 5.66058e+06 4.21279e+06 347689. 2414.51 1.04 0.168992 0.154412 13432 70334 -1 1054 9 411 616 27691 9531 0 0 27691 9531 616 478 0 0 1880 1578 0 0 2227 1881 0 0 653 516 0 0 11438 2666 0 0 10877 2412 0 0 616 0 0 205 385 234 2336 0 0 2.05211 2.05211 -139.952 -2.05211 -1.13196 -0.29768 440062. 3055.98 0.10 0.02 0.04 -1 -1 0.10 0.0121001 0.0114727 0.009477 0.2238 0.07661 0.6996 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.11 vpr 55.39 MiB -1 -1 0.18 18532 3 0.05 -1 -1 32672 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56716 99 130 343 473 1 229 298 12 12 144 clb auto 16.8 MiB 0.09 535 55.4 MiB 0.14 0.00 1.50322 -110.367 -1.50322 1.50322 0.24 0.00031694 0.000285466 0.0278571 0.0250213 38 1372 21 5.66058e+06 4.21279e+06 347689. 2414.51 1.04 0.168992 0.154412 13432 70334 -1 1054 9 411 616 27691 9531 0 0 27691 9531 616 478 0 0 1880 1578 0 0 2227 1881 0 0 653 516 0 0 11438 2666 0 0 10877 2412 0 0 616 0 0 205 385 234 2336 0 0 2.05211 2.05211 -139.952 -2.05211 -1.13196 -0.29768 440062. 3055.98 0.10 0.02 0.04 -1 -1 0.10 0.0121001 0.0114727 0.009477 0.2462 0.07661 0.6996 k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 8.66 vpr 58.82 MiB -1 -1 0.27 23292 15 0.30 -1 -1 33264 -1 -1 35 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 60232 162 96 993 934 1 704 298 16 16 256 mult_36 auto 20.7 MiB 0.50 5446 58.8 MiB 0.33 0.00 20.1297 -1647.97 -20.1297 20.1297 0.51 0.000904406 0.000802593 0.098466 0.0881199 46 12661 43 1.21132e+07 3.86629e+06 791147. 3090.42 3.47 0.409852 0.374882 26792 163197 -1 10223 21 3388 6763 1975781 487013 0 0 1975781 487013 6763 4295 0 0 80671 77935 0 0 85132 80849 0 0 7391 4858 0 0 915703 157684 0 0 880121 161392 0 0 6763 0 0 3414 10175 9976 55514 0 0 22.641 22.641 -1874.1 -22.641 0 0 1.01637e+06 3970.19 0.22 0.35 0.09 -1 -1 0.22 0.0748807 0.0704858 0.007983 0.3559 0.0162 0.6279 k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 755.16 vpr 445.16 MiB -1 -1 42.59 339680 122 62.80 -1 -1 77808 -1 -1 1284 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 455844 114 102 21867 21777 1 11781 1553 50 50 2500 memory auto 178.9 MiB 287.95 163806 445.2 MiB 20.36 0.16 67.825 -49300.5 -67.825 67.825 26.55 0.040145 0.0316068 4.92974 3.94112 98 236687 21 1.47946e+08 9.70302e+07 1.74237e+07 6969.48 238.11 19.1585 15.9116 371232 3885440 -1 212661 20 37783 148892 39345972 9537156 0 0 39345972 9537156 141735 48142 0 0 580119 511992 0 0 691652 585858 0 0 145960 56603 0 0 18902814 4114484 0 0 18883692 4220077 0 0 141735 0 0 105806 409785 407162 2545339 7369 5036 79.5943 79.5943 -63624.9 -79.5943 -23.4799 -0.295467 2.19566e+07 8782.65 6.97 10.57 2.63 -1 -1 6.97 2.34806 2.07952 0.09067 0.4158 0.01165 0.5726 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.21 vpr 55.42 MiB -1 -1 0.19 18576 3 0.05 -1 -1 32724 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56748 99 130 343 473 1 229 298 12 12 144 clb auto 17.1 MiB 0.09 535 55.4 MiB 0.14 0.00 1.50322 -110.367 -1.50322 1.50322 0.24 0.000320205 0.000288127 0.0280234 0.0251782 36 1377 22 5.66058e+06 4.21279e+06 333113. 2313.29 1.14 0.149766 0.137029 13148 65652 -1 1061 11 403 624 25883 8887 0 0 25883 8887 624 480 0 0 1903 1638 0 0 2218 1903 0 0 651 521 0 0 9972 2371 0 0 10515 1974 0 0 624 0 0 221 401 288 2456 0 0 1.90592 1.90592 -135.525 -1.90592 -1.08733 -0.318417 410918. 2853.60 0.09 0.02 0.04 -1 -1 0.09 0.0136201 0.0129052 0.01132 0.1889 0.06759 0.7435 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.21 vpr 55.42 MiB -1 -1 0.19 18576 3 0.05 -1 -1 32724 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56748 99 130 343 473 1 229 298 12 12 144 clb auto 17.1 MiB 0.09 535 55.4 MiB 0.14 0.00 1.50322 -110.367 -1.50322 1.50322 0.24 0.000320205 0.000288127 0.0280234 0.0251782 36 1377 22 5.66058e+06 4.21279e+06 333113. 2313.29 1.14 0.149766 0.137029 13148 65652 -1 1061 11 403 624 25883 8887 0 0 25883 8887 624 480 0 0 1903 1638 0 0 2218 1903 0 0 651 521 0 0 9972 2371 0 0 10515 1974 0 0 624 0 0 221 401 288 2456 0 0 1.90592 1.90592 -135.525 -1.90592 -1.08733 -0.318417 410918. 2853.60 0.09 0.02 0.04 -1 -1 0.09 0.0136201 0.0129052 0.01132 0.2078 0.06759 0.7435 k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 10.39 vpr 58.74 MiB -1 -1 0.25 23464 15 0.35 -1 -1 33608 -1 -1 35 162 0 5 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 60152 162 96 993 934 1 705 298 16 16 256 mult_36 auto 20.6 MiB 0.56 5344 58.7 MiB 0.32 0.00 19.8283 -1655.73 -19.8283 19.8283 0.50 0.000924698 0.000822885 0.0965477 0.0866682 48 12369 34 1.21132e+07 3.86629e+06 822491. 3212.85 5.15 0.538632 0.492044 27048 168158 -1 9968 15 3038 6000 1608560 403033 0 0 1608560 403033 6000 3812 0 0 76557 74069 0 0 79789 76702 0 0 6504 4207 0 0 722305 123879 0 0 717405 120364 0 0 6000 0 0 2995 8403 8806 49428 0 0 22.6591 22.6591 -1905.78 -22.6591 0 0 1.05295e+06 4113.10 0.24 0.28 0.10 -1 -1 0.24 0.0621008 0.0588223 0.008265 0.3422 0.01588 0.6419 k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 727.49 vpr 450.72 MiB -1 -1 43.14 339736 122 64.52 -1 -1 77952 -1 -1 1172 114 45 8 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 461536 114 102 21867 21777 1 10748 1441 50 50 2500 memory auto 177.9 MiB 280.75 147420 450.7 MiB 17.99 0.13 67.9174 -47347.6 -67.9174 67.9174 25.92 0.0366419 0.0321162 4.71915 3.87923 92 216433 29 1.47946e+08 9.09939e+07 1.65231e+07 6609.23 220.29 22.2587 18.4814 361236 3648468 -1 195513 18 35439 146001 35950888 9052086 0 0 35950888 9052086 140069 46503 0 0 566522 494870 0 0 674657 572353 0 0 145658 53960 0 0 17221423 3923915 0 0 17202559 3960485 0 0 140069 0 0 106595 397100 389319 2525910 6363 3754 79.1326 79.1326 -64342.9 -79.1326 -15.7329 -0.292146 2.08892e+07 8355.67 6.98 11.01 2.44 -1 -1 6.98 2.29299 2.01736 0.08968 0.3926 0.01168 0.5957 k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.16 vpr 55.35 MiB -1 -1 0.18 18684 3 0.05 -1 -1 32680 -1 -1 68 99 1 0 success v8.0.0-7663-gb6a96700f release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-197-generic x86_64 2023-04-24T17:35:35 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/RLplace_final/vtr-verilog-to-routing/vtr_flow/tasks 56680 99 130 343 473 1 228 298 12 12 144 clb auto 16.5 MiB 0.09 541 55.4 MiB 0.13 0.00 1.47901 -109.079 -1.47901 1.47901 0.22 0.000318916 0.000287721 0.0263451 0.0236836 46 1148 15 5.66058e+06 4.21279e+06 378970. 2631.74 1.18 0.117991 0.108252 13238 73581 -1 1102 12 438 677 28265 9438 0 0 28265 9438 677 544 0 0 1829 1645 0 0 2482 1829 0 0 749 619 0 0 11616 2635 0 0 10912 2166 0 0 677 0 0 239 435 295 2676 0 0 1.91033 1.91033 -132.48 -1.91033 -0.352058 -0.105593 486261. 3376.82 0.10 0.02 0.04 -1 -1 0.10 0.0139157 0.0131353 0.01037 0.2404 0.08179 0.6778 From 70cd563561e828952040bcff82c0a025728ca215 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 15 Jan 2024 12:17:28 -0500 Subject: [PATCH 148/608] golden_results: nightly_test_3_odin: update mcml min chan width --- .../vtr_reg_qor_chain_predictor_off/config/golden_results.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt index 98a89363068..da22d381b61 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt @@ -8,7 +8,7 @@ k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 43.62 vpr 71.27 MiB 0.0 k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 19.92 vpr 70.00 MiB 0.03 8412 -1 -1 6 0.17 -1 -1 36716 -1 -1 20 66 0 7 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71680 66 96 866 607 1 547 189 18 18 324 mult_36 auto 32.0 MiB 0.61 4654 51137 19049 27853 4235 70.0 MiB 0.59 0.01 12.016 -717.144 -12.016 12.016 1.33 0.00288125 0.00270837 0.299383 0.281 46 11715 25 1.57076e+07 3.84988e+06 949518. 2930.61 12.33 1.06453 0.994468 33056 191736 -1 9693 19 4291 9311 4610800 1111878 0 0 4610800 1111878 9311 7047 0 0 162170 160836 0 0 175341 162904 0 0 10385 8089 0 0 2127055 392581 0 0 2126538 380421 0 0 9311 0 0 5030 13904 14865 63106 0 0 13.2812 13.2812 -865.402 -13.2812 0 0 1.22123e+06 3769.23 0.55 1.50 0.28 -1 -1 0.55 0.161177 0.152592 k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 5168.34 vpr 613.42 MiB 6.03 207148 -1 -1 101 162.07 -1 -1 107064 -1 -1 2196 114 44 8 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 628144 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 367.2 MiB 109.09 234636 1915489 724304 1164028 27157 581.5 MiB 112.18 0.83 66.9135 -51945.6 -66.9135 66.9135 57.34 0.131263 0.114164 18.2276 14.4048 90 365741 48 1.92089e+08 1.45633e+08 1.94366e+07 5982.34 4609.75 106.508 83.4994 441623 4070163 -1 327184 24 72941 275676 44891770 9678649 0 0 44891770 9678649 266831 93636 0 0 740951 671825 0 0 917391 747345 0 0 277270 108185 0 0 21096127 3960057 0 0 21593200 4097601 0 0 266831 0 0 202110 1035110 1041645 6661945 9314 7656 76.3379 76.3379 -66111.6 -76.3379 0 0 2.43249e+07 7486.90 15.86 27.84 5.88 -1 -1 15.86 9.32536 7.81205 k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 12253.51 vpr 2.06 GiB 24.80 702124 -1 -1 101 1393.87 -1 -1 324392 -1 -1 7514 114 167 32 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2159640 114 102 124851 111146 1 59132 7929 103 103 10609 clb auto 1159.2 MiB 360.78 1052983 9965835 4119074 5792066 54695 1877.1 MiB 704.52 3.21 65.2375 -338588 -65.2375 65.2375 220.28 0.329452 0.260932 61.6871 48.1144 126 1412558 40 6.46441e+08 5.09111e+08 8.73307e+07 8231.76 9221.47 183.942 146.5 1710436 19091112 -1 1339294 22 215646 900684 223339649 51896881 0 0 223339649 51896881 844018 267615 0 0 2644985 2402486 0 0 3287959 2664786 0 0 877062 319916 0 0 106407801 22685912 0 0 109277824 23556166 0 0 844018 0 0 658116 4296012 4273075 23348543 59126 221175 75.0218 75.0218 -494523 -75.0218 0 0 1.10400e+08 10406.3 49.82 82.53 17.74 -1 -1 49.82 18.8426 16.0775 -k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 6915.92 vpr 2.15 GiB 58.83 890956 -1 -1 26 5002.13 -1 -1 375740 -1 -1 7038 36 159 27 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2249424 36 356 190343 166383 1 61580 7616 99 99 9801 clb auto 1355.4 MiB 258.31 722605 11167567 4330804 6423181 413582 1922.7 MiB 627.01 3.78 40.0349 -291855 -40.0349 40.0349 119.00 0.28365 0.226982 45.8958 37.3683 144 955962 23 6.00857e+08 4.77096e+08 9.11403e+07 9299.09 589.85 137.019 112.824 1700639 20154183 -1 934490 19 216687 545183 104908820 25967919 0 0 104908820 25967919 491671 261307 0 0 1548685 1384870 0 0 1958090 1556136 0 0 505616 287421 0 0 50205079 11073550 0 0 50199679 11404635 0 0 491671 0 0 277731 1192268 1235559 4877321 62524 115078 43.0415 43.0415 -352040 -43.0415 0 0 1.15652e+08 11800.0 46.86 51.64 19.74 -1 -1 46.86 16.9449 14.9292 +k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 6915.92 vpr 2.15 GiB 58.83 890956 -1 -1 26 5002.13 -1 -1 375740 -1 -1 7038 36 159 27 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2249424 36 356 190343 166383 1 61580 7616 99 99 9801 clb auto 1355.4 MiB 258.31 722605 11167567 4330804 6423181 413582 1922.7 MiB 627.01 3.78 40.0349 -291855 -40.0349 40.0349 119.00 0.28365 0.226982 45.8958 37.3683 160 955962 23 6.00857e+08 4.77096e+08 9.11403e+07 9299.09 589.85 137.019 112.824 1700639 20154183 -1 934490 19 216687 545183 104908820 25967919 0 0 104908820 25967919 491671 261307 0 0 1548685 1384870 0 0 1958090 1556136 0 0 505616 287421 0 0 50205079 11073550 0 0 50199679 11404635 0 0 491671 0 0 277731 1192268 1235559 4877321 62524 115078 43.0415 43.0415 -352040 -43.0415 0 0 1.15652e+08 11800.0 46.86 51.64 19.74 -1 -1 46.86 16.9449 14.9292 k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 126.67 vpr 317.73 MiB 1.18 69740 -1 -1 5 11.78 -1 -1 56008 -1 -1 456 506 45 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 325356 506 553 3519 4017 1 3099 1560 50 50 2500 memory auto 62.0 MiB 7.03 15735 1150148 545151 422976 182021 317.7 MiB 6.50 0.07 6.80432 -1955.3 -6.80432 6.80432 41.74 0.0218543 0.0199401 2.87939 2.5967 40 23990 15 1.47946e+08 4.92362e+07 7.18436e+06 2873.75 28.29 8.28019 7.61139 260716 1475984 -1 22959 13 3800 4917 3473456 838921 0 0 3473456 838921 4527 4369 0 0 98810 97630 0 0 101330 99135 0 0 4798 4570 0 0 1607324 314105 0 0 1656667 319112 0 0 4527 0 0 730 5238 3996 9252 399 961 7.7292 7.7292 -2395.07 -7.7292 0 0 8.97548e+06 3590.19 5.57 1.91 1.79 -1 -1 5.57 0.875143 0.823244 k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 24.69 vpr 73.60 MiB 0.16 16940 -1 -1 2 0.16 -1 -1 36836 -1 -1 29 311 15 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75364 311 156 1019 1160 1 965 511 28 28 784 memory auto 35.3 MiB 0.88 8204 204711 70832 123314 10565 73.6 MiB 1.31 0.02 3.71444 -4070.46 -3.71444 3.71444 3.71 0.00555979 0.00485305 0.567935 0.494678 36 15411 35 4.25198e+07 9.78293e+06 1.94918e+06 2486.20 10.48 2.10544 1.87459 76314 389223 -1 13948 14 3166 3562 2712374 748186 0 0 2712374 748186 3562 3377 0 0 87585 86655 0 0 89265 87873 0 0 3598 3433 0 0 1251982 282755 0 0 1276382 284093 0 0 3562 0 0 396 2815 2263 11862 0 0 4.28754 4.28754 -4822.43 -4.28754 -0.00271738 -0.00135869 2.40571e+06 3068.51 1.25 1.07 0.47 -1 -1 1.25 0.24306 0.224609 k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 30.36 vpr 86.77 MiB 0.38 29320 -1 -1 4 3.00 -1 -1 40712 -1 -1 188 193 5 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88848 193 205 2863 2789 1 1455 591 20 20 400 memory auto 49.6 MiB 4.06 11642 265844 92770 145763 27311 86.8 MiB 2.70 0.03 4.52152 -2547.1 -4.52152 4.52152 1.70 0.00883134 0.00792978 1.05264 0.927861 52 19913 20 2.07112e+07 1.28721e+07 1.31074e+06 3276.84 11.41 3.39207 2.99277 42580 268535 -1 17905 15 5289 12745 1471240 365825 0 0 1471240 365825 12288 6989 0 0 44474 39572 0 0 50685 44716 0 0 13085 7700 0 0 678707 134588 0 0 672001 132260 0 0 12288 0 0 7269 38367 36966 273159 499 97 4.91806 4.91806 -2995.21 -4.91806 -0.000474482 -0.000474482 1.72518e+06 4312.96 0.78 0.93 0.34 -1 -1 0.78 0.452378 0.414209 From 211fdd405eedb0001e09c855f46dbdaaeeb7b476 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 15 Jan 2024 13:03:53 -0500 Subject: [PATCH 149/608] golden_results: reg_basic_odin: update min_chan_width --- .../vtr_reg_basic_odin/basic_timing/config/golden_results.txt | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_basic_odin/basic_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_basic_odin/basic_timing/config/golden_results.txt index 4925c0ecb49..e367009c5ce 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_basic_odin/basic_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_basic_odin/basic_timing/config/golden_results.txt @@ -1,6 +1,6 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_N10_mem32K_40nm.xml ch_intrinsics.v common 2.13 vpr 61.64 MiB 0.04 9172 -1 -1 3 0.20 -1 -1 36164 -1 -1 70 99 1 0 success v8.0.0-6591-g30d05496f-dirty release VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-31T11:03:21 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/centroid_placement_final/vtr-verilog-to-routing/vtr_flow/tasks 63116 99 130 363 493 1 255 300 12 12 144 clb auto 22.9 MiB 0.07 651 61.6 MiB 0.09 0.00 2.12937 -209.611 -2.12937 2.12937 0.23 0.000354388 0.00031469 0.0225691 0.0202115 38 1263 13 5.66058e+06 4.32058e+06 306247. 2126.71 0.50 0.109377 0.100354 1135 11 713 1002 85314 26625 2.59213 2.59213 -228.948 -2.59213 0 0 388532. 2698.14 0.09 0.03 0.0141691 0.0133644 -k6_N10_mem32K_40nm.xml ch_intrinsics.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 2.11 vpr 61.80 MiB 0.04 9364 -1 -1 3 0.19 -1 -1 36164 -1 -1 70 99 1 0 success v8.0.0-6591-g30d05496f-dirty release VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-31T11:03:21 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/centroid_placement_final/vtr-verilog-to-routing/vtr_flow/tasks 63284 99 130 363 493 1 255 300 12 12 144 clb auto 23.1 MiB 0.05 651 61.8 MiB 0.09 0.00 2.12937 -209.611 -2.12937 2.12937 0.23 0.000360754 0.000320981 0.0230926 0.0207354 38 1263 13 5.66058e+06 4.32058e+06 306247. 2126.71 0.52 0.111958 0.102971 1135 11 713 1002 85314 26625 2.59213 2.59213 -228.948 -2.59213 0 0 388532. 2698.14 0.09 0.03 0.0140572 0.0132597 +k6_N10_mem32K_40nm.xml ch_intrinsics.v common 2.13 vpr 61.64 MiB 0.04 9172 -1 -1 3 0.20 -1 -1 36164 -1 -1 70 99 1 0 success v8.0.0-6591-g30d05496f-dirty release VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-31T11:03:21 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/centroid_placement_final/vtr-verilog-to-routing/vtr_flow/tasks 63116 99 130 363 493 1 255 300 12 12 144 clb auto 22.9 MiB 0.07 651 61.6 MiB 0.09 0.00 2.12937 -209.611 -2.12937 2.12937 0.23 0.000354388 0.00031469 0.0225691 0.0202115 44 1263 13 5.66058e+06 4.32058e+06 306247. 2126.71 0.50 0.109377 0.100354 1135 11 713 1002 85314 26625 2.59213 2.59213 -228.948 -2.59213 0 0 388532. 2698.14 0.09 0.03 0.0141691 0.0133644 +k6_N10_mem32K_40nm.xml ch_intrinsics.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 2.11 vpr 61.80 MiB 0.04 9364 -1 -1 3 0.19 -1 -1 36164 -1 -1 70 99 1 0 success v8.0.0-6591-g30d05496f-dirty release VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-31T11:03:21 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/centroid_placement_final/vtr-verilog-to-routing/vtr_flow/tasks 63284 99 130 363 493 1 255 300 12 12 144 clb auto 23.1 MiB 0.05 651 61.8 MiB 0.09 0.00 2.12937 -209.611 -2.12937 2.12937 0.23 0.000360754 0.000320981 0.0230926 0.0207354 44 1263 13 5.66058e+06 4.32058e+06 306247. 2126.71 0.52 0.111958 0.102971 1135 11 713 1002 85314 26625 2.59213 2.59213 -228.948 -2.59213 0 0 388532. 2698.14 0.09 0.03 0.0140572 0.0132597 k6_N10_mem32K_40nm.xml diffeq1.v common 7.08 vpr 65.52 MiB 0.04 9236 -1 -1 15 0.31 -1 -1 34596 -1 -1 52 162 0 5 success v8.0.0-6591-g30d05496f-dirty release VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-31T11:03:21 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/centroid_placement_final/vtr-verilog-to-routing/vtr_flow/tasks 67096 162 96 999 932 1 707 315 16 16 256 mult_36 auto 27.6 MiB 0.16 5727 65.5 MiB 0.37 0.01 20.0262 -1714.67 -20.0262 20.0262 0.48 0.00149324 0.0013599 0.144117 0.133364 44 12591 46 1.21132e+07 4.78249e+06 665287. 2598.78 3.74 0.679764 0.636856 9894 24 4260 8861 2354606 554199 21.727 21.727 -1882.12 -21.727 0 0 864808. 3378.16 0.20 0.39 0.0964146 0.0914499 k6_N10_mem32K_40nm.xml diffeq1.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 7.60 vpr 65.39 MiB 0.04 9160 -1 -1 15 0.31 -1 -1 34768 -1 -1 52 162 0 5 success v8.0.0-6591-g30d05496f-dirty release VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-31T11:03:21 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/centroid_placement_final/vtr-verilog-to-routing/vtr_flow/tasks 66956 162 96 999 932 1 707 315 16 16 256 mult_36 auto 27.5 MiB 0.16 5727 65.4 MiB 0.36 0.01 20.0262 -1714.67 -20.0262 20.0262 0.51 0.00150881 0.00139739 0.142806 0.13209 44 12591 46 1.21132e+07 4.78249e+06 665287. 2598.78 4.07 0.671994 0.629839 9894 24 4260 8861 2354606 554199 21.727 21.727 -1882.12 -21.727 0 0 864809. 3378.16 0.21 0.49 0.113204 0.107601 k6_N10_mem32K_40nm.xml single_wire.v common 0.42 vpr 58.62 MiB 0.03 5732 -1 -1 1 0.01 -1 -1 29292 -1 -1 0 1 0 0 success v8.0.0-6591-g30d05496f-dirty release VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-31T11:03:21 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/centroid_placement_final/vtr-verilog-to-routing/vtr_flow/tasks 60024 1 1 1 2 0 1 2 3 3 9 -1 auto 19.4 MiB 0.00 2 58.6 MiB 0.00 0.00 0.205011 -0.205011 -0.205011 nan 0.00 4.468e-06 2.04e-06 2.9487e-05 1.562e-05 2 1 1 53894 0 1165.58 129.509 0.00 8.8637e-05 5.4317e-05 1 1 1 1 17 8 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 4.2405e-05 2.7206e-05 From c93e2bc0c6dbdea128fe3073ff49bebfa6229e3a Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 17 Jan 2024 18:54:19 -0500 Subject: [PATCH 150/608] Add a task for large complex synthetic NoC benchmarks --- .../large_complex_synthetic/config/config.txt | 89 +++++++++++++++++++ .../config/config.txt | 0 2 files changed, 89 insertions(+) create mode 100644 vtr_flow/tasks/noc_qor/large_complex_synthetic/config/config.txt rename vtr_flow/tasks/noc_qor/{large_simple__synthetic => large_simple_synthetic}/config/config.txt (100%) diff --git a/vtr_flow/tasks/noc_qor/large_complex_synthetic/config/config.txt b/vtr_flow/tasks/noc_qor/large_complex_synthetic/config/config.txt new file mode 100644 index 00000000000..55e2261866c --- /dev/null +++ b/vtr_flow/tasks/noc_qor/large_complex_synthetic/config/config.txt @@ -0,0 +1,89 @@ +############################################## +# Configuration file for running experiments +############################################## + +# Path to directory of circuits to use +circuits_dir=benchmarks/noc/Synthetic_Designs/blif_files/ + +# Path to directory of architectures to use +archs_dir=arch/noc/mesh_noc_topology + +# Path to directory of NoC Traffic Patterns to use +noc_traffics_dir=benchmarks/noc/Synthetic_Designs/traffic_flow_files/ + +# Traffic flow files are added per circuit +noc_traffic_list_type=per_circuit + +# Add circuits and traffic flows to list to sweep +circuit_list_add=complex_16_noc_1D_chain.blif +noc_traffic_list_add=complex_16_noc_1D_chain.flows + +circuit_list_add=complex_32_noc_clique.blif +noc_traffic_list_add=complex_32_noc_clique.flows + +circuit_list_add=complex_32_noc_star.blif +noc_traffic_list_add=complex_32_noc_star.flows +circuit_list_add=complex_32_noc_star.blif +noc_traffic_list_add=complex_32_noc_star_no_constraints.flows +circuit_list_add=complex_32_noc_star.blif +noc_traffic_list_add=complex_32_star_12_latency_constraints.flows +circuit_list_add=complex_32_noc_star.blif +noc_traffic_list_add=complex_32_star_24_latency_constraints.flows +circuit_list_add=complex_32_noc_star.blif +noc_traffic_list_add=complex_32_star_2_bandwdiths.flows +circuit_list_add=complex_32_noc_star.blif +noc_traffic_list_add=complex_32_star_31_latency_constraints.flows +circuit_list_add=complex_32_noc_star.blif +noc_traffic_list_add=complex_32_star_3_bandwdiths.flows +circuit_list_add=complex_32_noc_star.blif +noc_traffic_list_add=complex_32_star_4_bandwdiths.flows +circuit_list_add=complex_32_noc_star.blif +noc_traffic_list_add=complex_32_star_4_latency_constraints.flows + +circuit_list_add=complex_64_noc_clique.blif +noc_traffic_list_add=complex_64_noc_clique.flows + +circuit_list_add=complex_64_noc_nearest_neighbor.blif +noc_traffic_list_add=complex_64_noc_nearest_neighbor.flows + +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_12_latency_constraints.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_24_latency_constraints.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_2_bandwidths.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_3_bandwidths.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_40_latency_constraints.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_4_bandwidths.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_4_latency_constraints.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_58_latency_constraints.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_5_bandwidths.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_63_latency_constraints.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_6_bandwidths.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star.flows +circuit_list_add=complex_64_noc_star.blif +noc_traffic_list_add=complex_64_noc_star_no_constraints.flows + +# Add architectures to list to sweep +arch_list_add=stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml + +# Parse info and how to parse +parse_file=vpr_noc.txt + +# How to parse QoR info +qor_parse_file=qor_noc_spec.txt + +# Pass requirements +#pass_requirements_file= + +# Script parameters +script_params_common =-starting_stage vpr --noc on --noc_routing_algorithm xy_routing --device "EP4SE820" diff --git a/vtr_flow/tasks/noc_qor/large_simple__synthetic/config/config.txt b/vtr_flow/tasks/noc_qor/large_simple_synthetic/config/config.txt similarity index 100% rename from vtr_flow/tasks/noc_qor/large_simple__synthetic/config/config.txt rename to vtr_flow/tasks/noc_qor/large_simple_synthetic/config/config.txt From cb85495eac614899b524c436b57f62736eeb3535 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 18 Jan 2024 10:18:00 -0500 Subject: [PATCH 151/608] golden_results: nightly_test_3_odin: update min_chan_width --- .../vtr_reg_qor_chain_predictor_off/config/golden_results.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt index da22d381b61..7ee5fc1ca00 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt @@ -6,7 +6,7 @@ k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 9.78 vpr 72.69 MiB 0.6 k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 4.20 vpr 67.44 MiB 0.06 9784 -1 -1 3 0.39 -1 -1 37396 -1 -1 65 99 1 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69060 99 130 363 493 1 251 295 12 12 144 clb auto 29.2 MiB 0.24 717 71908 25870 34054 11984 67.4 MiB 0.27 0.00 1.83922 -198.941 -1.83922 1.83922 0.45 0.00107536 0.000983006 0.084569 0.0781498 40 1645 10 5.66058e+06 4.05111e+06 333335. 2314.82 1.04 0.284185 0.258873 12946 64812 -1 1532 9 620 808 72040 24269 0 0 72040 24269 808 743 0 0 3914 3738 0 0 4492 3914 0 0 867 808 0 0 29829 7624 0 0 32130 7442 0 0 808 0 0 188 245 173 1848 0 0 2.45075 2.45075 -235.916 -2.45075 0 0 419432. 2912.72 0.16 0.06 0.08 -1 -1 0.16 0.0334775 0.0315937 k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 43.62 vpr 71.27 MiB 0.05 9636 -1 -1 6 0.30 -1 -1 36860 -1 -1 32 162 0 5 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72980 162 96 1075 884 1 667 295 16 16 256 mult_36 auto 33.3 MiB 0.67 4798 79756 25666 46693 7397 71.3 MiB 0.76 0.01 15.573 -1229.29 -15.573 15.573 1.08 0.00404968 0.00376809 0.326322 0.303508 66 9962 44 1.21132e+07 3.70461e+06 1.03599e+06 4046.83 36.92 2.32322 2.13815 28848 203676 -1 8389 22 3031 5003 1662328 462996 0 0 1662328 462996 5003 3821 0 0 74447 73340 0 0 78985 74896 0 0 5423 4117 0 0 758826 152086 0 0 739644 154736 0 0 5003 0 0 1990 4631 4970 27606 0 0 17.0901 17.0901 -1355.89 -17.0901 0 0 1.28841e+06 5032.87 0.50 0.64 0.29 -1 -1 0.50 0.177776 0.166942 k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 19.92 vpr 70.00 MiB 0.03 8412 -1 -1 6 0.17 -1 -1 36716 -1 -1 20 66 0 7 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71680 66 96 866 607 1 547 189 18 18 324 mult_36 auto 32.0 MiB 0.61 4654 51137 19049 27853 4235 70.0 MiB 0.59 0.01 12.016 -717.144 -12.016 12.016 1.33 0.00288125 0.00270837 0.299383 0.281 46 11715 25 1.57076e+07 3.84988e+06 949518. 2930.61 12.33 1.06453 0.994468 33056 191736 -1 9693 19 4291 9311 4610800 1111878 0 0 4610800 1111878 9311 7047 0 0 162170 160836 0 0 175341 162904 0 0 10385 8089 0 0 2127055 392581 0 0 2126538 380421 0 0 9311 0 0 5030 13904 14865 63106 0 0 13.2812 13.2812 -865.402 -13.2812 0 0 1.22123e+06 3769.23 0.55 1.50 0.28 -1 -1 0.55 0.161177 0.152592 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 5168.34 vpr 613.42 MiB 6.03 207148 -1 -1 101 162.07 -1 -1 107064 -1 -1 2196 114 44 8 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 628144 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 367.2 MiB 109.09 234636 1915489 724304 1164028 27157 581.5 MiB 112.18 0.83 66.9135 -51945.6 -66.9135 66.9135 57.34 0.131263 0.114164 18.2276 14.4048 90 365741 48 1.92089e+08 1.45633e+08 1.94366e+07 5982.34 4609.75 106.508 83.4994 441623 4070163 -1 327184 24 72941 275676 44891770 9678649 0 0 44891770 9678649 266831 93636 0 0 740951 671825 0 0 917391 747345 0 0 277270 108185 0 0 21096127 3960057 0 0 21593200 4097601 0 0 266831 0 0 202110 1035110 1041645 6661945 9314 7656 76.3379 76.3379 -66111.6 -76.3379 0 0 2.43249e+07 7486.90 15.86 27.84 5.88 -1 -1 15.86 9.32536 7.81205 +k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 5168.34 vpr 613.42 MiB 6.03 207148 -1 -1 101 162.07 -1 -1 107064 -1 -1 2196 114 44 8 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 628144 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 367.2 MiB 109.09 234636 1915489 724304 1164028 27157 581.5 MiB 112.18 0.83 66.9135 -51945.6 -66.9135 66.9135 57.34 0.131263 0.114164 18.2276 14.4048 90 365741 48 1.92089e+08 1.45633e+08 1.94366e+07 5982.34 5000 106.508 83.4994 441623 4070163 -1 327184 24 72941 275676 44891770 9678649 0 0 44891770 9678649 266831 93636 0 0 740951 671825 0 0 917391 747345 0 0 277270 108185 0 0 21096127 3960057 0 0 21593200 4097601 0 0 266831 0 0 202110 1035110 1041645 6661945 9314 7656 76.3379 76.3379 -66111.6 -76.3379 0 0 2.43249e+07 7486.90 15.86 27.84 5.88 -1 -1 15.86 9.32536 7.81205 k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 12253.51 vpr 2.06 GiB 24.80 702124 -1 -1 101 1393.87 -1 -1 324392 -1 -1 7514 114 167 32 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2159640 114 102 124851 111146 1 59132 7929 103 103 10609 clb auto 1159.2 MiB 360.78 1052983 9965835 4119074 5792066 54695 1877.1 MiB 704.52 3.21 65.2375 -338588 -65.2375 65.2375 220.28 0.329452 0.260932 61.6871 48.1144 126 1412558 40 6.46441e+08 5.09111e+08 8.73307e+07 8231.76 9221.47 183.942 146.5 1710436 19091112 -1 1339294 22 215646 900684 223339649 51896881 0 0 223339649 51896881 844018 267615 0 0 2644985 2402486 0 0 3287959 2664786 0 0 877062 319916 0 0 106407801 22685912 0 0 109277824 23556166 0 0 844018 0 0 658116 4296012 4273075 23348543 59126 221175 75.0218 75.0218 -494523 -75.0218 0 0 1.10400e+08 10406.3 49.82 82.53 17.74 -1 -1 49.82 18.8426 16.0775 k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 6915.92 vpr 2.15 GiB 58.83 890956 -1 -1 26 5002.13 -1 -1 375740 -1 -1 7038 36 159 27 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2249424 36 356 190343 166383 1 61580 7616 99 99 9801 clb auto 1355.4 MiB 258.31 722605 11167567 4330804 6423181 413582 1922.7 MiB 627.01 3.78 40.0349 -291855 -40.0349 40.0349 119.00 0.28365 0.226982 45.8958 37.3683 160 955962 23 6.00857e+08 4.77096e+08 9.11403e+07 9299.09 589.85 137.019 112.824 1700639 20154183 -1 934490 19 216687 545183 104908820 25967919 0 0 104908820 25967919 491671 261307 0 0 1548685 1384870 0 0 1958090 1556136 0 0 505616 287421 0 0 50205079 11073550 0 0 50199679 11404635 0 0 491671 0 0 277731 1192268 1235559 4877321 62524 115078 43.0415 43.0415 -352040 -43.0415 0 0 1.15652e+08 11800.0 46.86 51.64 19.74 -1 -1 46.86 16.9449 14.9292 k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 126.67 vpr 317.73 MiB 1.18 69740 -1 -1 5 11.78 -1 -1 56008 -1 -1 456 506 45 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 325356 506 553 3519 4017 1 3099 1560 50 50 2500 memory auto 62.0 MiB 7.03 15735 1150148 545151 422976 182021 317.7 MiB 6.50 0.07 6.80432 -1955.3 -6.80432 6.80432 41.74 0.0218543 0.0199401 2.87939 2.5967 40 23990 15 1.47946e+08 4.92362e+07 7.18436e+06 2873.75 28.29 8.28019 7.61139 260716 1475984 -1 22959 13 3800 4917 3473456 838921 0 0 3473456 838921 4527 4369 0 0 98810 97630 0 0 101330 99135 0 0 4798 4570 0 0 1607324 314105 0 0 1656667 319112 0 0 4527 0 0 730 5238 3996 9252 399 961 7.7292 7.7292 -2395.07 -7.7292 0 0 8.97548e+06 3590.19 5.57 1.91 1.79 -1 -1 5.57 0.875143 0.823244 From 2f98776801e92e120b41f714c6fc5bb7ab354530 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 18 Jan 2024 10:33:58 -0500 Subject: [PATCH 152/608] Revert "golden_results: nightly_test_3_odin: update min_chan_width" This reverts commit cb85495eac614899b524c436b57f62736eeb3535. --- .../vtr_reg_qor_chain_predictor_off/config/golden_results.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt index 7ee5fc1ca00..da22d381b61 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt @@ -6,7 +6,7 @@ k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 9.78 vpr 72.69 MiB 0.6 k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 4.20 vpr 67.44 MiB 0.06 9784 -1 -1 3 0.39 -1 -1 37396 -1 -1 65 99 1 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69060 99 130 363 493 1 251 295 12 12 144 clb auto 29.2 MiB 0.24 717 71908 25870 34054 11984 67.4 MiB 0.27 0.00 1.83922 -198.941 -1.83922 1.83922 0.45 0.00107536 0.000983006 0.084569 0.0781498 40 1645 10 5.66058e+06 4.05111e+06 333335. 2314.82 1.04 0.284185 0.258873 12946 64812 -1 1532 9 620 808 72040 24269 0 0 72040 24269 808 743 0 0 3914 3738 0 0 4492 3914 0 0 867 808 0 0 29829 7624 0 0 32130 7442 0 0 808 0 0 188 245 173 1848 0 0 2.45075 2.45075 -235.916 -2.45075 0 0 419432. 2912.72 0.16 0.06 0.08 -1 -1 0.16 0.0334775 0.0315937 k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 43.62 vpr 71.27 MiB 0.05 9636 -1 -1 6 0.30 -1 -1 36860 -1 -1 32 162 0 5 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72980 162 96 1075 884 1 667 295 16 16 256 mult_36 auto 33.3 MiB 0.67 4798 79756 25666 46693 7397 71.3 MiB 0.76 0.01 15.573 -1229.29 -15.573 15.573 1.08 0.00404968 0.00376809 0.326322 0.303508 66 9962 44 1.21132e+07 3.70461e+06 1.03599e+06 4046.83 36.92 2.32322 2.13815 28848 203676 -1 8389 22 3031 5003 1662328 462996 0 0 1662328 462996 5003 3821 0 0 74447 73340 0 0 78985 74896 0 0 5423 4117 0 0 758826 152086 0 0 739644 154736 0 0 5003 0 0 1990 4631 4970 27606 0 0 17.0901 17.0901 -1355.89 -17.0901 0 0 1.28841e+06 5032.87 0.50 0.64 0.29 -1 -1 0.50 0.177776 0.166942 k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 19.92 vpr 70.00 MiB 0.03 8412 -1 -1 6 0.17 -1 -1 36716 -1 -1 20 66 0 7 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71680 66 96 866 607 1 547 189 18 18 324 mult_36 auto 32.0 MiB 0.61 4654 51137 19049 27853 4235 70.0 MiB 0.59 0.01 12.016 -717.144 -12.016 12.016 1.33 0.00288125 0.00270837 0.299383 0.281 46 11715 25 1.57076e+07 3.84988e+06 949518. 2930.61 12.33 1.06453 0.994468 33056 191736 -1 9693 19 4291 9311 4610800 1111878 0 0 4610800 1111878 9311 7047 0 0 162170 160836 0 0 175341 162904 0 0 10385 8089 0 0 2127055 392581 0 0 2126538 380421 0 0 9311 0 0 5030 13904 14865 63106 0 0 13.2812 13.2812 -865.402 -13.2812 0 0 1.22123e+06 3769.23 0.55 1.50 0.28 -1 -1 0.55 0.161177 0.152592 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 5168.34 vpr 613.42 MiB 6.03 207148 -1 -1 101 162.07 -1 -1 107064 -1 -1 2196 114 44 8 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 628144 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 367.2 MiB 109.09 234636 1915489 724304 1164028 27157 581.5 MiB 112.18 0.83 66.9135 -51945.6 -66.9135 66.9135 57.34 0.131263 0.114164 18.2276 14.4048 90 365741 48 1.92089e+08 1.45633e+08 1.94366e+07 5982.34 5000 106.508 83.4994 441623 4070163 -1 327184 24 72941 275676 44891770 9678649 0 0 44891770 9678649 266831 93636 0 0 740951 671825 0 0 917391 747345 0 0 277270 108185 0 0 21096127 3960057 0 0 21593200 4097601 0 0 266831 0 0 202110 1035110 1041645 6661945 9314 7656 76.3379 76.3379 -66111.6 -76.3379 0 0 2.43249e+07 7486.90 15.86 27.84 5.88 -1 -1 15.86 9.32536 7.81205 +k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 5168.34 vpr 613.42 MiB 6.03 207148 -1 -1 101 162.07 -1 -1 107064 -1 -1 2196 114 44 8 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 628144 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 367.2 MiB 109.09 234636 1915489 724304 1164028 27157 581.5 MiB 112.18 0.83 66.9135 -51945.6 -66.9135 66.9135 57.34 0.131263 0.114164 18.2276 14.4048 90 365741 48 1.92089e+08 1.45633e+08 1.94366e+07 5982.34 4609.75 106.508 83.4994 441623 4070163 -1 327184 24 72941 275676 44891770 9678649 0 0 44891770 9678649 266831 93636 0 0 740951 671825 0 0 917391 747345 0 0 277270 108185 0 0 21096127 3960057 0 0 21593200 4097601 0 0 266831 0 0 202110 1035110 1041645 6661945 9314 7656 76.3379 76.3379 -66111.6 -76.3379 0 0 2.43249e+07 7486.90 15.86 27.84 5.88 -1 -1 15.86 9.32536 7.81205 k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 12253.51 vpr 2.06 GiB 24.80 702124 -1 -1 101 1393.87 -1 -1 324392 -1 -1 7514 114 167 32 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2159640 114 102 124851 111146 1 59132 7929 103 103 10609 clb auto 1159.2 MiB 360.78 1052983 9965835 4119074 5792066 54695 1877.1 MiB 704.52 3.21 65.2375 -338588 -65.2375 65.2375 220.28 0.329452 0.260932 61.6871 48.1144 126 1412558 40 6.46441e+08 5.09111e+08 8.73307e+07 8231.76 9221.47 183.942 146.5 1710436 19091112 -1 1339294 22 215646 900684 223339649 51896881 0 0 223339649 51896881 844018 267615 0 0 2644985 2402486 0 0 3287959 2664786 0 0 877062 319916 0 0 106407801 22685912 0 0 109277824 23556166 0 0 844018 0 0 658116 4296012 4273075 23348543 59126 221175 75.0218 75.0218 -494523 -75.0218 0 0 1.10400e+08 10406.3 49.82 82.53 17.74 -1 -1 49.82 18.8426 16.0775 k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 6915.92 vpr 2.15 GiB 58.83 890956 -1 -1 26 5002.13 -1 -1 375740 -1 -1 7038 36 159 27 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2249424 36 356 190343 166383 1 61580 7616 99 99 9801 clb auto 1355.4 MiB 258.31 722605 11167567 4330804 6423181 413582 1922.7 MiB 627.01 3.78 40.0349 -291855 -40.0349 40.0349 119.00 0.28365 0.226982 45.8958 37.3683 160 955962 23 6.00857e+08 4.77096e+08 9.11403e+07 9299.09 589.85 137.019 112.824 1700639 20154183 -1 934490 19 216687 545183 104908820 25967919 0 0 104908820 25967919 491671 261307 0 0 1548685 1384870 0 0 1958090 1556136 0 0 505616 287421 0 0 50205079 11073550 0 0 50199679 11404635 0 0 491671 0 0 277731 1192268 1235559 4877321 62524 115078 43.0415 43.0415 -352040 -43.0415 0 0 1.15652e+08 11800.0 46.86 51.64 19.74 -1 -1 46.86 16.9449 14.9292 k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 126.67 vpr 317.73 MiB 1.18 69740 -1 -1 5 11.78 -1 -1 56008 -1 -1 456 506 45 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 325356 506 553 3519 4017 1 3099 1560 50 50 2500 memory auto 62.0 MiB 7.03 15735 1150148 545151 422976 182021 317.7 MiB 6.50 0.07 6.80432 -1955.3 -6.80432 6.80432 41.74 0.0218543 0.0199401 2.87939 2.5967 40 23990 15 1.47946e+08 4.92362e+07 7.18436e+06 2873.75 28.29 8.28019 7.61139 260716 1475984 -1 22959 13 3800 4917 3473456 838921 0 0 3473456 838921 4527 4369 0 0 98810 97630 0 0 101330 99135 0 0 4798 4570 0 0 1607324 314105 0 0 1656667 319112 0 0 4527 0 0 730 5238 3996 9252 399 961 7.7292 7.7292 -2395.07 -7.7292 0 0 8.97548e+06 3590.19 5.57 1.91 1.79 -1 -1 5.57 0.875143 0.823244 From 4b4b3211632d25a4e60d386b2b7df374ef77eba6 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 18 Jan 2024 10:35:06 -0500 Subject: [PATCH 153/608] golden_results: nightly_test_3_odin: update min_chan_width --- .../vtr_reg_qor_chain_predictor_off/config/golden_results.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt index da22d381b61..75b4e6ff120 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt @@ -6,7 +6,7 @@ k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 9.78 vpr 72.69 MiB 0.6 k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 4.20 vpr 67.44 MiB 0.06 9784 -1 -1 3 0.39 -1 -1 37396 -1 -1 65 99 1 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69060 99 130 363 493 1 251 295 12 12 144 clb auto 29.2 MiB 0.24 717 71908 25870 34054 11984 67.4 MiB 0.27 0.00 1.83922 -198.941 -1.83922 1.83922 0.45 0.00107536 0.000983006 0.084569 0.0781498 40 1645 10 5.66058e+06 4.05111e+06 333335. 2314.82 1.04 0.284185 0.258873 12946 64812 -1 1532 9 620 808 72040 24269 0 0 72040 24269 808 743 0 0 3914 3738 0 0 4492 3914 0 0 867 808 0 0 29829 7624 0 0 32130 7442 0 0 808 0 0 188 245 173 1848 0 0 2.45075 2.45075 -235.916 -2.45075 0 0 419432. 2912.72 0.16 0.06 0.08 -1 -1 0.16 0.0334775 0.0315937 k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 43.62 vpr 71.27 MiB 0.05 9636 -1 -1 6 0.30 -1 -1 36860 -1 -1 32 162 0 5 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72980 162 96 1075 884 1 667 295 16 16 256 mult_36 auto 33.3 MiB 0.67 4798 79756 25666 46693 7397 71.3 MiB 0.76 0.01 15.573 -1229.29 -15.573 15.573 1.08 0.00404968 0.00376809 0.326322 0.303508 66 9962 44 1.21132e+07 3.70461e+06 1.03599e+06 4046.83 36.92 2.32322 2.13815 28848 203676 -1 8389 22 3031 5003 1662328 462996 0 0 1662328 462996 5003 3821 0 0 74447 73340 0 0 78985 74896 0 0 5423 4117 0 0 758826 152086 0 0 739644 154736 0 0 5003 0 0 1990 4631 4970 27606 0 0 17.0901 17.0901 -1355.89 -17.0901 0 0 1.28841e+06 5032.87 0.50 0.64 0.29 -1 -1 0.50 0.177776 0.166942 k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 19.92 vpr 70.00 MiB 0.03 8412 -1 -1 6 0.17 -1 -1 36716 -1 -1 20 66 0 7 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71680 66 96 866 607 1 547 189 18 18 324 mult_36 auto 32.0 MiB 0.61 4654 51137 19049 27853 4235 70.0 MiB 0.59 0.01 12.016 -717.144 -12.016 12.016 1.33 0.00288125 0.00270837 0.299383 0.281 46 11715 25 1.57076e+07 3.84988e+06 949518. 2930.61 12.33 1.06453 0.994468 33056 191736 -1 9693 19 4291 9311 4610800 1111878 0 0 4610800 1111878 9311 7047 0 0 162170 160836 0 0 175341 162904 0 0 10385 8089 0 0 2127055 392581 0 0 2126538 380421 0 0 9311 0 0 5030 13904 14865 63106 0 0 13.2812 13.2812 -865.402 -13.2812 0 0 1.22123e+06 3769.23 0.55 1.50 0.28 -1 -1 0.55 0.161177 0.152592 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 5168.34 vpr 613.42 MiB 6.03 207148 -1 -1 101 162.07 -1 -1 107064 -1 -1 2196 114 44 8 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 628144 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 367.2 MiB 109.09 234636 1915489 724304 1164028 27157 581.5 MiB 112.18 0.83 66.9135 -51945.6 -66.9135 66.9135 57.34 0.131263 0.114164 18.2276 14.4048 90 365741 48 1.92089e+08 1.45633e+08 1.94366e+07 5982.34 4609.75 106.508 83.4994 441623 4070163 -1 327184 24 72941 275676 44891770 9678649 0 0 44891770 9678649 266831 93636 0 0 740951 671825 0 0 917391 747345 0 0 277270 108185 0 0 21096127 3960057 0 0 21593200 4097601 0 0 266831 0 0 202110 1035110 1041645 6661945 9314 7656 76.3379 76.3379 -66111.6 -76.3379 0 0 2.43249e+07 7486.90 15.86 27.84 5.88 -1 -1 15.86 9.32536 7.81205 +k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 5168.34 vpr 613.42 MiB 6.03 207148 -1 -1 101 162.07 -1 -1 107064 -1 -1 2196 114 44 8 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 628144 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 367.2 MiB 109.09 234636 1915489 724304 1164028 27157 581.5 MiB 112.18 0.83 66.9135 -51945.6 -66.9135 66.9135 57.34 0.131263 0.114164 18.2276 14.4048 90 365741 48 1.92089e+08 1.45633e+08 1.94366e+07 5982.34 4000 106.508 83.4994 441623 4070163 -1 327184 24 72941 275676 44891770 9678649 0 0 44891770 9678649 266831 93636 0 0 740951 671825 0 0 917391 747345 0 0 277270 108185 0 0 21096127 3960057 0 0 21593200 4097601 0 0 266831 0 0 202110 1035110 1041645 6661945 9314 7656 76.3379 76.3379 -66111.6 -76.3379 0 0 2.43249e+07 7486.90 15.86 27.84 5.88 -1 -1 15.86 9.32536 7.81205 k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 12253.51 vpr 2.06 GiB 24.80 702124 -1 -1 101 1393.87 -1 -1 324392 -1 -1 7514 114 167 32 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2159640 114 102 124851 111146 1 59132 7929 103 103 10609 clb auto 1159.2 MiB 360.78 1052983 9965835 4119074 5792066 54695 1877.1 MiB 704.52 3.21 65.2375 -338588 -65.2375 65.2375 220.28 0.329452 0.260932 61.6871 48.1144 126 1412558 40 6.46441e+08 5.09111e+08 8.73307e+07 8231.76 9221.47 183.942 146.5 1710436 19091112 -1 1339294 22 215646 900684 223339649 51896881 0 0 223339649 51896881 844018 267615 0 0 2644985 2402486 0 0 3287959 2664786 0 0 877062 319916 0 0 106407801 22685912 0 0 109277824 23556166 0 0 844018 0 0 658116 4296012 4273075 23348543 59126 221175 75.0218 75.0218 -494523 -75.0218 0 0 1.10400e+08 10406.3 49.82 82.53 17.74 -1 -1 49.82 18.8426 16.0775 k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 6915.92 vpr 2.15 GiB 58.83 890956 -1 -1 26 5002.13 -1 -1 375740 -1 -1 7038 36 159 27 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2249424 36 356 190343 166383 1 61580 7616 99 99 9801 clb auto 1355.4 MiB 258.31 722605 11167567 4330804 6423181 413582 1922.7 MiB 627.01 3.78 40.0349 -291855 -40.0349 40.0349 119.00 0.28365 0.226982 45.8958 37.3683 160 955962 23 6.00857e+08 4.77096e+08 9.11403e+07 9299.09 589.85 137.019 112.824 1700639 20154183 -1 934490 19 216687 545183 104908820 25967919 0 0 104908820 25967919 491671 261307 0 0 1548685 1384870 0 0 1958090 1556136 0 0 505616 287421 0 0 50205079 11073550 0 0 50199679 11404635 0 0 491671 0 0 277731 1192268 1235559 4877321 62524 115078 43.0415 43.0415 -352040 -43.0415 0 0 1.15652e+08 11800.0 46.86 51.64 19.74 -1 -1 46.86 16.9449 14.9292 k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 126.67 vpr 317.73 MiB 1.18 69740 -1 -1 5 11.78 -1 -1 56008 -1 -1 456 506 45 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 325356 506 553 3519 4017 1 3099 1560 50 50 2500 memory auto 62.0 MiB 7.03 15735 1150148 545151 422976 182021 317.7 MiB 6.50 0.07 6.80432 -1955.3 -6.80432 6.80432 41.74 0.0218543 0.0199401 2.87939 2.5967 40 23990 15 1.47946e+08 4.92362e+07 7.18436e+06 2873.75 28.29 8.28019 7.61139 260716 1475984 -1 22959 13 3800 4917 3473456 838921 0 0 3473456 838921 4527 4369 0 0 98810 97630 0 0 101330 99135 0 0 4798 4570 0 0 1607324 314105 0 0 1656667 319112 0 0 4527 0 0 730 5238 3996 9252 399 961 7.7292 7.7292 -2395.07 -7.7292 0 0 8.97548e+06 3590.19 5.57 1.91 1.79 -1 -1 5.57 0.875143 0.823244 From 24fd2262a2913675031d902f48bebf20d6454228 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 18 Jan 2024 10:43:36 -0500 Subject: [PATCH 154/608] new task for small simple synthetic benchmarks --- .../small_simple_synthetic/config/config.txt | 40 +++++++++++++++++++ 1 file changed, 40 insertions(+) create mode 100644 vtr_flow/tasks/noc_qor/small_simple_synthetic/config/config.txt diff --git a/vtr_flow/tasks/noc_qor/small_simple_synthetic/config/config.txt b/vtr_flow/tasks/noc_qor/small_simple_synthetic/config/config.txt new file mode 100644 index 00000000000..b2cc899fbf1 --- /dev/null +++ b/vtr_flow/tasks/noc_qor/small_simple_synthetic/config/config.txt @@ -0,0 +1,40 @@ +############################################## +# Configuration file for running experiments +############################################## + +# Path to directory of circuits to useks +circuits_dir=benchmarks/noc/Synthetic_Designs/blif_files/ + +# Path to directory of architectures to use +archs_dir=arch/noc/mesh_noc_topology + +# Path to directory of NoC Traffic Patterns to use +noc_traffics_dir=benchmarks/noc/Synthetic_Designs/traffic_flow_files/ + +# Traffic flow files are added per circuit +noc_traffic_list_type=per_circuit + +# Add circuits and traffic flows to list to sweep +circuit_list_add=simple_2_noc_1D_chain.blif +noc_traffic_list_add=simple_2_noc_1D_chain.flows + +circuit_list_add=simple_4_noc_1D_chain.blif +noc_traffic_list_add=simple_4_noc_1D_chain.flows + +circuit_list_add=simple_8_noc_1D_chain.blif +noc_traffic_list_add=simple_8_noc_1D_chain.flows + +# Add architectures to list to sweep +arch_list_add=stratixiv_arch.timing_with_a_embedded_3X3_mesh_noc_topology_2.xml + +# Parse info and how to parse +parse_file=vpr_noc.txt + +# How to parse QoR info +qor_parse_file=qor_noc_spec.txt + +# Pass requirements +#pass_requirements_file= + +# Script parameters +script_params_common =-starting_stage vpr --noc on --noc_routing_algorithm xy_routing --device "EP4SGX110" From b71dbc89eb545d565f799777a6845e9acbc1d80e Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 18 Jan 2024 10:44:01 -0500 Subject: [PATCH 155/608] new task for small complex synthetic benchmarks --- .../small_complex_synthetic/config/config.txt | 40 +++++++++++++++++++ 1 file changed, 40 insertions(+) create mode 100644 vtr_flow/tasks/noc_qor/small_complex_synthetic/config/config.txt diff --git a/vtr_flow/tasks/noc_qor/small_complex_synthetic/config/config.txt b/vtr_flow/tasks/noc_qor/small_complex_synthetic/config/config.txt new file mode 100644 index 00000000000..b1365a0a27d --- /dev/null +++ b/vtr_flow/tasks/noc_qor/small_complex_synthetic/config/config.txt @@ -0,0 +1,40 @@ +############################################## +# Configuration file for running experiments +############################################## + +# Path to directory of circuits to useks +circuits_dir=benchmarks/noc/Synthetic_Designs/blif_files/ + +# Path to directory of architectures to use +archs_dir=arch/noc/mesh_noc_topology + +# Path to directory of NoC Traffic Patterns to use +noc_traffics_dir=benchmarks/noc/Synthetic_Designs/traffic_flow_files/ + +# Traffic flow files are added per circuit +noc_traffic_list_type=per_circuit + +# Add circuits and traffic flows to list to sweep +circuit_list_add=complex_2_noc_1D_chain.blif +noc_traffic_list_add=complex_2_noc_1D_chain.flows + +circuit_list_add=complex_4_noc_1D_chain.blif +noc_traffic_list_add=complex_4_noc_1D_chain.flows + +circuit_list_add=complex_8_noc_1D_chain.blif +noc_traffic_list_add=complex_8_noc_1D_chain.flows + +# Add architectures to list to sweep +arch_list_add=stratixiv_arch.timing_with_a_embedded_3X3_mesh_noc_topology_2.xml + +# Parse info and how to parse +parse_file=vpr_noc.txt + +# How to parse QoR info +qor_parse_file=qor_noc_spec.txt + +# Pass requirements +#pass_requirements_file= + +# Script parameters +script_params_common =-starting_stage vpr --noc on --noc_routing_algorithm xy_routing --device "EP4SGX110" From 4393cd64177e83662116281c7e2072d2347b33bb Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 18 Jan 2024 11:00:36 -0500 Subject: [PATCH 156/608] vpr: lookahead: fix commenting on min_delay methods --- vpr/src/place/place_delay_model.h | 2 +- vpr/src/route/router_delay_profiling.h | 11 ++++++++++- vpr/src/route/router_lookahead_cost_map.cpp | 1 + 3 files changed, 12 insertions(+), 2 deletions(-) diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h index 8a5cc949629..464b2709b2e 100644 --- a/vpr/src/place/place_delay_model.h +++ b/vpr/src/place/place_delay_model.h @@ -239,7 +239,7 @@ class SimpleDelayModel : public PlaceDelayModel { void write(const std::string& /*file*/) const override {} private: - // [physical_type_idx][from_layer_num][to_layer_num][dx][dy + // [physical_type_idx][from_layer_num][to_layer_num][dx][dy] vtr::NdMatrix delays_; // [0..num_physical_type-1][0..num_layers-1][0..num_layers-1][0..max_dx][0..max_dy] float cross_layer_delay_; bool is_flat_; diff --git a/vpr/src/route/router_delay_profiling.h b/vpr/src/route/router_delay_profiling.h index 92d28a76f28..dedf3e6dfb1 100644 --- a/vpr/src/route/router_delay_profiling.h +++ b/vpr/src/route/router_delay_profiling.h @@ -31,13 +31,22 @@ class RouterDelayProfiler { float* net_delay, int layer_num); + /** + * @param physical_tile_type_idx + * @param from_layer + * @param to_layer + * @param dx + * @param dy + * @return Return the minimum delay across all output pins (OPINs) on the physical tile identified by "physical_tile_idx" from an + * instance of the physical type on the "from_layer" to an input pin (IPIN) that is dx and dy away at its location on "to_layer". + */ float get_min_delay(int physical_tile_type_idx, int from_layer, int to_layer, int dx, int dy) const; private: const Netlist<>& net_list_; RouterStats router_stats_; ConnectionRouter router_; - vtr::NdMatrix min_delays_; + vtr::NdMatrix min_delays_; // [physical_type_idx][from_layer][to_layer][dx][dy] bool is_flat_; }; diff --git a/vpr/src/route/router_lookahead_cost_map.cpp b/vpr/src/route/router_lookahead_cost_map.cpp index c89748a6532..6acae707234 100644 --- a/vpr/src/route/router_lookahead_cost_map.cpp +++ b/vpr/src/route/router_lookahead_cost_map.cpp @@ -342,6 +342,7 @@ std::vector> CostMap::list_empty() const { } static void assign_min_entry(util::Cost_Entry* dst, const util::Cost_Entry& src) { + // The values in src is only being assigned to dst if they are valid if (!std::isnan(src.delay)) { if (std::isnan(dst->delay)) { dst->delay = src.delay; From 1666d5a9a0b6a60f28fb5e49c126220b72033ba4 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 19 Jan 2024 12:08:47 -0500 Subject: [PATCH 157/608] Add download_noc_mlp.py This script downloads a compressed file containing NoC MLP netlists and extracts them into appropriate directories. Compressed files are still to be uploaded. Vaughn suggested they should be uploaded onto EECG network. --- vtr_flow/scripts/download_noc_mlp.py | 164 +++++++++++++++++++++++++++ 1 file changed, 164 insertions(+) create mode 100755 vtr_flow/scripts/download_noc_mlp.py diff --git a/vtr_flow/scripts/download_noc_mlp.py b/vtr_flow/scripts/download_noc_mlp.py new file mode 100755 index 00000000000..4f6cf338ab0 --- /dev/null +++ b/vtr_flow/scripts/download_noc_mlp.py @@ -0,0 +1,164 @@ +#!/usr/bin/env python3 +import sys +import os +import argparse +import urllib.parse +import urllib.request, urllib.parse, urllib.error +import urllib.request, urllib.error, urllib.parse +import math +import textwrap +import tarfile +import tempfile +import shutil + + +class DownloadError(Exception): + pass + + +class ChecksumError(Exception): + pass + + +class ExtractionError(Exception): + pass + + +TITAN_URL_MIRRORS = {"eecg": "https://www.eecg.utoronto.ca/~vaughn/titan/"} + + +def parse_args(): + description = textwrap.dedent( + """ + Download and extract a MLP NoC benchmarks into a + VTR-style directory structure. + + If a previous matching titan release tar.gz file is found + does nothing (unless --force is specified). + """ + ) + parser = argparse.ArgumentParser(formatter_class=argparse.ArgumentDefaultsHelpFormatter) + + parser.add_argument( + "--vtr_flow_dir", + required=True, + help="The 'vtr_flow' directory under the VTR tree. " + "If specified this will extract the titan release, " + "placing benchmarks under vtr_flow/benchmarks/titan ", + ) + parser.add_argument( + "--force", + default=False, + action="store_true", + help="Run extraction step even if directores etc. already exist", + ) + parser.add_argument( + "--full_archive", + default=False, + action="store_true", + help="Download the full archive instead of just downloading the blif archive", + ) + + return parser.parse_args() + + +def main(): + + args = parse_args() + + try: + if args.full_archive: + tar_gz_filename = "MLP_Benchmark_Netlist_Files_vqm_blif" + ".tar.gz" + else: + tar_gz_filename = "MLP_Benchmark_Netlist_Files_blif" + ".tar.gz" + + tar_gz_url = urllib.parse.urljoin(TITAN_URL_MIRRORS["eecg"], tar_gz_filename) + + if not args.force and os.path.isfile(tar_gz_filename): + print("Found existing {} (skipping download and extraction)".format(tar_gz_filename)) + else: + print("Downloading {}".format(tar_gz_url)) + # download_url(tar_gz_filename, tar_gz_url) + + print("Extracting {}".format(tar_gz_filename)) + extract_to_vtr_flow_dir(args, tar_gz_filename) + + except DownloadError as e: + print("Failed to download:", e) + sys.exit(1) + except ExtractionError as e: + print("Failed to extract titan release:", e) + sys.exit(3) + + sys.exit(0) + + +def download_url(filename, url): + """ + Downloads NoC MLP benchmarks + """ + urllib.request.urlretrieve(url, filename, reporthook=download_progress_callback) + + +def download_progress_callback(block_num, block_size, expected_size): + """ + Callback for urllib.urlretrieve which prints a dot for every percent of a file downloaded + """ + total_blocks = int(math.ceil(expected_size / block_size)) + progress_increment = int(math.ceil(total_blocks / 100)) + + if block_num % progress_increment == 0: + sys.stdout.write(".") + sys.stdout.flush() + if block_num * block_size >= expected_size: + print("") + + +def extract_to_vtr_flow_dir(args, tar_gz_filename): + """ + Extracts the NoC MLP benchmarks into its corresponding vtr directory + """ + + # Reference directories + # arch_dir = os.path.join(args.vtr_flow_dir, "arch") + benchmarks_dir = os.path.join(args.vtr_flow_dir, "benchmarks") + mlp_benchmarks_dir = os.path.join(benchmarks_dir, "noc/Large_Designs/MLP") + + + if not args.force: + # Check that all expected directories exist + expected_dirs = [ + args.vtr_flow_dir, + benchmarks_dir, + mlp_benchmarks_dir, + ] + for dir in expected_dirs: + if not os.path.isdir(dir): + raise ExtractionError("{} should be a directory".format(dir)) + + # Create a temporary working directory + tmpdir = tempfile.mkdtemp(suffix="download_NoC_MLP", dir= os.path.abspath(".")) + try: + # Extract the contents of the .tar.gz archive directly into the destination directory + with tarfile.open(tar_gz_filename, "r:gz") as tar: + tar.extractall(tmpdir) + tmp_source_blif_dir = os.path.join(tmpdir, "MLP_Benchmark_Netlist_Files") + for root, dirs, files in os.walk(tmp_source_blif_dir): + for file in files: + source_file = os.path.join(root, file) + relative_path = os.path.relpath(source_file, tmp_source_blif_dir) + destination_file = os.path.join(mlp_benchmarks_dir, relative_path) + print(source_file, destination_file) + os.makedirs(os.path.dirname(destination_file), exist_ok=True) + shutil.copy2(source_file, destination_file) + + finally: + # Clean-up + shutil.rmtree(tmpdir) + + print("Done") + + + +if __name__ == "__main__": + main() From d068167561654adf544ff669a31e7be4405410cc Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 19 Jan 2024 12:13:43 -0500 Subject: [PATCH 158/608] ignore .vqm and .blif files in NoC MLP benchmark directories. --- .gitignore | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/.gitignore b/.gitignore index 372746ab416..8bf6aa0b212 100644 --- a/.gitignore +++ b/.gitignore @@ -38,6 +38,14 @@ vtr_flow/benchmarks/titan_blif/titan23 vtr_flow/benchmarks/titan_blif/titan_new +# +# NoC MLP benchmarks +# +# We ignore blif and vqm files because of thier large size/ +# +vtr_flow/benchmarks/noc/Large_Designs/MLP/**/*.vqm +vtr_flow/benchmarks/noc/Large_Designs/MLP/**/*.blif + # # ISPD benchmarks # From 6cc52c8c939fb7109025a970d70fa264fa3d12fa Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 19 Jan 2024 12:21:57 -0500 Subject: [PATCH 159/608] Add get_noc_mlp_benchmarks target to CmakeLists --- CMakeLists.txt | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/CMakeLists.txt b/CMakeLists.txt index 5199c7c42cb..6ec1813e020 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -341,6 +341,14 @@ add_custom_target(get_titan_benchmarks WORKING_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR} COMMENT "Downloading (~1GB) and extracting Titan benchmarks (~10GB) into VTR source tree.") +# +# NoC MLP Benchmarks +# +add_custom_target(get_noc_mlp_benchmarks + COMMAND ./vtr_flow/scripts/download_noc_mlp.py --vtr_flow_dir ./vtr_flow + WORKING_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR} + COMMENT "Downloading (~100MB) and extracting NoC MLP benchmarks (~3.2GB) into VTR source tree.") + # # ISPD Benchmarks # From f3c46bf4bc974952f4c83e37f47d71d1ab597e32 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 19 Jan 2024 12:51:11 -0500 Subject: [PATCH 160/608] create symbolic links to blif and traffic flow files in NoC MLP benchmarks Created symbolic links are ignored --- .gitignore | 5 ++++- vtr_flow/scripts/download_noc_mlp.py | 29 +++++++++++++++++++++++++++- 2 files changed, 32 insertions(+), 2 deletions(-) diff --git a/.gitignore b/.gitignore index 8bf6aa0b212..635a26be6dc 100644 --- a/.gitignore +++ b/.gitignore @@ -41,10 +41,13 @@ vtr_flow/benchmarks/titan_blif/titan_new # # NoC MLP benchmarks # -# We ignore blif and vqm files because of thier large size/ +# We ignore blif and vqm files because of thier large size. +# We also ignore symbolic links to traffic flow and blif files. # vtr_flow/benchmarks/noc/Large_Designs/MLP/**/*.vqm vtr_flow/benchmarks/noc/Large_Designs/MLP/**/*.blif +vtr_flow/benchmarks/noc/Large_Designs/MLP/blif_files/* +vtr_flow/benchmarks/noc/Large_Designs/MLP/traffic_flow_files/* # # ISPD benchmarks diff --git a/vtr_flow/scripts/download_noc_mlp.py b/vtr_flow/scripts/download_noc_mlp.py index 4f6cf338ab0..f8f86938763 100755 --- a/vtr_flow/scripts/download_noc_mlp.py +++ b/vtr_flow/scripts/download_noc_mlp.py @@ -148,10 +148,14 @@ def extract_to_vtr_flow_dir(args, tar_gz_filename): source_file = os.path.join(root, file) relative_path = os.path.relpath(source_file, tmp_source_blif_dir) destination_file = os.path.join(mlp_benchmarks_dir, relative_path) - print(source_file, destination_file) os.makedirs(os.path.dirname(destination_file), exist_ok=True) shutil.copy2(source_file, destination_file) + # Create symbolic links to blif files + find_and_link_files(mlp_benchmarks_dir, ".blif", "blif_files") + # Create symbolic links to traffic flow files + find_and_link_files(mlp_benchmarks_dir, ".flows", "traffic_flow_files") + finally: # Clean-up shutil.rmtree(tmpdir) @@ -159,6 +163,29 @@ def extract_to_vtr_flow_dir(args, tar_gz_filename): print("Done") +def find_and_link_files(base_path, target_extension, link_folder_name): + """ + Finds files with a given extension and make symbolic links to them + """ + + # Create a folder to store symbolic links + link_folder_path = os.path.join(base_path, link_folder_name) + os.makedirs(link_folder_path, exist_ok=True) + + # Walk through all subdirectories + for root, dirs, files in os.walk(base_path): + if root == link_folder_path: + continue + + for file in files: + if file.endswith(target_extension): + # Get the full path of the file + file_path = os.path.join(root, file) + + # Create symbolic link in the link folder + link_name = os.path.join(link_folder_path, file) + os.symlink(file_path, link_name) + if __name__ == "__main__": main() From 30484b1cdf632613ff8a13d40994ef8fbee4c9e1 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 19 Jan 2024 13:04:46 -0500 Subject: [PATCH 161/608] Add new task to run QoR measurement for NoC MLP benchmarks --- vtr_flow/tasks/noc_qor/MLP/config/config.txt | 44 ++++++++++++++++++++ 1 file changed, 44 insertions(+) create mode 100644 vtr_flow/tasks/noc_qor/MLP/config/config.txt diff --git a/vtr_flow/tasks/noc_qor/MLP/config/config.txt b/vtr_flow/tasks/noc_qor/MLP/config/config.txt new file mode 100644 index 00000000000..7561fa0e3c5 --- /dev/null +++ b/vtr_flow/tasks/noc_qor/MLP/config/config.txt @@ -0,0 +1,44 @@ +############################################## +# Configuration file for running experiments +############################################## + +# Path to directory of circuits to use +circuits_dir=benchmarks/noc/Large_Designs/MLP/blif_files/ + +# Path to directory of architectures to use +archs_dir=arch/noc/mesh_noc_topology + +# Path to directory of NoC Traffic Patterns to use +noc_traffics_dir=benchmarks/noc/Large_Designs/MLP/traffic_flow_files/ + +# Traffic flow files are added per circuit +noc_traffic_list_type=per_circuit + +# Add circuits to list to sweep +circuit_list_add=mlp_1.blif +noc_traffic_list_add=mlp_1.flows + +circuit_list_add=mlp_2.blif +noc_traffic_list_add=mlp_2.flows + +circuit_list_add=mlp_3.blif +noc_traffic_list_add=mlp_3.flows + +circuit_list_add=mlp_4.blif +noc_traffic_list_add=mlp_4.flows + +# Add architectures to list to sweep +arch_list_add=mlp_benchmarks.stratixiv_arch.timing_with_a_embedded_4x4_mesh_noc_topology.xml + + +# Parse info and how to parse +parse_file=vpr_noc.txt + +# How to parse QoR info +qor_parse_file=qor_noc_spec.txt + +# Pass requirements +pass_requirements_file=pass_requirements.txt + +# Script parameters +script_params_common =-starting_stage vpr --noc on --noc_routing_algorithm xy_routing --device "EP4SE820" --pack --place From 8db79f6489b81222c960b5f8ff0f87afc02b8c2f Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 19 Jan 2024 15:33:40 -0500 Subject: [PATCH 162/608] Downlaod NoC MLP benchmarks from EECG network If blif and traffic flow symlinks already exits, recreate them Ignores downloaded tar.gz files --- .gitignore | 2 ++ vtr_flow/scripts/download_noc_mlp.py | 12 ++++++++++-- 2 files changed, 12 insertions(+), 2 deletions(-) diff --git a/.gitignore b/.gitignore index 635a26be6dc..e7a4c799299 100644 --- a/.gitignore +++ b/.gitignore @@ -48,6 +48,8 @@ vtr_flow/benchmarks/noc/Large_Designs/MLP/**/*.vqm vtr_flow/benchmarks/noc/Large_Designs/MLP/**/*.blif vtr_flow/benchmarks/noc/Large_Designs/MLP/blif_files/* vtr_flow/benchmarks/noc/Large_Designs/MLP/traffic_flow_files/* +MLP_Benchmark_Netlist_Files_blif.tar.gz +MLP_Benchmark_Netlist_Files_vqm_blif.tar.gz # # ISPD benchmarks diff --git a/vtr_flow/scripts/download_noc_mlp.py b/vtr_flow/scripts/download_noc_mlp.py index f8f86938763..41f7da96616 100755 --- a/vtr_flow/scripts/download_noc_mlp.py +++ b/vtr_flow/scripts/download_noc_mlp.py @@ -10,6 +10,7 @@ import tarfile import tempfile import shutil +import errno class DownloadError(Exception): @@ -78,7 +79,7 @@ def main(): print("Found existing {} (skipping download and extraction)".format(tar_gz_filename)) else: print("Downloading {}".format(tar_gz_url)) - # download_url(tar_gz_filename, tar_gz_url) + download_url(tar_gz_filename, tar_gz_url) print("Extracting {}".format(tar_gz_filename)) extract_to_vtr_flow_dir(args, tar_gz_filename) @@ -184,7 +185,14 @@ def find_and_link_files(base_path, target_extension, link_folder_name): # Create symbolic link in the link folder link_name = os.path.join(link_folder_path, file) - os.symlink(file_path, link_name) + try: + os.symlink(file_path, link_name) + except OSError as e: + if e.errno == errno.EEXIST: + os.remove(link_name) + os.symlink(file_path, link_name) + else: + raise e if __name__ == "__main__": From 6db596d69298eaa227e1eb1daf04229951de8b50 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Sun, 21 Jan 2024 13:17:49 -0500 Subject: [PATCH 163/608] Fixed symbolic file target path --- vtr_flow/scripts/download_noc_mlp.py | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/vtr_flow/scripts/download_noc_mlp.py b/vtr_flow/scripts/download_noc_mlp.py index 41f7da96616..dc3485627aa 100755 --- a/vtr_flow/scripts/download_noc_mlp.py +++ b/vtr_flow/scripts/download_noc_mlp.py @@ -185,14 +185,14 @@ def find_and_link_files(base_path, target_extension, link_folder_name): # Create symbolic link in the link folder link_name = os.path.join(link_folder_path, file) + file_relative_path = os.path.relpath(file_path, start=link_folder_path) + try: - os.symlink(file_path, link_name) + os.symlink(file_relative_path, link_name) except OSError as e: if e.errno == errno.EEXIST: os.remove(link_name) - os.symlink(file_path, link_name) - else: - raise e + os.symlink(file_relative_path, link_name) if __name__ == "__main__": From 37ef28b9098d76eaf816d5bb5883038090d38d60 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Sun, 21 Jan 2024 13:25:35 -0500 Subject: [PATCH 164/608] Clean download_noc_mlp.py from Titan mentions --- vtr_flow/scripts/download_noc_mlp.py | 13 ++++++------- 1 file changed, 6 insertions(+), 7 deletions(-) diff --git a/vtr_flow/scripts/download_noc_mlp.py b/vtr_flow/scripts/download_noc_mlp.py index dc3485627aa..3660dfd2543 100755 --- a/vtr_flow/scripts/download_noc_mlp.py +++ b/vtr_flow/scripts/download_noc_mlp.py @@ -25,7 +25,7 @@ class ExtractionError(Exception): pass -TITAN_URL_MIRRORS = {"eecg": "https://www.eecg.utoronto.ca/~vaughn/titan/"} +URL_MIRRORS = {"eecg": "https://www.eecg.utoronto.ca/~vaughn/titan/"} def parse_args(): @@ -34,7 +34,7 @@ def parse_args(): Download and extract a MLP NoC benchmarks into a VTR-style directory structure. - If a previous matching titan release tar.gz file is found + If a previous matching tar.gz file is found does nothing (unless --force is specified). """ ) @@ -44,8 +44,8 @@ def parse_args(): "--vtr_flow_dir", required=True, help="The 'vtr_flow' directory under the VTR tree. " - "If specified this will extract the titan release, " - "placing benchmarks under vtr_flow/benchmarks/titan ", + "If specified this will extract benchmarks files, " + "placing them under vtr_flow/benchmarks/noc/Large_Designs/MLP ", ) parser.add_argument( "--force", @@ -73,7 +73,7 @@ def main(): else: tar_gz_filename = "MLP_Benchmark_Netlist_Files_blif" + ".tar.gz" - tar_gz_url = urllib.parse.urljoin(TITAN_URL_MIRRORS["eecg"], tar_gz_filename) + tar_gz_url = urllib.parse.urljoin(URL_MIRRORS["eecg"], tar_gz_filename) if not args.force and os.path.isfile(tar_gz_filename): print("Found existing {} (skipping download and extraction)".format(tar_gz_filename)) @@ -88,7 +88,7 @@ def main(): print("Failed to download:", e) sys.exit(1) except ExtractionError as e: - print("Failed to extract titan release:", e) + print("Failed to extract NoC MLP benchmarks release:", e) sys.exit(3) sys.exit(0) @@ -121,7 +121,6 @@ def extract_to_vtr_flow_dir(args, tar_gz_filename): """ # Reference directories - # arch_dir = os.path.join(args.vtr_flow_dir, "arch") benchmarks_dir = os.path.join(args.vtr_flow_dir, "benchmarks") mlp_benchmarks_dir = os.path.join(benchmarks_dir, "noc/Large_Designs/MLP") From 0d46da653dd85331cf493632b638e36a35ad4b75 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Sun, 21 Jan 2024 17:33:24 -0500 Subject: [PATCH 165/608] Add a task list for NoC QoR measurements --- vtr_flow/tasks/noc_qor/task_list.txt | 5 +++++ 1 file changed, 5 insertions(+) create mode 100644 vtr_flow/tasks/noc_qor/task_list.txt diff --git a/vtr_flow/tasks/noc_qor/task_list.txt b/vtr_flow/tasks/noc_qor/task_list.txt new file mode 100644 index 00000000000..ff7c2010a53 --- /dev/null +++ b/vtr_flow/tasks/noc_qor/task_list.txt @@ -0,0 +1,5 @@ +noc_qor/small_complex_synthetic +noc_qor/small_simple_synthetic +noc_qor/large_simple_synthetic +noc_qor/large_complex_synthetic +noc_qor/MLP/ From df30f289603d85c0a924aa8baf7074843c48e580 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Sun, 21 Jan 2024 17:36:24 -0500 Subject: [PATCH 166/608] Updated documentation --- README.developers.md | 62 ++++++++++++++++--- doc/README | 4 +- doc/src/vtr/benchmarks.rst | 15 ++++- .../noc/Large_Designs/MLP/Readme.txt | 15 +++-- .../noc/Synthetic_Designs/Readme.txt | 10 ++- vtr_flow/benchmarks/titan_blif/README.rst | 6 +- 6 files changed, 90 insertions(+), 22 deletions(-) diff --git a/README.developers.md b/README.developers.md index 92e59ac8614..917954a6610 100644 --- a/README.developers.md +++ b/README.developers.md @@ -386,17 +386,21 @@ The following are key QoR metrics which should be used to evaluate the impact of Implementation Quality Metrics: -| Metric | Meaning | Sensitivity | -|-----------------------------|--------------------------------------------------------------------------|-------------| -| num_pre_packed_blocks | Number of primitive netlist blocks (after tech. mapping, before packing) | Low | -| num_post_packed_blocks | Number of Clustered Blocks (after packing) | Medium | -| device_grid_tiles | FPGA size in grid tiles | Low-Medium | -| min_chan_width | The minimum routable channel width | Medium\* | -| crit_path_routed_wirelength | The routed wirelength at the relaxed channel width | Medium | -| critical_path_delay | The critical path delay at the relaxed channel width | Medium-High | +| Metric | Meaning | Sensitivity | +|---------------------------------|------------------------------------------------------------------------------|-------------| +| num_pre_packed_blocks | Number of primitive netlist blocks (after tech. mapping, before packing) | Low | +| num_post_packed_blocks | Number of Clustered Blocks (after packing) | Medium | +| device_grid_tiles | FPGA size in grid tiles | Low-Medium | +| min_chan_width | The minimum routable channel width | Medium\* | +| crit_path_routed_wirelength | The routed wirelength at the relaxed channel width | Medium | +| NoC_agg_bandwidth\** | The total link bandwidth utilized by all traffic flows | Low | +| NoC_latency\** | The total time of traffic flow data transfer (summed over all traffic flows) | Low | +| NoC_latency_constraints_cost\** | The total number of traffic flow latency constraints | Low | \* By default, VPR attempts to find the minimum routable channel width; it then performs routing at a relaxed (e.g. 1.3x minimum) channel width. At minimum channel width routing congestion can distort the true timing/wirelength characteristics. Combined with the fact that most FPGA architectures are built with an abundance of routing, post-routing metrics are usually only evaluated at the relaxed channel width. +\** NoC-related metrics are only reported when --noc option is enabled. + Run-time/Memory Usage Metrics: | Metric | Meaning | Sensitivity | @@ -493,7 +497,7 @@ k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 9f591f6- k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 9f591f6-dirty success 363 493 270 247 10 10 17 99 130 1 0 1792 1.86527 -194.602 -1.86527 46 1562 13 1438 20 2.4542 -226.033 -2.4542 0 0 3.92691e+06 1.4642e+06 259806. 2598.06 333135. 3331.35 0.03 0.01 -1 -1 -1 0.46 0.31 0.94 0.09 2.59 62684 8672 32940 ``` -### Example: Titan Benchmarks QoR Measurements +### Example: Titan Benchmarks QoR Measurement The [Titan benchmarks](https://docs.verilogtorouting.org/en/latest/vtr/benchmarks/#titan-benchmarks) are a group of large benchmark circuits from a wide range of applications, which are compatible with the VTR project. The are typically used as post-technology mapped netlists which have been pre-synthesized with Quartus. @@ -511,7 +515,7 @@ $ make get_titan_benchmarks #Move to the task directory $ cd vtr_flow/tasks -#Run the VTR benchmarks +#Run the Titan benchmarks $ ../scripts/run_vtr_task.py regression_tests/vtr_reg_nightly_test2/titan_quick_qor #Several days later... they complete @@ -528,6 +532,44 @@ stratixiv_arch.timing.xml stereo_vision_stratixiv_arch_timing.blif 0208312 stratixiv_arch.timing.xml cholesky_mc_stratixiv_arch_timing.blif 0208312 success 140214 108592 67410 5444 121 90 -1 111 151 -1 -1 5221059 8.16972 -454610 -8.16972 1518597 15 0 0 2.38657e+08 21915.3 9.34704 -531231 -9.34704 0 0 211.12 364.32 490.24 6356252 -1 -1 ``` +### Example: NoC Benchmarks QoR Measurements +NoC benchmarks currently include synthetic and MLP benchmarks. Synthetic benchmarks have various NoC traffic patters, +bandwidth utilization, and latency requirements. High-quality NoC router placement solutions for these benchmarks are +known. By comparing the known solutions with NoC router placement results, the developer can evaluate the sanity of +the NoC router placement algorithm. MLP benchmarks are the only realistic netlists included in this benchmark set. + +Based on the number of NoC routers in a synthetic benchmark, it is run on one of two different architectures. All MLP +benchmarks are run on an FPGA architecture with 16 NoC routers. Post-technology mapped netlists (blif files) +for synthetic benchmarks are added to the VTR project. However, MLP blif files are very large and should be downloaded +separately. + +Since NoC benchmarks target different FPGA architectures, they are run as different circuits. A typical way to run all +NoC benchmarks is to run a task list and gather QoR data form different tasks: + +#### Running and Integrating the NoC Benchmarks with VTR +```shell +#From the VTR root + +#Download and integrate NoC MLP benchmarks into the VTR source tree +$ make get_noc_mlp_benchmarks + +#Move to the task directory +$ cd vtr_flow + +#Run the VTR benchmarks +$ scripts/run_vtr_task.py -l tasks/noc_qor/task_list.txt + +#Several days later... they complete + +#NoC benchmarks are run as several different tasks. Therefore, QoR results should be gathered from multiple directories, +#one for each task. +$ head -5 tasks/noc_qor/large_complex_synthetic/latest/parse_results.txt +$ head -5 tasks/noc_qor/large_simple_synthetic/latest/parse_results.txt +$ head -5 tasks/noc_qor/small_complex_synthetic/latest/parse_results.txt +$ head -5 tasks/noc_qor/small_simple_synthetic/latest/parse_results.txt +$ head -5 tasks/noc_qor/MLP/latest/parse_results.txt +``` + ### Example: Koios Benchmarks QoR Measurement The [Koios benchmarks](https://github.com/verilog-to-routing/vtr-verilog-to-routing/tree/master/vtr_flow/benchmarks/verilog/koios) are a group of Deep Learning benchmark circuits distributed with the VTR project. diff --git a/doc/README b/doc/README index aac93b8dc63..490c3cd8297 100644 --- a/doc/README +++ b/doc/README @@ -4,7 +4,7 @@ Overview The VTR documentation is generated using sphinx, a python based documentation generator. The documentation itself is written in re-structured text (files ending in .rst), which -is a lightwieght mark-up language for text documents. +is a lightweight mark-up language for text documents. Currently VTR's documenation is automatically built by https://readthedocs.org/projects/vtr/ and is served at: @@ -36,7 +36,7 @@ from the main documentation directory (i.e. /doc). This will produce the output html in the _build directory. -You can then view the resulting documention with the web-browser of your choice. +You can then view the resulting documentation with the web-browser of your choice. For instance: $ firefox _build/html/index.html diff --git a/doc/src/vtr/benchmarks.rst b/doc/src/vtr/benchmarks.rst index d30ba6119f3..bdd9062b919 100644 --- a/doc/src/vtr/benchmarks.rst +++ b/doc/src/vtr/benchmarks.rst @@ -191,7 +191,20 @@ The SymbiFlow benchmarks can be downloaded and extracted by running the followin cd $VTR_ROOT make get_symbiflow_benchmarks -Once downloaded and extracted, benchmarks are provided as post-synthesized eblif files under: :: +Once downloaded and extracted, benchmarks are provided as post-synthesized blif files under: :: $VTR_ROOT/vtr_flow/benchmarks/symbiflow +.. _noc_benchmarks: + +NoC Benchmarks +---------------- +NoC benchmarks are composed of synthetic and MLP benchmarks and target NoC-enhanced FPGA architectures. Synthetic +benchmarks include a wide variety of traffic flow patters and are divided into two groups: 1) simple and 2) complex +benchmarks. As their names imply, simple benchmarks use very simple and small logic modules connected to NoC routers, +while complex benchmarks implement more complicated functionalities like encryption. These benchmarks do not come from +real application domains. On the other hand, MLP benchmarks include modules that perform matrix-vector multiplication +and move data. Pre-synthesized netlists for the synthetic benchmarks are added to VTR project, but MLP netlists should +be downloaded separately. + +.. note:: The NoC MLP benchmarks are not included with the VTR release (due to their size). However they can be downloaded and extracted by running ``make get_noc_mlp_benchmarks`` from the root of the VTR tree. They can also be `downloaded manually `_. \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Large_Designs/MLP/Readme.txt b/vtr_flow/benchmarks/noc/Large_Designs/MLP/Readme.txt index f36dcccf7ab..b9ec2f3f1c9 100644 --- a/vtr_flow/benchmarks/noc/Large_Designs/MLP/Readme.txt +++ b/vtr_flow/benchmarks/noc/Large_Designs/MLP/Readme.txt @@ -12,7 +12,9 @@ Benchmark Structure: |---.flows - Is the NoC traffic flows file associated with the given benchmark (A benchmark can have multiple traffic flows files) |---verilog - Contains design files needed to generate the netlist file for the benchmark - |---shared_verilog - Contains design files needed by all benchmarks to generate thier netlist files + |---shared_verilog - Contains design files needed by all benchmarks to generate their netlist files + |---blif_files - Contains symbolic links to all .blif files that exist in this directory + |---flow_files - Contains symbolic links to all .flow files that exist in this directory Running the benchmarks: Pre-requisite @@ -20,7 +22,7 @@ Running the benchmarks: - Set 'VTR_ROOT' as environment variable pointing to the location of the VTR source tree - Ensure python version 3.6.9 or higher is installed - Copy over the netlist files from 'https://drive.google.com/drive/folders/135QhmfgUaGnK2ZEfbfEXtdm1BfS7YoG7?usp=sharing'. - The file structure in the previous link is similiar to structure found in '$VTR_ROOT/vtr_flow/benchmarks/noc/Large_Designs/MLP'. + The file structure in the previous link is similar to structure found in '$VTR_ROOT/vtr_flow/benchmarks/noc/Large_Designs/MLP'. Place the netlist files in the appropriate folder locations. Running single instance: @@ -48,7 +50,7 @@ Running the benchmarks: -vpr_executable $VTR_ROOT/build/vpr/vpr --device EP4SE820 -flow_file $VTR_ROOT/vtr_flow/benchmarks/noc/Large_Designs/MLP/MLP_1/mlp_1.flows \ -noc_routing_algorithm xy_routing -number_of_seeds 5 -number_of_threads 1 -route - - The above command will generate an output file in the run directory that contains all the place and route metrics. This is a txt file with a name which matches the + - The above command will generate an output file in the run directory that contains all the place and route metrics. This is a txt file with a name which matches the flows file provided. So for the command shown above the output file is 'mlp_1.txt' Special benchmarks: @@ -64,8 +66,13 @@ Running the benchmarks: of the NoC routers needs to be locked. A - To run a single instance of this benchmark, pass in the following command line parameter and its value to the command shown above: '--fix_clusters $VTR_ROOT/vtr_flow/benchmarks/noc/Large_Designs/MLP/MLP_2_phase_optimization/MLP_2_phase_optimization_step_2/MLP_two_phase_optimization_step_two_constraints.place' - - To run the benchmarkusing the automated script just pass in the following command line parameter and its value to the script command above: + - To run the benchmarking the automated script just pass in the following command line parameter and its value to the script command above: '-fix_clusters $VTR_ROOT/vtr_flow/benchmarks/noc/Large_Designs/MLP/MLP_2_phase_optimization/MLP_2_phase_optimization_step_2/MLP_two_phase_optimization_step_two_constraints.place' + + Running VTR tasks: + - All synthetic benchmarks can be run as VTR tasks. Example tasks are provided in vtr_flow/tasks/noc_qor + - Instructions on how to run VTR tasks to measure QoR for NoC benchmarks in available in VTR Developer Guide. + Expected run time: - These benchmarks are quite large so the maximum expected run time for a single run is a few hours - To speed up the run time with multiple VPR runs the thread count can be increased from 1. Set thread count equal to number seeds for fastest run time. diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/Readme.txt b/vtr_flow/benchmarks/noc/Synthetic_Designs/Readme.txt index 820b160caef..45963d5d84d 100644 --- a/vtr_flow/benchmarks/noc/Synthetic_Designs/Readme.txt +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/Readme.txt @@ -8,7 +8,9 @@ Benchmark Structure: |---.flows - Is the NoC traffic flows file associated with the given benchmark (A benchmark can have multiple traffic flows files) |---verilog - Contains design files needed to generate the netlist file for the benchmark - |---shared_verilog - Contains design files needed by all benchmarks to generate thier netlist files + |---shared_verilog - Contains design files needed by all benchmarks to generate their netlist files + |---blif_files - Contains symbolic links to all .blif files that exist in this directory + |---flow_files - Contains symbolic links to all .flow files that exist in this directory Running the benchmarks: Pre-requisite @@ -42,7 +44,11 @@ Running the benchmarks: -noc_routing_algorithm xy_routing -noc_swap_percentage 40 -number_of_seeds 5 -number_of_threads 1 - The above command will generate an output file in the run directory that contains all the place and route metrics. This is a txt file with a name which matches the - the flows file provided. So for the command shown above the outout file is 'complex_2_noc_1D_chain.txt' + flows file provided. So for the command shown above the output file is 'complex_2_noc_1D_chain.txt' + + Running VTR tasks: + - All synthetic benchmarks can be run as VTR tasks. Example tasks are provided in vtr_flow/tasks/noc_qor + - Instructions on how to run VTR tasks to measure QoR for NoC benchmarks in available in VTR Developer Guide. Expected run time: - These benchmarks are quite small so the maximum expected run time for a single run is ~30 minutes diff --git a/vtr_flow/benchmarks/titan_blif/README.rst b/vtr_flow/benchmarks/titan_blif/README.rst index 54d19d81694..a9ace032b69 100644 --- a/vtr_flow/benchmarks/titan_blif/README.rst +++ b/vtr_flow/benchmarks/titan_blif/README.rst @@ -1,10 +1,10 @@ -The `Titan ` benchmarks are distributed seperately from VTR due to their large size. +The `Titan ` benchmarks are distributed separately from VTR due to their large size. -The Titan repo is located under /home/kmurray/trees/titan on the U of T EECG network. Memebers of Vaughn Betz's research lab have read/write privileges. +The Titan repo is located under /home/kmurray/trees/titan on the U of T EECG network. Members of Vaughn Betz's research lab have read/write privileges. This repo is where the Titan flow is developed and where any changes to it should be made. -In addition to the titan benchmarks, this repo contains scripts that are used ingeneration of the architecture description for Stratix IV. +In addition to the titan benchmarks, this repo contains scripts that are used in generation of the architecture description for Stratix IV. More specifically, they contain scripts that generate memory blocks & complex switch blocks. From 64ff25a395778b9c1dd03f42f5484dcc7cc885ef Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Sun, 21 Jan 2024 18:39:51 -0500 Subject: [PATCH 167/608] fixed pylint errors --- vtr_flow/scripts/download_noc_mlp.py | 53 +++++++++++------------- vtr_flow/scripts/download_titan.py | 3 +- vtr_flow/scripts/python_libs/vtr/task.py | 12 ++++-- 3 files changed, 34 insertions(+), 34 deletions(-) diff --git a/vtr_flow/scripts/download_noc_mlp.py b/vtr_flow/scripts/download_noc_mlp.py index 3660dfd2543..c483da753df 100755 --- a/vtr_flow/scripts/download_noc_mlp.py +++ b/vtr_flow/scripts/download_noc_mlp.py @@ -1,10 +1,15 @@ #!/usr/bin/env python3 + +""" + Module for downloading and extracting NoC MLP benchmarks +""" + import sys import os import argparse +import urllib.request import urllib.parse -import urllib.request, urllib.parse, urllib.error -import urllib.request, urllib.error, urllib.parse +import urllib.error import math import textwrap import tarfile @@ -12,23 +17,19 @@ import shutil import errno - -class DownloadError(Exception): - pass - - -class ChecksumError(Exception): - pass - - class ExtractionError(Exception): - pass + """ + Raised when extracting the downlaoded file fails + """ URL_MIRRORS = {"eecg": "https://www.eecg.utoronto.ca/~vaughn/titan/"} def parse_args(): + """ + Parses command line arguments + """ description = textwrap.dedent( """ Download and extract a MLP NoC benchmarks into a @@ -38,7 +39,8 @@ def parse_args(): does nothing (unless --force is specified). """ ) - parser = argparse.ArgumentParser(formatter_class=argparse.ArgumentDefaultsHelpFormatter) + parser = argparse.ArgumentParser(formatter_class=argparse.ArgumentDefaultsHelpFormatter, + description=description) parser.add_argument( "--vtr_flow_dir", @@ -64,6 +66,9 @@ def parse_args(): def main(): + """ + main() implementation + """ args = parse_args() @@ -83,10 +88,6 @@ def main(): print("Extracting {}".format(tar_gz_filename)) extract_to_vtr_flow_dir(args, tar_gz_filename) - - except DownloadError as e: - print("Failed to download:", e) - sys.exit(1) except ExtractionError as e: print("Failed to extract NoC MLP benchmarks release:", e) sys.exit(3) @@ -124,17 +125,11 @@ def extract_to_vtr_flow_dir(args, tar_gz_filename): benchmarks_dir = os.path.join(args.vtr_flow_dir, "benchmarks") mlp_benchmarks_dir = os.path.join(benchmarks_dir, "noc/Large_Designs/MLP") - if not args.force: # Check that all expected directories exist - expected_dirs = [ - args.vtr_flow_dir, - benchmarks_dir, - mlp_benchmarks_dir, - ] - for dir in expected_dirs: - if not os.path.isdir(dir): - raise ExtractionError("{} should be a directory".format(dir)) + for directory in [args.vtr_flow_dir, benchmarks_dir, mlp_benchmarks_dir]: + if not os.path.isdir(directory): + raise ExtractionError("{} should be a directory".format(directory)) # Create a temporary working directory tmpdir = tempfile.mkdtemp(suffix="download_NoC_MLP", dir= os.path.abspath(".")) @@ -143,13 +138,13 @@ def extract_to_vtr_flow_dir(args, tar_gz_filename): with tarfile.open(tar_gz_filename, "r:gz") as tar: tar.extractall(tmpdir) tmp_source_blif_dir = os.path.join(tmpdir, "MLP_Benchmark_Netlist_Files") - for root, dirs, files in os.walk(tmp_source_blif_dir): + for root, _, files in os.walk(tmp_source_blif_dir): for file in files: source_file = os.path.join(root, file) relative_path = os.path.relpath(source_file, tmp_source_blif_dir) destination_file = os.path.join(mlp_benchmarks_dir, relative_path) os.makedirs(os.path.dirname(destination_file), exist_ok=True) - shutil.copy2(source_file, destination_file) + shutil.copy2(source_file, destination_file) # Create symbolic links to blif files find_and_link_files(mlp_benchmarks_dir, ".blif", "blif_files") @@ -173,7 +168,7 @@ def find_and_link_files(base_path, target_extension, link_folder_name): os.makedirs(link_folder_path, exist_ok=True) # Walk through all subdirectories - for root, dirs, files in os.walk(base_path): + for root, _, files in os.walk(base_path): if root == link_folder_path: continue diff --git a/vtr_flow/scripts/download_titan.py b/vtr_flow/scripts/download_titan.py index 84e37d4d985..cf025dc38ab 100755 --- a/vtr_flow/scripts/download_titan.py +++ b/vtr_flow/scripts/download_titan.py @@ -41,7 +41,8 @@ def parse_args(): does nothing (unless --force is specified). """ ) - parser = argparse.ArgumentParser(formatter_class=argparse.ArgumentDefaultsHelpFormatter) + parser = argparse.ArgumentParser(formatter_class=argparse.ArgumentDefaultsHelpFormatter, + description=description) parser.add_argument( "--titan_version", default="2.0.0", help="Titan release version to download" diff --git a/vtr_flow/scripts/python_libs/vtr/task.py b/vtr_flow/scripts/python_libs/vtr/task.py index 1e547a6f456..8959d836ea9 100644 --- a/vtr_flow/scripts/python_libs/vtr/task.py +++ b/vtr_flow/scripts/python_libs/vtr/task.py @@ -47,7 +47,7 @@ def __init__( pass_requirements_file=None, sdc_dir=None, noc_traffic_list_type="outer_product", - noc_traffic_list_add=[None], + noc_traffic_list_add=None, noc_traffics_dir=None, place_constr_dir=None, qor_parse_file=None, @@ -73,7 +73,7 @@ def __init__( self.pass_requirements_file = pass_requirements_file self.sdc_dir = sdc_dir self.noc_traffic_list_type = noc_traffic_list_type - self.noc_traffics = noc_traffic_list_add + self.noc_traffics = [None] if noc_traffic_list_add is None else noc_traffic_list_add self.noc_traffic_dir = noc_traffics_dir self.place_constr_dir = place_constr_dir self.qor_parse_file = qor_parse_file @@ -480,11 +480,15 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: combinations = list(itertools.product(config.circuits, config.noc_traffics)) elif config.noc_traffic_list_type == "per_circuit": assert len(config.circuits) == len(config.noc_traffics) - combinations = [(circuit, noc_traffic) for circuit, noc_traffic in zip(config.circuits, config.noc_traffics)] + combinations = zip(config.circuits, config.noc_traffics) else: assert False, "Invalid noc_traffic_list_type" - combinations = [(arch, circuit, noc_traffic) for arch in config.archs for circuit, noc_traffic in combinations] + combinations = [ + (arch, circ, traffic_flow) + for arch in config.archs + for circ, traffic_flow in combinations + ] for arch, circuit, noc_traffic in combinations: golden_results = load_parse_results( From 508206c558ae93a07cbfc7dfd963ee3f29f02411 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 22 Jan 2024 14:11:34 -0500 Subject: [PATCH 168/608] Avoid passing place_ctx.block_locs as argument in a function call hierarchy. --- vpr/src/place/noc_place_utils.cpp | 30 +++++++++++++----------------- vpr/src/place/noc_place_utils.h | 12 +++--------- 2 files changed, 16 insertions(+), 26 deletions(-) diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 24745755123..f982329fbf3 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -22,9 +22,6 @@ static std::vector affected_traffic_flows; static bool select_random_router_cluster(ClusterBlockId& b_from, t_pl_loc& from, t_logical_block_type_ptr& cluster_from_type); void initial_noc_routing(void) { - // need to get placement information about where the router cluster blocks are placed on the device - const auto& place_ctx = g_vpr_ctx.placement(); - // need to update the link usages within after routing all the traffic flows // also need to route all the traffic flows and store them auto& noc_ctx = g_vpr_ctx.mutable_noc(); @@ -40,7 +37,7 @@ void initial_noc_routing(void) { const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage->get_single_noc_traffic_flow(traffic_flow_id); // update the traffic flow route based on where the router cluster blocks are placed - std::vector& curr_traffic_flow_route = get_traffic_flow_route(traffic_flow_id, noc_ctx.noc_model, *noc_traffic_flows_storage, *noc_ctx.noc_flows_router, place_ctx.block_locs); + std::vector& curr_traffic_flow_route = get_traffic_flow_route(traffic_flow_id, noc_ctx.noc_model, *noc_traffic_flows_storage, *noc_ctx.noc_flows_router); // update the links used in the found traffic flow route, links' bandwidth should be incremented since the traffic flow is routed update_traffic_flow_link_usage(curr_traffic_flow_route, noc_ctx.noc_model, 1, curr_traffic_flow.traffic_flow_bandwidth); @@ -66,8 +63,6 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) } void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, double& noc_aggregate_bandwidth_delta_c, double& noc_latency_delta_c, const t_noc_opts& noc_opts) { - // provides the positions where the affected blocks have moved to - auto& place_ctx = g_vpr_ctx.placement(); auto& noc_ctx = g_vpr_ctx.mutable_noc(); NocTrafficFlows* noc_traffic_flows_storage = &noc_ctx.noc_traffic_flows_storage; @@ -85,7 +80,7 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move // check if the current moved block is a noc router if (noc_traffic_flows_storage->check_if_cluster_block_has_traffic_flows(blk)) { // current block is a router, so re-route all the traffic flows it is a part of - re_route_associated_traffic_flows(blk, *noc_traffic_flows_storage, noc_ctx.noc_model, *noc_ctx.noc_flows_router, place_ctx.block_locs, updated_traffic_flows); + re_route_associated_traffic_flows(blk, *noc_traffic_flows_storage, noc_ctx.noc_model, *noc_ctx.noc_flows_router, updated_traffic_flows); } } @@ -118,7 +113,10 @@ void commit_noc_costs() { return; } -std::vector& get_traffic_flow_route(NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model, NocTrafficFlows& noc_traffic_flows_storage, NocRouting& noc_flows_router, const vtr::vector_map& placed_cluster_block_locations) { +std::vector& get_traffic_flow_route(NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model, NocTrafficFlows& noc_traffic_flows_storage, NocRouting& noc_flows_router) { + // provides the positions where the affected blocks have moved to + auto& place_ctx = g_vpr_ctx.placement(); + // get the traffic flow with the current id const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); @@ -127,8 +125,8 @@ std::vector& get_traffic_flow_route(NocTrafficFlowId traffic_flow_id, ClusterBlockId logical_sink_router_block_id = curr_traffic_flow.sink_router_cluster_id; // get the ids of the hard router blocks where the logical router cluster blocks have been placed - NocRouterId source_router_block_id = noc_model.get_router_at_grid_location(placed_cluster_block_locations[logical_source_router_block_id].loc); - NocRouterId sink_router_block_id = noc_model.get_router_at_grid_location(placed_cluster_block_locations[logical_sink_router_block_id].loc); + NocRouterId source_router_block_id = noc_model.get_router_at_grid_location(place_ctx.block_locs[logical_source_router_block_id].loc); + NocRouterId sink_router_block_id = noc_model.get_router_at_grid_location(place_ctx.block_locs[logical_sink_router_block_id].loc); // route the current traffic flow std::vector& curr_traffic_flow_route = noc_traffic_flows_storage.get_mutable_traffic_flow_route(traffic_flow_id); @@ -153,7 +151,7 @@ void update_traffic_flow_link_usage(const std::vector& traffic_flow_r return; } -void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router, const vtr::vector_map& placed_cluster_block_locations, std::unordered_set& updated_traffic_flows) { +void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router, std::unordered_set& updated_traffic_flows) { // get all the associated traffic flows for the logical router cluster block const std::vector* assoc_traffic_flows = noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(moved_block_router_id); @@ -164,7 +162,7 @@ void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, Noc // first check to see whether we have already re-routed the current traffic flow and only re-route it if we haven't already. if (updated_traffic_flows.find(traffic_flow_id) == updated_traffic_flows.end()) { // now update the current traffic flow by re-routing it based on the new locations of its src and destination routers - re_route_traffic_flow(traffic_flow_id, noc_traffic_flows_storage, noc_model, noc_flows_router, placed_cluster_block_locations); + re_route_traffic_flow(traffic_flow_id, noc_traffic_flows_storage, noc_model, noc_flows_router); // now make sure we don't update this traffic flow a second time by adding it to the group of updated traffic flows updated_traffic_flows.insert(traffic_flow_id); @@ -179,8 +177,6 @@ void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, Noc } void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affected) { - // provides the positions where the affected blocks have moved to - auto& place_ctx = g_vpr_ctx.placement(); auto& noc_ctx = g_vpr_ctx.mutable_noc(); NocTrafficFlows* noc_traffic_flows_storage = &noc_ctx.noc_traffic_flows_storage; @@ -207,7 +203,7 @@ void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affect // first check to see whether we have already reverted the current traffic flow and only revert it if we haven't already. if (reverted_traffic_flows.find(traffic_flow_id) == reverted_traffic_flows.end()) { // Revert the traffic flow route by re-routing it - re_route_traffic_flow(traffic_flow_id, *noc_traffic_flows_storage, noc_ctx.noc_model, *noc_ctx.noc_flows_router, place_ctx.block_locs); + re_route_traffic_flow(traffic_flow_id, *noc_traffic_flows_storage, noc_ctx.noc_model, *noc_ctx.noc_flows_router); // make sure we do not revert this traffic flow again reverted_traffic_flows.insert(traffic_flow_id); @@ -220,7 +216,7 @@ void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affect return; } -void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router, const vtr::vector_map& placed_cluster_block_locations) { +void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router) { // get the current traffic flow info const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); @@ -233,7 +229,7 @@ void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, NocTrafficFlows& no update_traffic_flow_link_usage(curr_traffic_flow_route, noc_model, -1, curr_traffic_flow.traffic_flow_bandwidth); // now get the re-routed traffic flow route and increment all the link usages with this reverted route - std::vector& re_routed_traffic_flow_route = get_traffic_flow_route(traffic_flow_id, noc_model, noc_traffic_flows_storage, noc_flows_router, placed_cluster_block_locations); + std::vector& re_routed_traffic_flow_route = get_traffic_flow_route(traffic_flow_id, noc_model, noc_traffic_flows_storage, noc_flows_router); update_traffic_flow_link_usage(re_routed_traffic_flow_route, noc_model, 1, curr_traffic_flow.traffic_flow_bandwidth); return; diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index 5dbaed43f8f..a8e27654081 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -139,11 +139,9 @@ void commit_noc_costs(); * within the NoC. Used to get the current traffic flow information. * @param noc_flows_router The packet routing algorithm used to route traffic * flows within the NoC. - * @param placed_cluster_block_locations A datastructure that identifies the - * placed grid locations of all cluster blocks. * @return std::vector& The found route for the traffic flow. */ -std::vector& get_traffic_flow_route(NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model, NocTrafficFlows& noc_traffic_flows_storage, NocRouting& noc_flows_router, const vtr::vector_map& placed_cluster_block_locations); +std::vector& get_traffic_flow_route(NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model, NocTrafficFlows& noc_traffic_flows_storage, NocRouting& noc_flows_router); /** * @brief Updates the bandwidth usages of links found in a routed traffic flow. @@ -188,12 +186,10 @@ void update_traffic_flow_link_usage(const std::vector& traffic_flow_r * to route traffic flows within the NoC. * @param noc_flows_router The packet routing algorithm used to route traffic * flows within the NoC. - * @param placed_cluster_block_locations A datastructure that identifies the - * placed grid locations of all cluster blocks. * @param updated_traffic_flows Keeps track of traffic flows that have been * re-routed. Used to prevent re-routing the same traffic flow multiple times. */ -void re_route_associated_traffic_flows(ClusterBlockId moved_router_block_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router, const vtr::vector_map& placed_cluster_block_locations, std::unordered_set& updated_traffic_flows); +void re_route_associated_traffic_flows(ClusterBlockId moved_router_block_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router, std::unordered_set& updated_traffic_flows); /** * @brief Used to re-route all the traffic flows associated to logical @@ -223,10 +219,8 @@ void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affect * to route traffic flows within the NoC. * @param noc_flows_router The packet routing algorithm used to route traffic * flows within the NoC. - * @param placed_cluster_block_locations A datastructure that identifies the - * placed grid locations of all cluster blocks. */ -void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router, const vtr::vector_map& placed_cluster_block_locations); +void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router); /** * @brief Recompute the NoC costs (aggregate bandwidth and latency) by From 0bb3ffc8587dd4acc887a3e1521f2ceb27683c02 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 22 Jan 2024 14:20:52 -0500 Subject: [PATCH 169/608] Add bandwidth and congestion to NoCLink --- vpr/src/noc/noc_link.cpp | 34 +++++++++++++++++++++++++++++----- vpr/src/noc/noc_link.h | 11 ++++++++++- vpr/src/noc/noc_storage.cpp | 3 ++- vpr/src/noc/noc_storage.h | 4 ++-- 4 files changed, 43 insertions(+), 9 deletions(-) diff --git a/vpr/src/noc/noc_link.cpp b/vpr/src/noc/noc_link.cpp index de15d5a4a6d..855473799aa 100644 --- a/vpr/src/noc/noc_link.cpp +++ b/vpr/src/noc/noc_link.cpp @@ -1,12 +1,11 @@ #include "noc_link.h" // constructor -NocLink::NocLink(NocRouterId source, NocRouterId sink) +NocLink::NocLink(NocRouterId source, NocRouterId sink, double bw) : source_router(source) - , sink_router(sink) { - // initialize variables - bandwidth_usage = 0.0; -} + , sink_router(sink) + , bandwidth_usage(0.0) + , bandwidth(bw) { } // getters NocRouterId NocLink::get_source_router(void) const { @@ -34,4 +33,29 @@ void NocLink::set_sink_router(NocRouterId sink) { void NocLink::set_bandwidth_usage(double new_bandwidth_usage) { bandwidth_usage = new_bandwidth_usage; +} + +void NocLink::set_bandwidth(double new_bandwidth) { + bandwidth = new_bandwidth; + return; +} + +double NocLink::get_bandwidth() const { + return bandwidth; +} + +double NocLink::get_congested_bandwidth() const { + double congested_bandwidth = bandwidth_usage - bandwidth; + congested_bandwidth = std::max(congested_bandwidth, 0.0); + + VTR_ASSERT(congested_bandwidth >= 0.0); + return congested_bandwidth; +} + +double NocLink::get_congested_bandwidth_ratio() const { + double congested_bw = get_congested_bandwidth(); + double congested_bw_ratio = congested_bw / get_bandwidth(); + + VTR_ASSERT(congested_bw_ratio >= 0.0); + return congested_bw_ratio; } \ No newline at end of file diff --git a/vpr/src/noc/noc_link.h b/vpr/src/noc/noc_link.h index dee19cc676b..3e7314d21ed 100644 --- a/vpr/src/noc/noc_link.h +++ b/vpr/src/noc/noc_link.h @@ -48,9 +48,10 @@ class NocLink { NocRouterId sink_router; /*!< The router which uses this link as an incoming edge*/ double bandwidth_usage; /*!< Represents the bandwidth of the data being transmitted on the link. Units in bits-per-second(bps)*/ + double bandwidth; /*!< Represents the maximum bits per second that can be transmitted over the link without causing congestion*/ public: - NocLink(NocRouterId source_router, NocRouterId sink_router); + NocLink(NocRouterId source_router, NocRouterId sink_router, double bw); // getters @@ -97,6 +98,14 @@ class NocLink { * @param new_bandwidth_usage The new value of the bandwidth of the link */ void set_bandwidth_usage(double new_bandwidth_usage); + + void set_bandwidth(double new_bandwidth); + + double get_bandwidth() const; + + double get_congested_bandwidth() const; + + double get_congested_bandwidth_ratio() const; }; #endif \ No newline at end of file diff --git a/vpr/src/noc/noc_storage.cpp b/vpr/src/noc/noc_storage.cpp index 70c92878f82..d4c717b3971 100644 --- a/vpr/src/noc/noc_storage.cpp +++ b/vpr/src/noc/noc_storage.cpp @@ -100,7 +100,8 @@ void NocStorage::add_router(int id, int grid_position_x, int grid_posistion_y, i void NocStorage::add_link(NocRouterId source, NocRouterId sink) { VTR_ASSERT_MSG(!built_noc, "NoC already built, cannot modify further."); - link_storage.emplace_back(source, sink); + double link_bandwidth = get_noc_link_bandwidth(); + link_storage.emplace_back(source, sink, link_bandwidth); // the newly added link was added to the back of the list, so we can get the id as the last element in the list NocLinkId added_link_id((int)link_storage.size() - 1); diff --git a/vpr/src/noc/noc_storage.h b/vpr/src/noc/noc_storage.h index f35f0121eb2..0749def9de6 100644 --- a/vpr/src/noc/noc_storage.h +++ b/vpr/src/noc/noc_storage.h @@ -24,13 +24,13 @@ * * Link * ---- - * A link is a component of the NoC ans is defined by the + * A link is a component of the NoC and is defined by the * NocLink class. Links are connections between two routers. * Links are used by routers to communicate with other routers * in the NoC. They can be thought of as edges in a graph. Links * have a source router where they exit from and sink router where * they enter. It is important to note that the links are not - * unidirectional, the legal way to traverse a link is from the + * bi-directional, the legal way to traverse a link is from the * source router of the link to the sink router. * */ From 60a740b034eca8bb8598dd50679b8a1865c0ad26 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 22 Jan 2024 14:22:45 -0500 Subject: [PATCH 170/608] Replaced pointers to g_vpr_ctx.noc().noc_traffic_flows_storage with reference. --- vpr/src/place/noc_place_utils.cpp | 54 ++++++++++++++++--------------- 1 file changed, 28 insertions(+), 26 deletions(-) diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index f982329fbf3..59e10ebaac1 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -26,18 +26,18 @@ void initial_noc_routing(void) { // also need to route all the traffic flows and store them auto& noc_ctx = g_vpr_ctx.mutable_noc(); - NocTrafficFlows* noc_traffic_flows_storage = &noc_ctx.noc_traffic_flows_storage; + NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; /* We need all the traffic flow ids to be able to access them. The range * of traffic flow ids go from 0 to the total number of traffic flows within * the NoC. * go through all the traffic flows and route them. Then once routed, update the links used in the routed traffic flows with their usages */ - for (const auto& traffic_flow_id : noc_traffic_flows_storage->get_all_traffic_flow_id()) { - const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage->get_single_noc_traffic_flow(traffic_flow_id); + for (const auto& traffic_flow_id : noc_traffic_flows_storage.get_all_traffic_flow_id()) { + const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // update the traffic flow route based on where the router cluster blocks are placed - std::vector& curr_traffic_flow_route = get_traffic_flow_route(traffic_flow_id, noc_ctx.noc_model, *noc_traffic_flows_storage, *noc_ctx.noc_flows_router); + std::vector& curr_traffic_flow_route = get_traffic_flow_route(traffic_flow_id, noc_ctx.noc_model, noc_traffic_flows_storage, *noc_ctx.noc_flows_router); // update the links used in the found traffic flow route, links' bandwidth should be incremented since the traffic flow is routed update_traffic_flow_link_usage(curr_traffic_flow_route, noc_ctx.noc_model, 1, curr_traffic_flow.traffic_flow_bandwidth); @@ -63,9 +63,11 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) } void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, double& noc_aggregate_bandwidth_delta_c, double& noc_latency_delta_c, const t_noc_opts& noc_opts) { + VTR_ASSERT_SAFE(noc_aggregate_bandwidth_delta_c == 0.); + VTR_ASSERT_SAFE(noc_latency_delta_c == 0.); auto& noc_ctx = g_vpr_ctx.mutable_noc(); - NocTrafficFlows* noc_traffic_flows_storage = &noc_ctx.noc_traffic_flows_storage; + NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; // keeps track of traffic flows that have been re-routed // This is useful for cases where two moved routers were part of the same traffic flow and prevents us from re-routing the same flow twice. @@ -78,19 +80,19 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move ClusterBlockId blk = blocks_affected.moved_blocks[iblk].block_num; // check if the current moved block is a noc router - if (noc_traffic_flows_storage->check_if_cluster_block_has_traffic_flows(blk)) { + if (noc_traffic_flows_storage.check_if_cluster_block_has_traffic_flows(blk)) { // current block is a router, so re-route all the traffic flows it is a part of - re_route_associated_traffic_flows(blk, *noc_traffic_flows_storage, noc_ctx.noc_model, *noc_ctx.noc_flows_router, updated_traffic_flows); + re_route_associated_traffic_flows(blk, noc_traffic_flows_storage, noc_ctx.noc_model, *noc_ctx.noc_flows_router, updated_traffic_flows); } } // go through all the affected traffic flows and calculate their new costs after being re-routed, then determine the change in cost before the traffic flows were modified for (auto& traffic_flow_id : affected_traffic_flows) { // get the traffic flow route - const std::vector& traffic_flow_route = noc_traffic_flows_storage->get_traffic_flow_route(traffic_flow_id); + const std::vector& traffic_flow_route = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); // get the current traffic flow info - const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage->get_single_noc_traffic_flow(traffic_flow_id); + const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth = calculate_traffic_flow_aggregate_bandwidth_cost(traffic_flow_route, curr_traffic_flow); proposed_traffic_flow_costs[traffic_flow_id].latency = calculate_traffic_flow_latency_cost(traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow, noc_opts); @@ -179,7 +181,7 @@ void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, Noc void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affected) { auto& noc_ctx = g_vpr_ctx.mutable_noc(); - NocTrafficFlows* noc_traffic_flows_storage = &noc_ctx.noc_traffic_flows_storage; + NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; // keeps track of traffic flows that have been reverted // This is useful for cases where two moved routers were part of the same traffic flow and prevents us from re-routing the same flow twice. @@ -190,11 +192,11 @@ void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affect ClusterBlockId blk = blocks_affected.moved_blocks[iblk].block_num; // check if the current moved block is a noc router - if (noc_traffic_flows_storage->check_if_cluster_block_has_traffic_flows(blk)) { + if (noc_traffic_flows_storage.check_if_cluster_block_has_traffic_flows(blk)) { // current block is a router, so re-route all the traffic flows it is a part of // // get all the associated traffic flows for the logical router cluster block - const std::vector* assoc_traffic_flows = noc_traffic_flows_storage->get_traffic_flows_associated_to_router_block(blk); + const std::vector* assoc_traffic_flows = noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(blk); // now check if there are any associated traffic flows if (assoc_traffic_flows->size() != 0) { @@ -203,7 +205,7 @@ void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affect // first check to see whether we have already reverted the current traffic flow and only revert it if we haven't already. if (reverted_traffic_flows.find(traffic_flow_id) == reverted_traffic_flows.end()) { // Revert the traffic flow route by re-routing it - re_route_traffic_flow(traffic_flow_id, *noc_traffic_flows_storage, noc_ctx.noc_model, *noc_ctx.noc_flows_router); + re_route_traffic_flow(traffic_flow_id, noc_traffic_flows_storage, noc_ctx.noc_model, *noc_ctx.noc_flows_router); // make sure we do not revert this traffic flow again reverted_traffic_flows.insert(traffic_flow_id); @@ -267,15 +269,15 @@ double comp_noc_aggregate_bandwidth_cost(void) { // used to get traffic flow route information auto& noc_ctx = g_vpr_ctx.mutable_noc(); // datastructure that stores all the traffic flow routes - const NocTrafficFlows* noc_traffic_flows_storage = &noc_ctx.noc_traffic_flows_storage; + const NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; double noc_aggregate_bandwidth_cost = 0.; // now go through each traffic flow route and calculate its // aggregate bandwidth. Then store this in local data structures and accumulate it. for (const auto& traffic_flow_id : g_vpr_ctx.noc().noc_traffic_flows_storage.get_all_traffic_flow_id()) { - const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage->get_single_noc_traffic_flow(traffic_flow_id); - const std::vector& curr_traffic_flow_route = noc_traffic_flows_storage->get_traffic_flow_route(traffic_flow_id); + const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); + const std::vector& curr_traffic_flow_route = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); double curr_traffic_flow_aggregate_bandwidth_cost = calculate_traffic_flow_aggregate_bandwidth_cost(curr_traffic_flow_route, curr_traffic_flow); @@ -293,15 +295,15 @@ double comp_noc_latency_cost(const t_noc_opts& noc_opts) { // used to get traffic flow route information auto& noc_ctx = g_vpr_ctx.mutable_noc(); // datastructure that stores all the traffic flow routes - const NocTrafficFlows* noc_traffic_flows_storage = &noc_ctx.noc_traffic_flows_storage; + const NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; double noc_latency_cost = 0.; // now go through each traffic flow route and calculate its // latency. Then store this in local data structures and accumulate it. for (const auto& traffic_flow_id : noc_ctx.noc_traffic_flows_storage.get_all_traffic_flow_id()) { - const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage->get_single_noc_traffic_flow(traffic_flow_id); - const std::vector& curr_traffic_flow_route = noc_traffic_flows_storage->get_traffic_flow_route(traffic_flow_id); + const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); + const std::vector& curr_traffic_flow_route = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); double curr_traffic_flow_latency_cost = calculate_traffic_flow_latency_cost(curr_traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow, noc_opts); @@ -326,7 +328,7 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc auto& noc_ctx = g_vpr_ctx.noc(); const NocStorage* noc_model = &noc_ctx.noc_model; - const NocTrafficFlows* noc_traffic_flows_storage = &noc_ctx.noc_traffic_flows_storage; + const NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; // need to create a temporary noc routing algorithm NocRoutingAlgorithmCreator routing_algorithm_factory; @@ -336,9 +338,9 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc std::vector temp_found_noc_route; // go through all the traffic flows and find a route for them based on where the routers are placed within the NoC - for (const auto& traffic_flow_id : noc_traffic_flows_storage->get_all_traffic_flow_id()) { + for (const auto& traffic_flow_id : noc_traffic_flows_storage.get_all_traffic_flow_id()) { // get the traffic flow with the current id - const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage->get_single_noc_traffic_flow(traffic_flow_id); + const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // get the source and destination logical router blocks in the current traffic flow ClusterBlockId logical_source_router_block_id = curr_traffic_flow.source_router_cluster_id; @@ -417,14 +419,14 @@ int get_number_of_traffic_flows_with_latency_cons_met(void) { // used to get traffic flow route information auto& noc_ctx = g_vpr_ctx.mutable_noc(); // datastructure that stores all the traffic flow routes - const NocTrafficFlows* noc_traffic_flows_storage = &noc_ctx.noc_traffic_flows_storage; + const NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; int count_of_achieved_latency_cons = 0; // now go through each traffic flow route and check if its latency constraint was met - for (const auto& traffic_flow_id : noc_traffic_flows_storage->get_all_traffic_flow_id()) { - const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage->get_single_noc_traffic_flow(traffic_flow_id); - const std::vector& curr_traffic_flow_route = noc_traffic_flows_storage->get_traffic_flow_route(traffic_flow_id); + for (const auto& traffic_flow_id : noc_traffic_flows_storage.get_all_traffic_flow_id()) { + const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); + const std::vector& curr_traffic_flow_route = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); // there will always be one more router than links in a traffic flow int num_of_links_in_traffic_flow = curr_traffic_flow_route.size(); From 1519e60fcc8d0c5b4a594ea90b59ec9c1f6c0fe3 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 22 Jan 2024 14:59:13 -0500 Subject: [PATCH 171/608] compute NoC congestion cost difference for router swap --- vpr/src/base/vpr_types.h | 1 + vpr/src/noc/noc_link.cpp | 13 +++- vpr/src/noc/noc_link.h | 8 ++- vpr/src/noc/noc_storage.cpp | 9 ++- vpr/src/place/initial_noc_placement.cpp | 4 +- vpr/src/place/noc_place_utils.cpp | 96 +++++++++++++++++++++++-- vpr/src/place/noc_place_utils.h | 9 ++- vpr/src/place/place.cpp | 4 +- vpr/src/place/place_util.h | 2 + vpr/test/test_noc_place_utils.cpp | 3 +- 10 files changed, 135 insertions(+), 14 deletions(-) diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index d2f86c0af47..2965dc30856 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -1498,6 +1498,7 @@ struct t_noc_opts { double noc_placement_weighting; ///= 0.0); return congested_bw_ratio; +} + +NocLinkId NocLink::get_link_id() const { + return id; +} + +NocLink::operator NocLinkId() const { + return get_link_id(); } \ No newline at end of file diff --git a/vpr/src/noc/noc_link.h b/vpr/src/noc/noc_link.h index 3e7314d21ed..244fe7b6959 100644 --- a/vpr/src/noc/noc_link.h +++ b/vpr/src/noc/noc_link.h @@ -43,6 +43,8 @@ class NocLink { private: + NocLinkId id; + // the two routers that are connected by this link NocRouterId source_router; /*!< The router which uses this link as an outgoing edge*/ NocRouterId sink_router; /*!< The router which uses this link as an incoming edge*/ @@ -51,7 +53,7 @@ class NocLink { double bandwidth; /*!< Represents the maximum bits per second that can be transmitted over the link without causing congestion*/ public: - NocLink(NocRouterId source_router, NocRouterId sink_router, double bw); + NocLink(NocLinkId link_id, NocRouterId source_router, NocRouterId sink_router, double bw); // getters @@ -106,6 +108,10 @@ class NocLink { double get_congested_bandwidth() const; double get_congested_bandwidth_ratio() const; + + NocLinkId get_link_id() const; + + operator NocLinkId() const; }; #endif \ No newline at end of file diff --git a/vpr/src/noc/noc_storage.cpp b/vpr/src/noc/noc_storage.cpp index d4c717b3971..0bf1a80cf78 100644 --- a/vpr/src/noc/noc_storage.cpp +++ b/vpr/src/noc/noc_storage.cpp @@ -100,11 +100,14 @@ void NocStorage::add_router(int id, int grid_position_x, int grid_posistion_y, i void NocStorage::add_link(NocRouterId source, NocRouterId sink) { VTR_ASSERT_MSG(!built_noc, "NoC already built, cannot modify further."); + + // the new link will be added to the back of the list, + // so we can use the total number of links added so far as id + NocLinkId added_link_id((int)link_storage.size()); + double link_bandwidth = get_noc_link_bandwidth(); - link_storage.emplace_back(source, sink, link_bandwidth); + link_storage.emplace_back(added_link_id, source, sink, link_bandwidth); - // the newly added link was added to the back of the list, so we can get the id as the last element in the list - NocLinkId added_link_id((int)link_storage.size() - 1); router_link_list[source].push_back(added_link_id); return; diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 55d3c6296d1..67351fcdb91 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -160,6 +160,7 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { // Initialize NoC-related costs costs.noc_aggregate_bandwidth_cost = comp_noc_aggregate_bandwidth_cost(); costs.noc_latency_cost = comp_noc_latency_cost(noc_opts); + costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); update_noc_normalization_factors(costs); costs.cost = calculate_noc_cost(costs, noc_opts); @@ -213,7 +214,8 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { double noc_aggregate_bandwidth_delta_c = 0.0; double noc_latency_delta_c = 0.0; - find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_aggregate_bandwidth_delta_c, noc_latency_delta_c, noc_opts); + double noc_congestion_delta_c = 0.0; + find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_aggregate_bandwidth_delta_c, noc_latency_delta_c, noc_congestion_delta_c, noc_opts); double delta_cost = (noc_opts.noc_placement_weighting) * (noc_latency_delta_c * costs.noc_latency_cost_norm + noc_aggregate_bandwidth_delta_c * costs.noc_aggregate_bandwidth_cost_norm); double prob = starting_prob - i_move * prob_step; diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 59e10ebaac1..941e7514fc1 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -7,6 +7,10 @@ static vtr::vector traffic_flow_costs, p /* Keeps track of traffic flows that have been updated at each attempted placement move*/ static std::vector affected_traffic_flows; + +static vtr::vector link_congestion_costs, proposed_link_congestion_costs; + +static std::unordered_set affected_noc_links; /*********************************************************** *****************************/ /** @@ -21,6 +25,8 @@ static std::vector affected_traffic_flows; */ static bool select_random_router_cluster(ClusterBlockId& b_from, t_pl_loc& from, t_logical_block_type_ptr& cluster_from_type); +static std::vector find_affected_links_by_flow_reroute(std::vector& prev_links, std::vector& curr_links); + void initial_noc_routing(void) { // need to update the link usages within after routing all the traffic flows // also need to route all the traffic flows and store them @@ -60,11 +66,13 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) // Initialize traffic_flow_costs costs.noc_aggregate_bandwidth_cost = comp_noc_aggregate_bandwidth_cost(); costs.noc_latency_cost = comp_noc_latency_cost(noc_opts); + costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); } -void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, double& noc_aggregate_bandwidth_delta_c, double& noc_latency_delta_c, const t_noc_opts& noc_opts) { +void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, double& noc_aggregate_bandwidth_delta_c, double& noc_latency_delta_c, double& noc_congestion_delta_c, const t_noc_opts& noc_opts) { VTR_ASSERT_SAFE(noc_aggregate_bandwidth_delta_c == 0.); VTR_ASSERT_SAFE(noc_latency_delta_c == 0.); + VTR_ASSERT_SAFE(noc_congestion_delta_c == 0.); auto& noc_ctx = g_vpr_ctx.mutable_noc(); NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; @@ -74,6 +82,7 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move std::unordered_set updated_traffic_flows; affected_traffic_flows.clear(); + affected_noc_links.clear(); // go through the moved blocks and process them only if they are NoC routers for (int iblk = 0; iblk < blocks_affected.num_moved_blocks; ++iblk) { @@ -100,6 +109,12 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move noc_aggregate_bandwidth_delta_c += proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth - traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; noc_latency_delta_c += proposed_traffic_flow_costs[traffic_flow_id].latency - traffic_flow_costs[traffic_flow_id].latency; } + + for (const auto& link_id : affected_noc_links) { + const auto& link = noc_ctx.noc_model.get_single_noc_link(link_id); + proposed_link_congestion_costs[link] = calculate_link_congestion_cost(link, noc_opts); + noc_congestion_delta_c += proposed_link_congestion_costs[link] - link_congestion_costs[link]; + } } void commit_noc_costs() { @@ -157,18 +172,34 @@ void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, Noc // get all the associated traffic flows for the logical router cluster block const std::vector* assoc_traffic_flows = noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(moved_block_router_id); +// std::unordered_set prev_route_links, curr_route_links; + // now check if there are any associated traffic flows if (assoc_traffic_flows != nullptr) { // There are traffic flows associated to the current router block so process them for (auto& traffic_flow_id : *assoc_traffic_flows) { // first check to see whether we have already re-routed the current traffic flow and only re-route it if we haven't already. if (updated_traffic_flows.find(traffic_flow_id) == updated_traffic_flows.end()) { + // get all links for this flow route before it is rerouted + // The returned const std::vector& is copied so that we can modify (sort) it + std::vector prev_traffic_flow_links = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); + // now update the current traffic flow by re-routing it based on the new locations of its src and destination routers re_route_traffic_flow(traffic_flow_id, noc_traffic_flows_storage, noc_model, noc_flows_router); // now make sure we don't update this traffic flow a second time by adding it to the group of updated traffic flows updated_traffic_flows.insert(traffic_flow_id); + // get all links for this flow route after it is rerouted + std::vector curr_traffic_flow_links = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); + + // find links that appear in the old route or the new one, but not both of them + // these are the links whose bandwidth utilization is affected by rerouting + auto unique_links = find_affected_links_by_flow_reroute(prev_traffic_flow_links, curr_traffic_flow_links); + + // update the static data structure to remember which links were affected by router swap + affected_noc_links.insert(unique_links.begin(), unique_links.end()); + // update global datastructures to indicate that the current traffic flow was affected due to router cluster blocks being swapped affected_traffic_flows.push_back(traffic_flow_id); } @@ -256,6 +287,13 @@ void update_noc_normalization_factors(t_placer_costs& costs) { costs.noc_aggregate_bandwidth_cost_norm = std::min(1 / costs.noc_aggregate_bandwidth_cost, MAX_INV_NOC_AGGREGATE_BANDWIDTH_COST); costs.noc_latency_cost_norm = std::min(1 / costs.noc_latency_cost, MAX_INV_NOC_LATENCY_COST); + // to avoid division by zero + if (costs.noc_congestion_cost > 0.0) { + costs.noc_congestion_cost_norm = std::min(1 / costs.noc_congestion_cost, MAX_INV_NOC_CONGESTION_COST); + } else { + costs.noc_congestion_cost_norm = MAX_INV_NOC_CONGESTION_COST; + } + return; } @@ -267,7 +305,7 @@ double calculate_noc_cost(const t_placer_costs& costs, const t_noc_opts& noc_opt double comp_noc_aggregate_bandwidth_cost(void) { // used to get traffic flow route information - auto& noc_ctx = g_vpr_ctx.mutable_noc(); + auto& noc_ctx = g_vpr_ctx.noc(); // datastructure that stores all the traffic flow routes const NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; @@ -293,7 +331,7 @@ double comp_noc_aggregate_bandwidth_cost(void) { double comp_noc_latency_cost(const t_noc_opts& noc_opts) { // used to get traffic flow route information - auto& noc_ctx = g_vpr_ctx.mutable_noc(); + auto& noc_ctx = g_vpr_ctx.noc(); // datastructure that stores all the traffic flow routes const NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; @@ -310,13 +348,33 @@ double comp_noc_latency_cost(const t_noc_opts& noc_opts) { // store the calculated latency for the current traffic flow in local datastructures (this also initializes them) traffic_flow_costs[traffic_flow_id].latency = curr_traffic_flow_latency_cost; - // accumulate the aggregate bandwidth cost + // accumulate the latency cost noc_latency_cost += curr_traffic_flow_latency_cost; } return noc_latency_cost; } +double comp_noc_congestion_cost(const t_noc_opts& noc_opts) { + // Used to access NoC links + auto& noc_ctx = g_vpr_ctx.noc(); + + double congestion_cost = 0.; + + // Iterate over all NoC links + for (const auto& link : noc_ctx.noc_model.get_noc_links()) { + double link_congestion_cost = calculate_link_congestion_cost(link, noc_opts); + + // store the congestion cost for this link in static data structures (this also initializes them) + link_congestion_costs[link] = link_congestion_cost; + + // accumulate the congestion cost + congestion_cost += link_congestion_cost; + } + + return congestion_cost; +} + int check_noc_placement_costs(const t_placer_costs& costs, double error_tolerance, const t_noc_opts& noc_opts) { int error = 0; double noc_aggregate_bandwidth_cost_check = 0.; @@ -415,6 +473,15 @@ double calculate_traffic_flow_latency_cost(const std::vector& traffic return (single_traffic_flow_latency_cost * traffic_flow_info.traffic_flow_priority); } +double calculate_link_congestion_cost(const NocLink& link, const t_noc_opts& noc_opts) { + double congested_bw_ratio, congestion_cost; + + congested_bw_ratio = link.get_congested_bandwidth_ratio(); + congestion_cost = noc_opts.noc_congestion_weighting * congested_bw_ratio; + + return congestion_cost; +} + int get_number_of_traffic_flows_with_latency_cons_met(void) { // used to get traffic flow route information auto& noc_ctx = g_vpr_ctx.mutable_noc(); @@ -606,4 +673,25 @@ void write_noc_placement_file(const std::string& file_name) { noc_placement_file.close(); return; +} + +static std::vector find_affected_links_by_flow_reroute(std::vector& prev_links, std::vector& curr_links) { + // Sort both link containers + std::sort(prev_links.begin(), prev_links.end()); + std::sort(curr_links.begin(), curr_links.end()); + + // stores links that appear either in prev_links or curr_links but not both of them + std::vector unique_links; + + // find links that are unique to prev_links + std::set_difference(prev_links.begin(), prev_links.end(), + curr_links.begin(), curr_links.end(), + std::back_inserter(unique_links)); + + // find links that are unique to curr_links + std::set_difference(curr_links.begin(), curr_links.end(), + prev_links.begin(), prev_links.end(), + std::back_inserter(unique_links)); + + return unique_links; } \ No newline at end of file diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index a8e27654081..c3eda74ff0f 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -19,6 +19,9 @@ constexpr double MAX_INV_NOC_AGGREGATE_BANDWIDTH_COST = 1.; // we expect the latency costs to be in the pico-second range, and we don't expect it to go lower than that. So if the latency costs go below the pico-second range we trim the normalization value to be no higher than 1/ps // This should be updated if the delays become lower constexpr double MAX_INV_NOC_LATENCY_COST = 1.e12; +// the congestion cost for a link is measured as the proportion of the overloaded BW to the link capacity +// We assume that when a link congested, it is overloaded with at least 0.1% of its BW capacity +constexpr double MAX_INV_NOC_CONGESTION_COST = 1.e3; // we don't expect the noc_latency cost to ever go below 1 pico second. // So this value represents the lowest possible latency cost. @@ -100,7 +103,7 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) * NoC latency cost caused by a placer move is stored * here. */ -void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, double& noc_aggregate_bandwidth_delta_c, double& noc_latency_delta_c, const t_noc_opts& noc_opts); +void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, double& noc_aggregate_bandwidth_delta_c, double& noc_latency_delta_c, double& noc_congestion_delta_c, const t_noc_opts& noc_opts); /** * @brief Updates static datastructures found in 'noc_place_utils.cpp' @@ -302,6 +305,8 @@ double comp_noc_aggregate_bandwidth_cost(void); */ double comp_noc_latency_cost(const t_noc_opts& noc_opts); +double comp_noc_congestion_cost(const t_noc_opts& noc_opts); + /** * @brief Given a placement state the NoC costs are re-computed * from scratch and compared to the current NoC placement costs. @@ -369,6 +374,8 @@ double calculate_traffic_flow_aggregate_bandwidth_cost(const std::vector& traffic_flow_route, const NocStorage& noc_model, const t_noc_traffic_flow& traffic_flow_info, const t_noc_opts& noc_opts); +double calculate_link_congestion_cost(const NocLink& link, const t_noc_opts& noc_opts); + /** * @brief Goes through all the traffic flows and determines whether the * latency constraints have been met for each traffic flow. diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 203d5d6cac8..ef865e06bb1 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -810,6 +810,7 @@ void try_place(const Netlist<>& net_list, // get the costs associated with the NoC costs.noc_aggregate_bandwidth_cost = comp_noc_aggregate_bandwidth_cost(); costs.noc_latency_cost = comp_noc_latency_cost(noc_opts); + costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); // initialize all the noc normalization factors update_noc_normalization_factors(costs); @@ -1755,9 +1756,10 @@ static e_move_result try_swap(const t_annealing_state* state, double noc_aggregate_bandwidth_delta_c = 0; // change in the NoC aggregate bandwidth cost double noc_latency_delta_c = 0; // change in the NoC latency cost + double noc_congestion_delta_c = 0.; /* Update the NoC datastructure and costs*/ if (noc_opts.noc) { - find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_aggregate_bandwidth_delta_c, noc_latency_delta_c, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_aggregate_bandwidth_delta_c, noc_latency_delta_c, noc_congestion_delta_c, noc_opts); // Include the NoC delta costs in the total cost change for this swap delta_c = delta_c + noc_placement_weighting * (noc_latency_delta_c * costs->noc_latency_cost_norm + noc_aggregate_bandwidth_delta_c * costs->noc_aggregate_bandwidth_cost_norm); diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index cc903cf4f71..23a1fedcf15 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -55,6 +55,8 @@ class t_placer_costs { double noc_aggregate_bandwidth_cost_norm = 0.; double noc_latency_cost = 0.; double noc_latency_cost_norm = 0.; + double noc_congestion_cost = 0.; + double noc_congestion_cost_norm = 0.; public: //Constructor t_placer_costs(t_place_algorithm algo) diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index c6ba3f89c10..d75f83da088 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -774,9 +774,10 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ double delta_aggr_band_cost = 0.; double delta_laten_cost = 0.; + double delta_conngest_cost = 0.; // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, delta_conngest_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions test_noc_bandwidth_costs += delta_aggr_band_cost; From 79b1391a2dfce52d7f4897f1439b61fae2c959ab Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 22 Jan 2024 15:32:22 -0500 Subject: [PATCH 172/608] fix syntax errors in NoC tests --- vpr/src/noc/noc_storage.cpp | 13 +++++++++++++ vpr/src/noc/noc_storage.h | 13 +++++++++++++ vpr/test/test_noc_place_utils.cpp | 9 ++++++--- vpr/test/test_noc_storage.cpp | 8 +++++++- vpr/test/test_xy_routing.cpp | 24 ++++++++++++++++++------ 5 files changed, 57 insertions(+), 10 deletions(-) diff --git a/vpr/src/noc/noc_storage.cpp b/vpr/src/noc/noc_storage.cpp index 0bf1a80cf78..af22454765d 100644 --- a/vpr/src/noc/noc_storage.cpp +++ b/vpr/src/noc/noc_storage.cpp @@ -56,6 +56,19 @@ const NocLink& NocStorage::get_single_noc_link(NocLinkId id) const { return link_storage[id]; } +NocLinkId NocStorage::get_single_noc_link_id(NocRouterId src_router, NocRouterId dst_router) const { + NocLinkId link_id = NocLinkId::INVALID(); + + for (const auto& link : link_storage) { + if (link.get_source_router() == src_router && link.get_sink_router() == dst_router) { + link_id = link.get_link_id(); + break; + } + } + + return link_id; +} + NocLink& NocStorage::get_single_mutable_noc_link(NocLinkId id) { return link_storage[id]; } diff --git a/vpr/src/noc/noc_storage.h b/vpr/src/noc/noc_storage.h index 0749def9de6..4870ea34be3 100644 --- a/vpr/src/noc/noc_storage.h +++ b/vpr/src/noc/noc_storage.h @@ -269,6 +269,19 @@ class NocStorage { */ const NocLink& get_single_noc_link(NocLinkId id) const; + /** + * @brief Given source and sink router identifiers, this function + * finds a link connecting these routers and returns it identifier. + * If such a link does not exist, an invalid id is returned. + * + * @param src_router The unique router identifier for the source router. + * @param dst_router The unique router identifier for the destination router. + * @return A link identifier (NocLinkId) that connects the source router + * to the destination router. NocLinkId::INVALID() is such a link is not + * found. + */ + NocLinkId get_single_noc_link_id(NocRouterId src_router, NocRouterId dst_router) const; + /** * @brief Given a unique link identifier, get the corresponding link * within the NoC. The link can be modified, so the intended use diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index d75f83da088..ec520d21c8a 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -906,9 +906,10 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ double delta_aggr_band_cost = 0.; double delta_laten_cost = 0.; + double delta_cong_cost = 0.; // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, delta_cong_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions test_noc_bandwidth_costs += delta_aggr_band_cost; @@ -998,9 +999,10 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // reset the delta costs delta_aggr_band_cost = 0.; delta_laten_cost = 0.; + delta_cong_cost = 0.; // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, delta_cong_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions test_noc_bandwidth_costs += delta_aggr_band_cost; @@ -1062,9 +1064,10 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // reset the delta costs delta_aggr_band_cost = 0.; delta_laten_cost = 0.; + delta_cong_cost = 0.; // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, delta_cong_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions test_noc_bandwidth_costs += delta_aggr_band_cost; diff --git a/vpr/test/test_noc_storage.cpp b/vpr/test/test_noc_storage.cpp index 1cdc57550ad..a1255d31930 100644 --- a/vpr/test/test_noc_storage.cpp +++ b/vpr/test/test_noc_storage.cpp @@ -155,6 +155,8 @@ TEST_CASE("test_add_link", "[vpr_noc]") { // allocate the size for outgoing link vector for each router test_noc.make_room_for_noc_router_link_list(); + // incremental counter used as NocLinkId + int noc_link_id_counter = 0; for (int source_router_id = 0; source_router_id < NUM_OF_ROUTERS; source_router_id++) { source = (NocRouterId)source_router_id; @@ -164,8 +166,12 @@ TEST_CASE("test_add_link", "[vpr_noc]") { // makes sure we do not create a link for a router who acts as a sink and source if (source_router_id != sink_router_id) { + // converting the counter to link index + link_id = (NocLinkId)noc_link_id_counter; + noc_link_id_counter++; + // add link to the golden reference - golden_set.emplace_back(source, sink); + golden_set.emplace_back(link_id, source, sink, 0.0); // add the link to the NoC test_noc.add_link(source, sink); diff --git a/vpr/test/test_xy_routing.cpp b/vpr/test/test_xy_routing.cpp index 67517271f43..49b58662ca2 100644 --- a/vpr/test/test_xy_routing.cpp +++ b/vpr/test/test_xy_routing.cpp @@ -109,7 +109,9 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { std::vector golden_path; for (int current_router = 7; current_router != 4; current_router--) { - golden_path.emplace_back(NocLink(NocRouterId(current_router), NocRouterId(current_router - 1))); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_router), NocRouterId(current_router - 1)); + const auto& link = noc_model.get_single_noc_link(link_id); + golden_path.push_back(link); } // store the route found by the algorithm @@ -131,7 +133,9 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { std::vector golden_path; for (int current_row = 0; current_row < 3; current_row++) { - golden_path.emplace_back(NocLink(NocRouterId(current_row * 4 + 2), NocRouterId((current_row + 1) * 4 + 2))); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_row * 4 + 2), NocRouterId((current_row + 1) * 4 + 2)); + const auto& link = noc_model.get_single_noc_link(link_id); + golden_path.push_back(link); } // store the route found by the algorithm @@ -154,12 +158,16 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { // generate the horizontal path first for (int current_router = 3; current_router != 0; current_router--) { - golden_path.emplace_back(NocLink(NocRouterId(current_router), NocRouterId(current_router - 1))); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_router), NocRouterId(current_router - 1)); + const auto& link = noc_model.get_single_noc_link(link_id); + golden_path.push_back(link); } // generate the vertical path next for (int current_row = 0; current_row < 3; current_row++) { - golden_path.emplace_back(NocLink(NocRouterId(current_row * 4), NocRouterId((current_row + 1) * 4))); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_row * 4), NocRouterId((current_row + 1) * 4)); + const auto& link = noc_model.get_single_noc_link(link_id); + golden_path.push_back(link); } // store the route found by the algorithm @@ -185,12 +193,16 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { // generate the horizontal path first for (int current_router = 12; current_router != 15; current_router++) { - golden_path.emplace_back(NocLink(NocRouterId(current_router), NocRouterId(current_router + 1))); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_router), NocRouterId(current_router + 1)); + const auto& link = noc_model.get_single_noc_link(link_id); + golden_path.push_back(link); } // generate the vertical path next for (int current_row = 3; current_row > 0; current_row--) { - golden_path.emplace_back(NocLink(NocRouterId(current_row * 4 + 3), NocRouterId((current_row - 1) * 4 + 3))); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_row * 4 + 3), NocRouterId((current_row - 1) * 4 + 3)); + const auto& link = noc_model.get_single_noc_link(link_id); + golden_path.push_back(link); } // store the route found by the algorithm From 774670ae96dfd40751459a7a2c030e99e6b15e36 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 22 Jan 2024 16:11:55 -0500 Subject: [PATCH 173/608] Use NocDeltaCost instead of passing 3 arguments --- vpr/src/place/initial_noc_placement.cpp | 12 ++++---- vpr/src/place/noc_place_utils.cpp | 14 ++++----- vpr/src/place/noc_place_utils.h | 8 ++++- vpr/src/place/place.cpp | 13 ++++---- vpr/test/test_noc_place_utils.cpp | 40 ++++++++++--------------- 5 files changed, 41 insertions(+), 46 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 67351fcdb91..705a3139a4b 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -212,11 +212,9 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { if (create_move_outcome != e_create_move::ABORT) { apply_move_blocks(blocks_affected); - double noc_aggregate_bandwidth_delta_c = 0.0; - double noc_latency_delta_c = 0.0; - double noc_congestion_delta_c = 0.0; - find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_aggregate_bandwidth_delta_c, noc_latency_delta_c, noc_congestion_delta_c, noc_opts); - double delta_cost = (noc_opts.noc_placement_weighting) * (noc_latency_delta_c * costs.noc_latency_cost_norm + noc_aggregate_bandwidth_delta_c * costs.noc_aggregate_bandwidth_cost_norm); + NocDeltaCost noc_delta_c {0.0, 0.0, 0.0}; + find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_delta_c, noc_opts); + double delta_cost = (noc_opts.noc_placement_weighting) * (noc_delta_c.latency_delta_c * costs.noc_latency_cost_norm + noc_delta_c.aggregate_bandwidth_delta_c * costs.noc_aggregate_bandwidth_cost_norm); double prob = starting_prob - i_move * prob_step; bool move_accepted = accept_noc_swap(delta_cost, prob); @@ -225,8 +223,8 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { costs.cost += delta_cost; commit_move_blocks(blocks_affected); commit_noc_costs(); - costs.noc_aggregate_bandwidth_cost += noc_aggregate_bandwidth_delta_c; - costs.noc_latency_cost += noc_latency_delta_c; + costs.noc_aggregate_bandwidth_cost += noc_delta_c.aggregate_bandwidth_delta_c; + costs.noc_latency_cost += noc_delta_c.latency_delta_c; if (costs.cost < checkpoint.get_cost() || !checkpoint.is_valid()) { checkpoint.save_checkpoint(costs.cost); } diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 941e7514fc1..6595a82cfb9 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -69,10 +69,10 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); } -void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, double& noc_aggregate_bandwidth_delta_c, double& noc_latency_delta_c, double& noc_congestion_delta_c, const t_noc_opts& noc_opts) { - VTR_ASSERT_SAFE(noc_aggregate_bandwidth_delta_c == 0.); - VTR_ASSERT_SAFE(noc_latency_delta_c == 0.); - VTR_ASSERT_SAFE(noc_congestion_delta_c == 0.); +void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, NocDeltaCost& delta_c, const t_noc_opts& noc_opts) { + VTR_ASSERT_SAFE(delta_c.aggregate_bandwidth_delta_c == 0.); + VTR_ASSERT_SAFE(delta_c.latency_delta_c == 0.); + VTR_ASSERT_SAFE(delta_c.congestion_delta_c == 0.); auto& noc_ctx = g_vpr_ctx.mutable_noc(); NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; @@ -106,14 +106,14 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth = calculate_traffic_flow_aggregate_bandwidth_cost(traffic_flow_route, curr_traffic_flow); proposed_traffic_flow_costs[traffic_flow_id].latency = calculate_traffic_flow_latency_cost(traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow, noc_opts); - noc_aggregate_bandwidth_delta_c += proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth - traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; - noc_latency_delta_c += proposed_traffic_flow_costs[traffic_flow_id].latency - traffic_flow_costs[traffic_flow_id].latency; + delta_c.aggregate_bandwidth_delta_c += proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth - traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; + delta_c.latency_delta_c += proposed_traffic_flow_costs[traffic_flow_id].latency - traffic_flow_costs[traffic_flow_id].latency; } for (const auto& link_id : affected_noc_links) { const auto& link = noc_ctx.noc_model.get_single_noc_link(link_id); proposed_link_congestion_costs[link] = calculate_link_congestion_cost(link, noc_opts); - noc_congestion_delta_c += proposed_link_congestion_costs[link] - link_congestion_costs[link]; + delta_c.congestion_delta_c += proposed_link_congestion_costs[link] - link_congestion_costs[link]; } } diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index c3eda74ff0f..2d81a4fa344 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -39,6 +39,12 @@ struct TrafficFlowPlaceCost { double latency = -1; }; +struct NocDeltaCost { + double aggregate_bandwidth_delta_c = 0.0; + double latency_delta_c = 0.0; + double congestion_delta_c = 0.0; +}; + /** * @brief Routes all the traffic flows within the NoC and updates the link usage * for all links. This should be called after initial placement, where all the @@ -103,7 +109,7 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) * NoC latency cost caused by a placer move is stored * here. */ -void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, double& noc_aggregate_bandwidth_delta_c, double& noc_latency_delta_c, double& noc_congestion_delta_c, const t_noc_opts& noc_opts); +void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, NocDeltaCost& delta_c, const t_noc_opts& noc_opts); /** * @brief Updates static datastructures found in 'noc_place_utils.cpp' diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index ef865e06bb1..dbd8b8d714b 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -1754,15 +1754,14 @@ static e_move_result try_swap(const t_annealing_state* state, delta_c = bb_delta_c * costs->bb_cost_norm; } - double noc_aggregate_bandwidth_delta_c = 0; // change in the NoC aggregate bandwidth cost - double noc_latency_delta_c = 0; // change in the NoC latency cost - double noc_congestion_delta_c = 0.; + + NocDeltaCost noc_delta_c {0.0, 0.0, 0.0}; // change in NoC cost /* Update the NoC datastructure and costs*/ if (noc_opts.noc) { - find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_aggregate_bandwidth_delta_c, noc_latency_delta_c, noc_congestion_delta_c, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_delta_c, noc_opts); // Include the NoC delta costs in the total cost change for this swap - delta_c = delta_c + noc_placement_weighting * (noc_latency_delta_c * costs->noc_latency_cost_norm + noc_aggregate_bandwidth_delta_c * costs->noc_aggregate_bandwidth_cost_norm); + delta_c = delta_c + noc_placement_weighting * (noc_delta_c.latency_delta_c * costs->noc_latency_cost_norm + noc_delta_c.aggregate_bandwidth_delta_c * costs->noc_aggregate_bandwidth_cost_norm); } /* 1 -> move accepted, 0 -> rejected. */ @@ -1815,8 +1814,8 @@ static e_move_result try_swap(const t_annealing_state* state, if (noc_opts.noc) { commit_noc_costs(); - costs->noc_aggregate_bandwidth_cost += noc_aggregate_bandwidth_delta_c; - costs->noc_latency_cost += noc_latency_delta_c; + costs->noc_aggregate_bandwidth_cost += noc_delta_c.aggregate_bandwidth_delta_c; + costs->noc_latency_cost += noc_delta_c.latency_delta_c; } //Highlights the new block when manual move is selected. diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index ec520d21c8a..7a3d90eb1d9 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -772,16 +772,14 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ } } - double delta_aggr_band_cost = 0.; - double delta_laten_cost = 0.; - double delta_conngest_cost = 0.; + NocDeltaCost delta_cost {0.0, 0.0, 0.0}; // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, delta_conngest_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions - test_noc_bandwidth_costs += delta_aggr_band_cost; - test_noc_latency_costs += delta_laten_cost; + test_noc_bandwidth_costs += delta_cost.aggregate_bandwidth_delta_c; + test_noc_latency_costs += delta_cost.latency_delta_c; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -904,16 +902,14 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; } - double delta_aggr_band_cost = 0.; - double delta_laten_cost = 0.; - double delta_cong_cost = 0.; + NocDeltaCost delta_cost {0.0, 0.0, 0.0}; // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, delta_cong_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions - test_noc_bandwidth_costs += delta_aggr_band_cost; - test_noc_latency_costs += delta_laten_cost; + test_noc_bandwidth_costs += delta_cost.aggregate_bandwidth_delta_c; + test_noc_latency_costs += delta_cost.latency_delta_c; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -997,16 +993,14 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ } // reset the delta costs - delta_aggr_band_cost = 0.; - delta_laten_cost = 0.; - delta_cong_cost = 0.; + delta_cost = NocDeltaCost {0.0, 0.0, 0.0}; // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, delta_cong_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions - test_noc_bandwidth_costs += delta_aggr_band_cost; - test_noc_latency_costs += delta_laten_cost; + test_noc_bandwidth_costs += delta_cost.aggregate_bandwidth_delta_c; + test_noc_latency_costs += delta_cost.latency_delta_c; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -1062,16 +1056,14 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // we don't have to calculate the costs or update bandwidths because the swapped router blocks do not have any associated traffic flows // // reset the delta costs - delta_aggr_band_cost = 0.; - delta_laten_cost = 0.; - delta_cong_cost = 0.; + delta_cost = NocDeltaCost {0.0, 0.0, 0.0}; // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_aggr_band_cost, delta_laten_cost, delta_cong_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions - test_noc_bandwidth_costs += delta_aggr_band_cost; - test_noc_latency_costs += delta_laten_cost; + test_noc_bandwidth_costs += delta_cost.aggregate_bandwidth_delta_c; + test_noc_latency_costs += delta_cost.latency_delta_c; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); From c7e3cb6bf2ce3860e54886c76a73e52bbcbdba93 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 22 Jan 2024 16:40:57 -0500 Subject: [PATCH 174/608] Add operator+=() to t_placer_costs. I moved NocDeltaCost declaration from noc_place_utils.h to place_util.h to resolve a cyclic dependency. Forward declaration of NocDeltaCost and t_placer_costs did not solve the problem as the compiler complained about GridTileLookup. --- vpr/src/place/initial_noc_placement.cpp | 3 +-- vpr/src/place/noc_place_utils.h | 6 ------ vpr/src/place/place.cpp | 4 +--- vpr/src/place/place_util.cpp | 13 +++++++++++++ vpr/src/place/place_util.h | 18 ++++++++++++++++++ 5 files changed, 33 insertions(+), 11 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 705a3139a4b..1ee212eb473 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -223,8 +223,7 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { costs.cost += delta_cost; commit_move_blocks(blocks_affected); commit_noc_costs(); - costs.noc_aggregate_bandwidth_cost += noc_delta_c.aggregate_bandwidth_delta_c; - costs.noc_latency_cost += noc_delta_c.latency_delta_c; + costs += noc_delta_c; if (costs.cost < checkpoint.get_cost() || !checkpoint.is_valid()) { checkpoint.save_checkpoint(costs.cost); } diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index 2d81a4fa344..eae3619730f 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -39,12 +39,6 @@ struct TrafficFlowPlaceCost { double latency = -1; }; -struct NocDeltaCost { - double aggregate_bandwidth_delta_c = 0.0; - double latency_delta_c = 0.0; - double congestion_delta_c = 0.0; -}; - /** * @brief Routes all the traffic flows within the NoC and updates the link usage * for all links. This should be called after initial placement, where all the diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index dbd8b8d714b..15ab24e2eb4 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -1813,9 +1813,7 @@ static e_move_result try_swap(const t_annealing_state* state, } if (noc_opts.noc) { commit_noc_costs(); - - costs->noc_aggregate_bandwidth_cost += noc_delta_c.aggregate_bandwidth_delta_c; - costs->noc_latency_cost += noc_delta_c.latency_delta_c; + *costs += noc_delta_c; } //Highlights the new block when manual move is selected. diff --git a/vpr/src/place/place_util.cpp b/vpr/src/place/place_util.cpp index 75ff2d2bf12..8c30cbaf681 100644 --- a/vpr/src/place/place_util.cpp +++ b/vpr/src/place/place_util.cpp @@ -73,6 +73,19 @@ void t_placer_costs::update_norm_factors() { } } +/** + * @brief Accumulates NoC cost difference terms + * + * @param noc_delta_cost NoC cost difference if the swap is accepted + */ +t_placer_costs& t_placer_costs::operator+=(const NocDeltaCost& noc_delta_cost) { + noc_aggregate_bandwidth_cost += noc_delta_cost.aggregate_bandwidth_delta_c; + noc_latency_cost += noc_delta_cost.latency_delta_c; + noc_congestion_cost += noc_delta_cost.congestion_delta_c; + + return *this; +} + ///@brief Constructor: Initialize all annealing state variables and macros. t_annealing_state::t_annealing_state(const t_annealing_sched& annealing_sched, float first_t, diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index 23a1fedcf15..30820e44ae8 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -12,6 +12,12 @@ #include "vtr_vector_map.h" #include "globals.h" +struct NocDeltaCost { + double aggregate_bandwidth_delta_c = 0.0; + double latency_delta_c = 0.0; + double congestion_delta_c = 0.0; +}; + /** * @brief Data structure that stores different cost values in the placer. * @@ -34,6 +40,17 @@ * @param timing_cost_norm The normalization factor for the timing cost, which * is upper-bounded by the value of MAX_INV_TIMING_COST. * + * @param noc_aggregate_bandwidth_cost The aggregate NoC bandwidth cost + * @param noc_aggregate_bandwidth_cost_norm The normalization factor for + * the aggregate bandwidth cost + * @param noc_latency_cost The NoC latency cost, + * calculated as the sum of latencies experienced by each traffic flow + * @param noc_latency_cost_norm The normalization factor for the latency cost + * @param noc_congestion_cost The NoC congestion cost, i.e. how over-utilized + * NoC links are + * @param noc_congestion_cost_norm The normalization factor for the NoC + * congestion cost + * * @param MAX_INV_TIMING_COST Stops inverse timing cost from going to infinity * with very lax timing constraints, which avoids multiplying by a * gigantic timing_cost_norm when auto-normalizing. The exact value @@ -65,6 +82,7 @@ class t_placer_costs { public: //Mutator void update_norm_factors(); + t_placer_costs& operator+=(const NocDeltaCost& noc_delta_cost); private: double MAX_INV_TIMING_COST = 1.e12; From 8fcdda55be28ca2fa650556eacae68805ad536d6 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 22 Jan 2024 19:02:34 -0500 Subject: [PATCH 175/608] Updated commit_noc_costs(), allocate_and_load_noc_placement_structs(), and free_noc_placement_structs() for NoC congestion costs --- vpr/src/place/noc_place_utils.cpp | 43 ++++++++++++++++++++++++++++--- vpr/src/place/noc_place_utils.h | 6 +++-- 2 files changed, 43 insertions(+), 6 deletions(-) diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 6595a82cfb9..67626a1d0c9 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -53,6 +53,7 @@ void initial_noc_routing(void) { } void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) { + // used to access NoC links and modify them auto& noc_ctx = g_vpr_ctx.mutable_noc(); // Zero out bandwidth usage for all links @@ -103,28 +104,53 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move // get the current traffic flow info const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); + // calculate the new aggregate bandwidth and latency costs for the affected traffic flow + // store them in case the proposed swap is reverted proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth = calculate_traffic_flow_aggregate_bandwidth_cost(traffic_flow_route, curr_traffic_flow); proposed_traffic_flow_costs[traffic_flow_id].latency = calculate_traffic_flow_latency_cost(traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow, noc_opts); + // compute how much the aggregate bandwidth and latency costs change with this swap delta_c.aggregate_bandwidth_delta_c += proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth - traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; delta_c.latency_delta_c += proposed_traffic_flow_costs[traffic_flow_id].latency - traffic_flow_costs[traffic_flow_id].latency; } + // Iterate over all affected links and calculate their new congestion cost and store it in case the swap is reverted for (const auto& link_id : affected_noc_links) { + // get the affected link const auto& link = noc_ctx.noc_model.get_single_noc_link(link_id); + + // calculate the new congestion cost for the link and store it for possible reversion proposed_link_congestion_costs[link] = calculate_link_congestion_cost(link, noc_opts); + + // compute how much the congestion cost changes with this swap delta_c.congestion_delta_c += proposed_link_congestion_costs[link] - link_congestion_costs[link]; } } void commit_noc_costs() { + // used to access NoC links + auto& noc_ctx = g_vpr_ctx.mutable_noc(); + + // Iterate over all the traffic flows affected by the proposed router swap for (auto& traffic_flow_id : affected_traffic_flows) { // update the traffic flow costs traffic_flow_costs[traffic_flow_id] = proposed_traffic_flow_costs[traffic_flow_id]; // reset the proposed traffic flows costs - proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth = -1; - proposed_traffic_flow_costs[traffic_flow_id].latency = -1; + proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth = INVALID_NOC_COST_TERM; + proposed_traffic_flow_costs[traffic_flow_id].latency = INVALID_NOC_COST_TERM; + } + + // Iterate over all the NoC links whose bandwidth utilization was affected by the proposed move + for(auto link_id : affected_noc_links) { + // get the affected link + const auto& link = noc_ctx.noc_model.get_single_noc_link(link_id); + + // commit the new link congestion cost + link_congestion_costs[link] = proposed_link_congestion_costs[link]; + + // invalidate the proposed link congestion flow costs + proposed_link_congestion_costs[link] = INVALID_NOC_COST_TERM; } return; @@ -521,8 +547,13 @@ void allocate_and_load_noc_placement_structs(void) { int number_of_traffic_flows = noc_ctx.noc_traffic_flows_storage.get_number_of_traffic_flows(); - traffic_flow_costs.resize(number_of_traffic_flows); - proposed_traffic_flow_costs.resize(number_of_traffic_flows); + traffic_flow_costs.resize(number_of_traffic_flows, {INVALID_NOC_COST_TERM, INVALID_NOC_COST_TERM}); + proposed_traffic_flow_costs.resize(number_of_traffic_flows, {INVALID_NOC_COST_TERM, INVALID_NOC_COST_TERM}); + + int number_of_noc_links = noc_ctx.noc_model.get_number_of_noc_links(); + + link_congestion_costs.resize(number_of_noc_links, INVALID_NOC_COST_TERM); + proposed_link_congestion_costs.resize(number_of_noc_links, INVALID_NOC_COST_TERM); return; } @@ -532,6 +563,10 @@ void free_noc_placement_structs(void) { vtr::release_memory(proposed_traffic_flow_costs); vtr::release_memory(affected_traffic_flows); + vtr::release_memory(link_congestion_costs); + vtr::release_memory(proposed_link_congestion_costs); + vtr::release_memory(affected_noc_links); + return; } diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index eae3619730f..a29c5040144 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -27,6 +27,8 @@ constexpr double MAX_INV_NOC_CONGESTION_COST = 1.e3; // So this value represents the lowest possible latency cost. constexpr double MIN_EXPECTED_NOC_LATENCY_COST = 1.e-12; +constexpr double INVALID_NOC_COST_TERM = -1.0; + /** * @brief Each traffic flow cost consists of two components: * 1) traffic flow aggregate bandwidth (sum over all used links of the traffic flow bandwidth) @@ -35,8 +37,8 @@ constexpr double MIN_EXPECTED_NOC_LATENCY_COST = 1.e-12; * traffic flow cost. */ struct TrafficFlowPlaceCost { - double aggregate_bandwidth = -1; - double latency = -1; + double aggregate_bandwidth = INVALID_NOC_COST_TERM; + double latency = INVALID_NOC_COST_TERM; }; /** From 844b9d29b363ed32daae4e7097b76600c0837c01 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 22 Jan 2024 19:29:54 -0500 Subject: [PATCH 176/608] applied pr comments --- README.developers.md | 2 +- vtr_flow/benchmarks/noc/Large_Designs/MLP/Readme.txt | 1 + vtr_flow/benchmarks/noc/Synthetic_Designs/Readme.txt | 1 + vtr_flow/scripts/python_libs/vtr/task.py | 5 +++++ vtr_flow/tasks/noc_qor/MLP/config/config.txt | 5 ++++- .../tasks/noc_qor/large_complex_synthetic/config/config.txt | 5 ++++- .../tasks/noc_qor/large_simple_synthetic/config/config.txt | 5 ++++- .../tasks/noc_qor/small_complex_synthetic/config/config.txt | 5 ++++- .../tasks/noc_qor/small_simple_synthetic/config/config.txt | 5 ++++- 9 files changed, 28 insertions(+), 6 deletions(-) diff --git a/README.developers.md b/README.developers.md index 917954a6610..411c8653d90 100644 --- a/README.developers.md +++ b/README.developers.md @@ -395,7 +395,7 @@ Implementation Quality Metrics: | crit_path_routed_wirelength | The routed wirelength at the relaxed channel width | Medium | | NoC_agg_bandwidth\** | The total link bandwidth utilized by all traffic flows | Low | | NoC_latency\** | The total time of traffic flow data transfer (summed over all traffic flows) | Low | -| NoC_latency_constraints_cost\** | The total number of traffic flow latency constraints | Low | +| NoC_latency_constraints_cost\** | Total number of traffic flows that meet their latency constraints | Low | \* By default, VPR attempts to find the minimum routable channel width; it then performs routing at a relaxed (e.g. 1.3x minimum) channel width. At minimum channel width routing congestion can distort the true timing/wirelength characteristics. Combined with the fact that most FPGA architectures are built with an abundance of routing, post-routing metrics are usually only evaluated at the relaxed channel width. diff --git a/vtr_flow/benchmarks/noc/Large_Designs/MLP/Readme.txt b/vtr_flow/benchmarks/noc/Large_Designs/MLP/Readme.txt index b9ec2f3f1c9..ba8002b85ec 100644 --- a/vtr_flow/benchmarks/noc/Large_Designs/MLP/Readme.txt +++ b/vtr_flow/benchmarks/noc/Large_Designs/MLP/Readme.txt @@ -72,6 +72,7 @@ Running the benchmarks: Running VTR tasks: - All synthetic benchmarks can be run as VTR tasks. Example tasks are provided in vtr_flow/tasks/noc_qor - Instructions on how to run VTR tasks to measure QoR for NoC benchmarks in available in VTR Developer Guide. + - Link to VTR Developer Guide: https://docs.verilogtorouting.org/en/latest/README.developers/#example-noc-benchmarks-qor-measurements Expected run time: - These benchmarks are quite large so the maximum expected run time for a single run is a few hours diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/Readme.txt b/vtr_flow/benchmarks/noc/Synthetic_Designs/Readme.txt index 45963d5d84d..69e11b8cd07 100644 --- a/vtr_flow/benchmarks/noc/Synthetic_Designs/Readme.txt +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/Readme.txt @@ -49,6 +49,7 @@ Running the benchmarks: Running VTR tasks: - All synthetic benchmarks can be run as VTR tasks. Example tasks are provided in vtr_flow/tasks/noc_qor - Instructions on how to run VTR tasks to measure QoR for NoC benchmarks in available in VTR Developer Guide. + - Link to VTR Developer Guide: https://docs.verilogtorouting.org/en/latest/README.developers/#example-noc-benchmarks-qor-measurements Expected run time: - These benchmarks are quite small so the maximum expected run time for a single run is ~30 minutes diff --git a/vtr_flow/scripts/python_libs/vtr/task.py b/vtr_flow/scripts/python_libs/vtr/task.py index 8959d836ea9..c382501e6bd 100644 --- a/vtr_flow/scripts/python_libs/vtr/task.py +++ b/vtr_flow/scripts/python_libs/vtr/task.py @@ -476,6 +476,11 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: """ jobs = [] for config in configs: + # A task usually runs the CAD flow for a cartesian product of circuits and architectures. + # NoC traffic flow files might need to be specified per circuit. If this is the case, + # circuits and traffic flow files are paired. Otherwise, a cartesian product is performed + # between circuits and traffic flow files. In both cases, the result is cartesian multiplied + # with given architectures. if config.noc_traffic_list_type == "outer_product": combinations = list(itertools.product(config.circuits, config.noc_traffics)) elif config.noc_traffic_list_type == "per_circuit": diff --git a/vtr_flow/tasks/noc_qor/MLP/config/config.txt b/vtr_flow/tasks/noc_qor/MLP/config/config.txt index 7561fa0e3c5..90240033db7 100644 --- a/vtr_flow/tasks/noc_qor/MLP/config/config.txt +++ b/vtr_flow/tasks/noc_qor/MLP/config/config.txt @@ -11,7 +11,10 @@ archs_dir=arch/noc/mesh_noc_topology # Path to directory of NoC Traffic Patterns to use noc_traffics_dir=benchmarks/noc/Large_Designs/MLP/traffic_flow_files/ -# Traffic flow files are added per circuit +# Traffic flow files are added per circuit. +# By default, the task performs a cartesian product between circuits and traffic flow files. +# Since each circuit is compatible with specific traffic flow files, we need to specify +# traffic flow files for each circuit separately. noc_traffic_list_type=per_circuit # Add circuits to list to sweep diff --git a/vtr_flow/tasks/noc_qor/large_complex_synthetic/config/config.txt b/vtr_flow/tasks/noc_qor/large_complex_synthetic/config/config.txt index 55e2261866c..cc574139a0f 100644 --- a/vtr_flow/tasks/noc_qor/large_complex_synthetic/config/config.txt +++ b/vtr_flow/tasks/noc_qor/large_complex_synthetic/config/config.txt @@ -11,7 +11,10 @@ archs_dir=arch/noc/mesh_noc_topology # Path to directory of NoC Traffic Patterns to use noc_traffics_dir=benchmarks/noc/Synthetic_Designs/traffic_flow_files/ -# Traffic flow files are added per circuit +# Traffic flow files are added per circuit. +# By default, the task performs a cartesian product between circuits and traffic flow files. +# Since each circuit is compatible with specific traffic flow files, we need to specify +# traffic flow files for each circuit separately. noc_traffic_list_type=per_circuit # Add circuits and traffic flows to list to sweep diff --git a/vtr_flow/tasks/noc_qor/large_simple_synthetic/config/config.txt b/vtr_flow/tasks/noc_qor/large_simple_synthetic/config/config.txt index beee0c0912f..5fb6b5234d8 100644 --- a/vtr_flow/tasks/noc_qor/large_simple_synthetic/config/config.txt +++ b/vtr_flow/tasks/noc_qor/large_simple_synthetic/config/config.txt @@ -11,7 +11,10 @@ archs_dir=arch/noc/mesh_noc_topology # Path to directory of NoC Traffic Patterns to use noc_traffics_dir=benchmarks/noc/Synthetic_Designs/traffic_flow_files/ -# Traffic flow files are added per circuit +# Traffic flow files are added per circuit. +# By default, the task performs a cartesian product between circuits and traffic flow files. +# Since each circuit is compatible with specific traffic flow files, we need to specify +# traffic flow files for each circuit separately. noc_traffic_list_type=per_circuit # Add circuits and traffic flows to list to sweep diff --git a/vtr_flow/tasks/noc_qor/small_complex_synthetic/config/config.txt b/vtr_flow/tasks/noc_qor/small_complex_synthetic/config/config.txt index b1365a0a27d..2eb7fb508f9 100644 --- a/vtr_flow/tasks/noc_qor/small_complex_synthetic/config/config.txt +++ b/vtr_flow/tasks/noc_qor/small_complex_synthetic/config/config.txt @@ -11,7 +11,10 @@ archs_dir=arch/noc/mesh_noc_topology # Path to directory of NoC Traffic Patterns to use noc_traffics_dir=benchmarks/noc/Synthetic_Designs/traffic_flow_files/ -# Traffic flow files are added per circuit +# Traffic flow files are added per circuit. +# By default, the task performs a cartesian product between circuits and traffic flow files. +# Since each circuit is compatible with specific traffic flow files, we need to specify +# traffic flow files for each circuit separately. noc_traffic_list_type=per_circuit # Add circuits and traffic flows to list to sweep diff --git a/vtr_flow/tasks/noc_qor/small_simple_synthetic/config/config.txt b/vtr_flow/tasks/noc_qor/small_simple_synthetic/config/config.txt index b2cc899fbf1..d1f70e6f28a 100644 --- a/vtr_flow/tasks/noc_qor/small_simple_synthetic/config/config.txt +++ b/vtr_flow/tasks/noc_qor/small_simple_synthetic/config/config.txt @@ -11,7 +11,10 @@ archs_dir=arch/noc/mesh_noc_topology # Path to directory of NoC Traffic Patterns to use noc_traffics_dir=benchmarks/noc/Synthetic_Designs/traffic_flow_files/ -# Traffic flow files are added per circuit +# Traffic flow files are added per circuit. +# By default, the task performs a cartesian product between circuits and traffic flow files. +# Since each circuit is compatible with specific traffic flow files, we need to specify +# traffic flow files for each circuit separately. noc_traffic_list_type=per_circuit # Add circuits and traffic flows to list to sweep From 394602967689fae57634baf7a2c3722883b0cc23 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 23 Jan 2024 14:49:16 -0500 Subject: [PATCH 177/608] Modified noc_place_utils.cpp to compute congestion cost --- vpr/src/noc/noc_routing_algorithm_creator.cpp | 2 +- vpr/src/noc/noc_routing_algorithm_creator.h | 2 +- vpr/src/place/initial_noc_placement.cpp | 4 +- vpr/src/place/noc_place_utils.cpp | 104 +++++++++++------- vpr/src/place/noc_place_utils.h | 12 +- vpr/src/place/place.cpp | 27 +++-- vpr/src/place/place_util.cpp | 8 +- vpr/src/place/place_util.h | 10 +- vpr/test/test_noc_place_utils.cpp | 45 ++++---- 9 files changed, 124 insertions(+), 90 deletions(-) diff --git a/vpr/src/noc/noc_routing_algorithm_creator.cpp b/vpr/src/noc/noc_routing_algorithm_creator.cpp index 0252f1fefca..65afcdc0a90 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.cpp +++ b/vpr/src/noc/noc_routing_algorithm_creator.cpp @@ -2,7 +2,7 @@ #include "noc_routing_algorithm_creator.h" #include "vpr_error.h" -NocRouting* NocRoutingAlgorithmCreator::create_routing_algorithm(std::string routing_algorithm_name) { +NocRouting* NocRoutingAlgorithmCreator::create_routing_algorithm(const std::string& routing_algorithm_name) { NocRouting* noc_routing_algorithm = nullptr; if (routing_algorithm_name == "xy_routing") { diff --git a/vpr/src/noc/noc_routing_algorithm_creator.h b/vpr/src/noc/noc_routing_algorithm_creator.h index bca7b98abdc..b05d9f57981 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.h +++ b/vpr/src/noc/noc_routing_algorithm_creator.h @@ -39,7 +39,7 @@ class NocRoutingAlgorithmCreator { * NoC routing algorithm * @return NocRouting* A reference to the created NoC routing algorithm */ - NocRouting* create_routing_algorithm(std::string routing_algorithm_name); + NocRouting* create_routing_algorithm(const std::string& routing_algorithm_name); }; #endif diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 1ee212eb473..79717d2c421 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -212,9 +212,9 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { if (create_move_outcome != e_create_move::ABORT) { apply_move_blocks(blocks_affected); - NocDeltaCost noc_delta_c {0.0, 0.0, 0.0}; + NocCostTerms noc_delta_c {0.0, 0.0, 0.0}; find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_delta_c, noc_opts); - double delta_cost = (noc_opts.noc_placement_weighting) * (noc_delta_c.latency_delta_c * costs.noc_latency_cost_norm + noc_delta_c.aggregate_bandwidth_delta_c * costs.noc_aggregate_bandwidth_cost_norm); + double delta_cost = (noc_opts.noc_placement_weighting) * (noc_delta_c.latency * costs.noc_latency_cost_norm + noc_delta_c.aggregate_bandwidth * costs.noc_aggregate_bandwidth_cost_norm); double prob = starting_prob - i_move * prob_step; bool move_accepted = accept_noc_swap(delta_cost, prob); diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 67626a1d0c9..8d2858fdf95 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -43,7 +43,7 @@ void initial_noc_routing(void) { const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // update the traffic flow route based on where the router cluster blocks are placed - std::vector& curr_traffic_flow_route = get_traffic_flow_route(traffic_flow_id, noc_ctx.noc_model, noc_traffic_flows_storage, *noc_ctx.noc_flows_router); + std::vector& curr_traffic_flow_route = route_traffic_flow(traffic_flow_id, noc_ctx.noc_model, noc_traffic_flows_storage, *noc_ctx.noc_flows_router); // update the links used in the found traffic flow route, links' bandwidth should be incremented since the traffic flow is routed update_traffic_flow_link_usage(curr_traffic_flow_route, noc_ctx.noc_model, 1, curr_traffic_flow.traffic_flow_bandwidth); @@ -70,10 +70,10 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); } -void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, NocDeltaCost& delta_c, const t_noc_opts& noc_opts) { - VTR_ASSERT_SAFE(delta_c.aggregate_bandwidth_delta_c == 0.); - VTR_ASSERT_SAFE(delta_c.latency_delta_c == 0.); - VTR_ASSERT_SAFE(delta_c.congestion_delta_c == 0.); +void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, NocCostTerms& delta_c, const t_noc_opts& noc_opts) { + VTR_ASSERT_SAFE(delta_c.aggregate_bandwidth == 0.); + VTR_ASSERT_SAFE(delta_c.latency == 0.); + VTR_ASSERT_SAFE(delta_c.congestion == 0.); auto& noc_ctx = g_vpr_ctx.mutable_noc(); NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; @@ -105,25 +105,24 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // calculate the new aggregate bandwidth and latency costs for the affected traffic flow - // store them in case the proposed swap is reverted proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth = calculate_traffic_flow_aggregate_bandwidth_cost(traffic_flow_route, curr_traffic_flow); proposed_traffic_flow_costs[traffic_flow_id].latency = calculate_traffic_flow_latency_cost(traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow, noc_opts); // compute how much the aggregate bandwidth and latency costs change with this swap - delta_c.aggregate_bandwidth_delta_c += proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth - traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; - delta_c.latency_delta_c += proposed_traffic_flow_costs[traffic_flow_id].latency - traffic_flow_costs[traffic_flow_id].latency; + delta_c.aggregate_bandwidth += proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth - traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; + delta_c.latency += proposed_traffic_flow_costs[traffic_flow_id].latency - traffic_flow_costs[traffic_flow_id].latency; } - // Iterate over all affected links and calculate their new congestion cost and store it in case the swap is reverted + // Iterate over all affected links and calculate their new congestion cost and store it for (const auto& link_id : affected_noc_links) { // get the affected link const auto& link = noc_ctx.noc_model.get_single_noc_link(link_id); - // calculate the new congestion cost for the link and store it for possible reversion + // calculate the new congestion cost for the link and store it proposed_link_congestion_costs[link] = calculate_link_congestion_cost(link, noc_opts); // compute how much the congestion cost changes with this swap - delta_c.congestion_delta_c += proposed_link_congestion_costs[link] - link_congestion_costs[link]; + delta_c.congestion += proposed_link_congestion_costs[link] - link_congestion_costs[link]; } } @@ -156,7 +155,7 @@ void commit_noc_costs() { return; } -std::vector& get_traffic_flow_route(NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model, NocTrafficFlows& noc_traffic_flows_storage, NocRouting& noc_flows_router) { +std::vector& route_traffic_flow(NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model, NocTrafficFlows& noc_traffic_flows_storage, NocRouting& noc_flows_router) { // provides the positions where the affected blocks have moved to auto& place_ctx = g_vpr_ctx.placement(); @@ -198,8 +197,6 @@ void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, Noc // get all the associated traffic flows for the logical router cluster block const std::vector* assoc_traffic_flows = noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(moved_block_router_id); -// std::unordered_set prev_route_links, curr_route_links; - // now check if there are any associated traffic flows if (assoc_traffic_flows != nullptr) { // There are traffic flows associated to the current router block so process them @@ -256,7 +253,7 @@ void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affect const std::vector* assoc_traffic_flows = noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(blk); // now check if there are any associated traffic flows - if (assoc_traffic_flows->size() != 0) { + if (assoc_traffic_flows != nullptr) { // There are traffic flows associated to the current router block so process them for (auto& traffic_flow_id : *assoc_traffic_flows) { // first check to see whether we have already reverted the current traffic flow and only revert it if we haven't already. @@ -288,21 +285,27 @@ void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, NocTrafficFlows& no update_traffic_flow_link_usage(curr_traffic_flow_route, noc_model, -1, curr_traffic_flow.traffic_flow_bandwidth); // now get the re-routed traffic flow route and increment all the link usages with this reverted route - std::vector& re_routed_traffic_flow_route = get_traffic_flow_route(traffic_flow_id, noc_model, noc_traffic_flows_storage, noc_flows_router); + std::vector& re_routed_traffic_flow_route = route_traffic_flow(traffic_flow_id, noc_model, noc_traffic_flows_storage, noc_flows_router); update_traffic_flow_link_usage(re_routed_traffic_flow_route, noc_model, 1, curr_traffic_flow.traffic_flow_bandwidth); return; } -void recompute_noc_costs(double& new_noc_aggregate_bandwidth_cost, double& new_noc_latency_cost) { +void recompute_noc_costs(NocCostTerms& new_cost) { + auto& noc_ctx = g_vpr_ctx.noc(); + // reset the cost variables first - new_noc_aggregate_bandwidth_cost = 0; - new_noc_latency_cost = 0; + new_cost = NocCostTerms{0.0, 0.0, 0.0}; // go through the costs of all the traffic flows and add them up to recompute the total costs associated with the NoC - for (const auto& traffic_flow_id : g_vpr_ctx.noc().noc_traffic_flows_storage.get_all_traffic_flow_id()) { - new_noc_aggregate_bandwidth_cost += traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; - new_noc_latency_cost += traffic_flow_costs[traffic_flow_id].latency; + for (const auto& traffic_flow_id : noc_ctx.noc_traffic_flows_storage.get_all_traffic_flow_id()) { + new_cost.aggregate_bandwidth += traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; + new_cost.latency += traffic_flow_costs[traffic_flow_id].latency; + } + + // Iterate over all NoC links and accumulate their congestion costs + for (auto& link_id : noc_ctx.noc_model.get_noc_links()) { + new_cost.congestion += link_congestion_costs[link_id]; } return; @@ -403,17 +406,22 @@ double comp_noc_congestion_cost(const t_noc_opts& noc_opts) { int check_noc_placement_costs(const t_placer_costs& costs, double error_tolerance, const t_noc_opts& noc_opts) { int error = 0; - double noc_aggregate_bandwidth_cost_check = 0.; - double noc_latency_cost_check = 0.; + NocCostTerms cost_check{0.0, 0.0, 0.0}; // get current router block locations auto& place_ctx = g_vpr_ctx.placement(); - const vtr::vector_map* placed_cluster_block_locations = &place_ctx.block_locs; + const vtr::vector_map& placed_cluster_block_locations = place_ctx.block_locs; auto& noc_ctx = g_vpr_ctx.noc(); - const NocStorage* noc_model = &noc_ctx.noc_model; + const NocStorage& noc_model = noc_ctx.noc_model; const NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; + // a copy of NoC link storage used to calculate link bandwidth utilization from scratch + vtr::vector temp_noc_link_storage = noc_model.get_noc_links(); + + // reset bandwidth utilization for all links + std::for_each(temp_noc_link_storage.begin(), temp_noc_link_storage.end(), [](NocLink& link) {link.set_bandwidth_usage(0.0); }); + // need to create a temporary noc routing algorithm NocRoutingAlgorithmCreator routing_algorithm_factory; NocRouting* temp_noc_routing_algorithm = routing_algorithm_factory.create_routing_algorithm(noc_opts.noc_routing_algorithm); @@ -431,41 +439,63 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc ClusterBlockId logical_sink_router_block_id = curr_traffic_flow.sink_router_cluster_id; // get the ids of the hard router blocks where the logical router cluster blocks have been placed - NocRouterId source_router_block_id = noc_model->get_router_at_grid_location((*placed_cluster_block_locations)[logical_source_router_block_id].loc); - NocRouterId sink_router_block_id = noc_model->get_router_at_grid_location((*placed_cluster_block_locations)[logical_sink_router_block_id].loc); + NocRouterId source_router_block_id = noc_model.get_router_at_grid_location(placed_cluster_block_locations[logical_source_router_block_id].loc); + NocRouterId sink_router_block_id = noc_model.get_router_at_grid_location(placed_cluster_block_locations[logical_sink_router_block_id].loc); // route the current traffic flow - temp_noc_routing_algorithm->route_flow(source_router_block_id, sink_router_block_id, temp_found_noc_route, *noc_model); + temp_noc_routing_algorithm->route_flow(source_router_block_id, sink_router_block_id, temp_found_noc_route, noc_model); // now calculate the costs associated to the current traffic flow and accumulate it to find the total cost of the NoC placement double current_flow_aggregate_bandwidth_cost = calculate_traffic_flow_aggregate_bandwidth_cost(temp_found_noc_route, curr_traffic_flow); - noc_aggregate_bandwidth_cost_check += current_flow_aggregate_bandwidth_cost; + cost_check.aggregate_bandwidth += current_flow_aggregate_bandwidth_cost; - double current_flow_latency_cost = calculate_traffic_flow_latency_cost(temp_found_noc_route, *noc_model, curr_traffic_flow, noc_opts); - noc_latency_cost_check += current_flow_latency_cost; + double current_flow_latency_cost = calculate_traffic_flow_latency_cost(temp_found_noc_route, noc_model, curr_traffic_flow, noc_opts); + cost_check.latency += current_flow_latency_cost; + + // increase bandwidth utilization for the links that constitute the current flow's route + for (auto& link_id : temp_found_noc_route) { + auto& link = temp_noc_link_storage[link_id]; + double curr_link_bw_util = link.get_bandwidth_usage(); + link.set_bandwidth_usage(curr_link_bw_util + curr_traffic_flow.traffic_flow_bandwidth); + VTR_ASSERT(link.get_bandwidth_usage() >= 0.0); + } // clear the current traffic flow route, so we can route the next traffic flow temp_found_noc_route.clear(); } + // Iterate over all NoC links and accumulate congestion cost + for(const auto& link : temp_noc_link_storage) { + cost_check.congestion += calculate_link_congestion_cost(link, noc_opts); + } + // check whether the aggregate bandwidth placement cost is within the error tolerance - if (fabs(noc_aggregate_bandwidth_cost_check - costs.noc_aggregate_bandwidth_cost) > costs.noc_aggregate_bandwidth_cost * error_tolerance) { + if (fabs(cost_check.aggregate_bandwidth - costs.noc_aggregate_bandwidth_cost) > costs.noc_aggregate_bandwidth_cost * error_tolerance) { VTR_LOG_ERROR( "noc_aggregate_bandwidth_cost_check: %g and noc_aggregate_bandwidth_cost: %g differ in check_noc_placement_costs.\n", - noc_aggregate_bandwidth_cost_check, costs.noc_aggregate_bandwidth_cost); + cost_check.aggregate_bandwidth, costs.noc_aggregate_bandwidth_cost); error++; } // only check the recomputed cost if it is above our expected latency cost threshold of 1 pico-second, otherwise there is no point in checking it - if (noc_latency_cost_check > MIN_EXPECTED_NOC_LATENCY_COST) { + if (cost_check.latency > MIN_EXPECTED_NOC_LATENCY_COST) { // check whether the latency placement cost is within the error tolerance - if (fabs(noc_latency_cost_check - costs.noc_latency_cost) > costs.noc_latency_cost * error_tolerance) { + if (fabs(cost_check.latency - costs.noc_latency_cost) > costs.noc_latency_cost * error_tolerance) { VTR_LOG_ERROR( "noc_latency_cost_check: %g and noc_latency_cost: %g differ in check_noc_placement_costs.\n", - noc_latency_cost_check, costs.noc_latency_cost); + cost_check.latency, costs.noc_latency_cost); error++; } } + + // check whether the NoC congestion cost is within the error range + if (fabs(cost_check.congestion - costs.noc_congestion_cost) > costs.noc_congestion_cost * error_tolerance) { + VTR_LOG_ERROR( + "noc_congestion_cost_check: %g and noc_congestion_cost: %g differ in check_noc_placement_costs.\n", + cost_check.congestion, costs.noc_congestion_cost); + error++; + } + // delete the temporary routing algorithm delete temp_noc_routing_algorithm; diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index a29c5040144..9056caa64e5 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -105,7 +105,7 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) * NoC latency cost caused by a placer move is stored * here. */ -void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, NocDeltaCost& delta_c, const t_noc_opts& noc_opts); +void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, NocCostTerms& delta_c, const t_noc_opts& noc_opts); /** * @brief Updates static datastructures found in 'noc_place_utils.cpp' @@ -136,6 +136,12 @@ void commit_noc_costs(); * First, the hard routers blocks that represent the placed location of * the router cluster blocks are identified. Then the traffic flow * is routed and updated. + * + * Note that this function does not update the link bandwidth utilization. + * update_traffic_flow_link_usage() should be called after this function + * to update the link utilization for the new route. If the flow is re-routed + * because either its source or destination are moved, update_traffic_flow_link_usage() + * should be used to reduce the bandwidth utilization for the old route. * * @param traffic_flow_id Represents the traffic flow that needs to be routed * @param noc_model Contains all the links and routers within the NoC. Used @@ -146,7 +152,7 @@ void commit_noc_costs(); * flows within the NoC. * @return std::vector& The found route for the traffic flow. */ -std::vector& get_traffic_flow_route(NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model, NocTrafficFlows& noc_traffic_flows_storage, NocRouting& noc_flows_router); +std::vector& route_traffic_flow(NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model, NocTrafficFlows& noc_traffic_flows_storage, NocRouting& noc_flows_router); /** * @brief Updates the bandwidth usages of links found in a routed traffic flow. @@ -255,7 +261,7 @@ void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, NocTrafficFlows& no * @param new_noc_latency_cost Will store the newly computed * NoC latency cost for the current placement state. */ -void recompute_noc_costs(double& new_noc_aggregate_bandwidth_cost, double& new_noc_latency_cost); +void recompute_noc_costs(NocCostTerms& new_cost); /** * @brief Updates all the cost normalization factors relevant to the NoC. diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 15ab24e2eb4..7f86947d56e 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -1388,35 +1388,34 @@ static void recompute_costs_from_scratch(const t_placer_opts& placer_opts, } if (noc_opts.noc) { - double new_noc_aggregate_bandwidth_cost = 0.; - double new_noc_latency_cost = 0.; - recompute_noc_costs(new_noc_aggregate_bandwidth_cost, new_noc_latency_cost); + NocCostTerms new_noc_cost{0.0, 0.0, 0.0}; + recompute_noc_costs(new_noc_cost); if (fabs( - new_noc_aggregate_bandwidth_cost + new_noc_cost.aggregate_bandwidth - costs->noc_aggregate_bandwidth_cost) > costs->noc_aggregate_bandwidth_cost * ERROR_TOL) { std::string msg = vtr::string_fmt( - "in recompute_costs_from_scratch: new_noc_aggregate_bandwidth_cost = %g, old noc_aggregate_bandwidth_cost = %g, ERROR_TOL = %g\n", - new_noc_aggregate_bandwidth_cost, costs->noc_aggregate_bandwidth_cost, ERROR_TOL); + "in recompute_costs_from_scratch: new_noc_cost.aggregate_bandwidth = %g, old noc_aggregate_bandwidth_cost = %g, ERROR_TOL = %g\n", + new_noc_cost.aggregate_bandwidth, costs->noc_aggregate_bandwidth_cost, ERROR_TOL); VPR_ERROR(VPR_ERROR_PLACE, msg.c_str()); } - costs->noc_aggregate_bandwidth_cost = new_noc_aggregate_bandwidth_cost; + costs->noc_aggregate_bandwidth_cost = new_noc_cost.aggregate_bandwidth; // only check if the recomputed cost and the current noc latency cost are within the error tolerance if the cost is above 1 picosecond. // Otherwise, there is no need to check (we expect the latency cost to be above the threshold of 1 picosecond) - if (new_noc_latency_cost > MIN_EXPECTED_NOC_LATENCY_COST) { + if (new_noc_cost.latency > MIN_EXPECTED_NOC_LATENCY_COST) { if (fabs( - new_noc_latency_cost + new_noc_cost.latency - costs->noc_latency_cost) > costs->noc_latency_cost * ERROR_TOL) { std::string msg = vtr::string_fmt( - "in recompute_costs_from_scratch: new_noc_latency_cost = %g, old noc_latency_cost = %g, ERROR_TOL = %g\n", - new_noc_latency_cost, costs->noc_latency_cost, ERROR_TOL); + "in recompute_costs_from_scratch: new_noc_cost.latency = %g, old noc_latency_cost = %g, ERROR_TOL = %g\n", + new_noc_cost.latency, costs->noc_latency_cost, ERROR_TOL); VPR_ERROR(VPR_ERROR_PLACE, msg.c_str()); } } - costs->noc_latency_cost = new_noc_latency_cost; + costs->noc_latency_cost = new_noc_cost.latency; } } @@ -1755,13 +1754,13 @@ static e_move_result try_swap(const t_annealing_state* state, } - NocDeltaCost noc_delta_c {0.0, 0.0, 0.0}; // change in NoC cost + NocCostTerms noc_delta_c {0.0, 0.0, 0.0}; // change in NoC cost /* Update the NoC datastructure and costs*/ if (noc_opts.noc) { find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_delta_c, noc_opts); // Include the NoC delta costs in the total cost change for this swap - delta_c = delta_c + noc_placement_weighting * (noc_delta_c.latency_delta_c * costs->noc_latency_cost_norm + noc_delta_c.aggregate_bandwidth_delta_c * costs->noc_aggregate_bandwidth_cost_norm); + delta_c = delta_c + noc_placement_weighting * (noc_delta_c.latency * costs->noc_latency_cost_norm + noc_delta_c.aggregate_bandwidth * costs->noc_aggregate_bandwidth_cost_norm); } /* 1 -> move accepted, 0 -> rejected. */ diff --git a/vpr/src/place/place_util.cpp b/vpr/src/place/place_util.cpp index 8c30cbaf681..3e63f1e9881 100644 --- a/vpr/src/place/place_util.cpp +++ b/vpr/src/place/place_util.cpp @@ -78,10 +78,10 @@ void t_placer_costs::update_norm_factors() { * * @param noc_delta_cost NoC cost difference if the swap is accepted */ -t_placer_costs& t_placer_costs::operator+=(const NocDeltaCost& noc_delta_cost) { - noc_aggregate_bandwidth_cost += noc_delta_cost.aggregate_bandwidth_delta_c; - noc_latency_cost += noc_delta_cost.latency_delta_c; - noc_congestion_cost += noc_delta_cost.congestion_delta_c; +t_placer_costs& t_placer_costs::operator+=(const NocCostTerms& noc_delta_cost) { + noc_aggregate_bandwidth_cost += noc_delta_cost.aggregate_bandwidth; + noc_latency_cost += noc_delta_cost.latency; + noc_congestion_cost += noc_delta_cost.congestion; return *this; } diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index 30820e44ae8..26339702f76 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -12,10 +12,10 @@ #include "vtr_vector_map.h" #include "globals.h" -struct NocDeltaCost { - double aggregate_bandwidth_delta_c = 0.0; - double latency_delta_c = 0.0; - double congestion_delta_c = 0.0; +struct NocCostTerms { + double aggregate_bandwidth = 0.0; + double latency = 0.0; + double congestion = 0.0; }; /** @@ -82,7 +82,7 @@ class t_placer_costs { public: //Mutator void update_norm_factors(); - t_placer_costs& operator+=(const NocDeltaCost& noc_delta_cost); + t_placer_costs& operator+=(const NocCostTerms& noc_delta_cost); private: double MAX_INV_TIMING_COST = 1.e12; diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 7a3d90eb1d9..bb5041fcb29 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -597,8 +597,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ golden_traffic_flow_latency_costs.resize(noc_ctx.noc_traffic_flows_storage.get_number_of_traffic_flows()); // stores the change in bandwidth and latency costs from the test function - double test_noc_bandwidth_costs = 0; - double test_noc_latency_costs = 0; + NocCostTerms test_noc_costs{0.0, 0.0, 0.0}; // we need to route all the traffic flows based on their initial positions for (int traffic_flow_number = 0; traffic_flow_number < number_of_created_traffic_flows; traffic_flow_number++) { @@ -640,8 +639,8 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ golden_traffic_flow_latency_costs[(NocTrafficFlowId)traffic_flow_number] = (noc_opts.noc_latency_constraints_weighting * (std::max(0., curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency))) + (noc_opts.noc_latency_weighting * curr_traffic_flow_latency); golden_traffic_flow_latency_costs[(NocTrafficFlowId)traffic_flow_number] *= curr_traffic_flow.traffic_flow_priority; - test_noc_bandwidth_costs += golden_traffic_flow_bandwidth_costs[(NocTrafficFlowId)traffic_flow_number]; - test_noc_latency_costs += golden_traffic_flow_latency_costs[(NocTrafficFlowId)traffic_flow_number]; + test_noc_costs.aggregate_bandwidth += golden_traffic_flow_bandwidth_costs[(NocTrafficFlowId)traffic_flow_number]; + test_noc_costs.latency += golden_traffic_flow_latency_costs[(NocTrafficFlowId)traffic_flow_number]; } // initialize noc placement structs @@ -772,14 +771,14 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ } } - NocDeltaCost delta_cost {0.0, 0.0, 0.0}; + NocCostTerms delta_cost {0.0, 0.0, 0.0}; // call the test function find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions - test_noc_bandwidth_costs += delta_cost.aggregate_bandwidth_delta_c; - test_noc_latency_costs += delta_cost.latency_delta_c; + test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; + test_noc_costs.latency += delta_cost.latency; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -902,14 +901,14 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; } - NocDeltaCost delta_cost {0.0, 0.0, 0.0}; + NocCostTerms delta_cost {0.0, 0.0, 0.0}; // call the test function find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions - test_noc_bandwidth_costs += delta_cost.aggregate_bandwidth_delta_c; - test_noc_latency_costs += delta_cost.latency_delta_c; + test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; + test_noc_costs.latency += delta_cost.latency; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -993,14 +992,14 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ } // reset the delta costs - delta_cost = NocDeltaCost {0.0, 0.0, 0.0}; + delta_cost = NocCostTerms {0.0, 0.0, 0.0}; // call the test function find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions - test_noc_bandwidth_costs += delta_cost.aggregate_bandwidth_delta_c; - test_noc_latency_costs += delta_cost.latency_delta_c; + test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; + test_noc_costs.latency += delta_cost.latency; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -1056,14 +1055,14 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // we don't have to calculate the costs or update bandwidths because the swapped router blocks do not have any associated traffic flows // // reset the delta costs - delta_cost = NocDeltaCost {0.0, 0.0, 0.0}; + delta_cost = NocCostTerms {0.0, 0.0, 0.0}; // call the test function find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions - test_noc_bandwidth_costs += delta_cost.aggregate_bandwidth_delta_c; - test_noc_latency_costs += delta_cost.latency_delta_c; + test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; + test_noc_costs.latency += delta_cost.latency; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -1090,22 +1089,22 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ } // now check whether the expected noc costs that we manually calculated above match the noc costs found through the test function (we allow for a tolerance of difference) - REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_latency_costs)); - REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_bandwidth_costs)); + REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); + REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_costs.aggregate_bandwidth)); // now test the recompute cost function // // The recompute cost function just adds up all traffic flow costs, so it match the expected noc costs that we manually calculated above by summing up all the expected individual traffic flow costs. // // start by resetting the test cost variables - test_noc_bandwidth_costs = 0.; - test_noc_latency_costs = 0.; + test_noc_costs.aggregate_bandwidth = 0.; + test_noc_costs.latency = 0.; // now execute the test function - recompute_noc_costs(test_noc_bandwidth_costs, test_noc_latency_costs); + recompute_noc_costs(test_noc_costs); // now verify - REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_latency_costs)); - REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_bandwidth_costs)); + REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); + REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_costs.aggregate_bandwidth)); // delete local datastructures free_noc_placement_structs(); From 37a739e9eb006f120c3a1317b06631ec5e4e3174 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 23 Jan 2024 15:14:41 -0500 Subject: [PATCH 178/608] Use std::unique_ptr to hold the pointer to the routing algorithm. --- vpr/src/base/vpr_api.cpp | 7 ++---- vpr/src/base/vpr_context.h | 2 +- vpr/src/noc/noc_routing_algorithm_creator.cpp | 8 +++---- vpr/src/noc/noc_routing_algorithm_creator.h | 3 ++- vpr/src/place/noc_place_utils.cpp | 6 +---- vpr/test/test_noc_place_utils.cpp | 24 ++++++------------- 6 files changed, 17 insertions(+), 33 deletions(-) diff --git a/vpr/src/base/vpr_api.cpp b/vpr/src/base/vpr_api.cpp index 1e4684ae683..cc5d23343de 100644 --- a/vpr/src/base/vpr_api.cpp +++ b/vpr/src/base/vpr_api.cpp @@ -564,7 +564,7 @@ void vpr_setup_noc_routing_algorithm(std::string noc_routing_algorithm_name) { // newly created routing algorithm to it auto& noc_ctx = g_vpr_ctx.mutable_noc(); - noc_ctx.noc_flows_router = NocRoutingAlgorithmCreator().create_routing_algorithm(noc_routing_algorithm_name); + noc_ctx.noc_flows_router = NocRoutingAlgorithmCreator::create_routing_algorithm(noc_routing_algorithm_name); return; } @@ -1208,10 +1208,7 @@ static void free_routing() { /** * @brief handles the deletion of NoC related datastructures. */ -static void free_noc() { - auto& noc_ctx = g_vpr_ctx.mutable_noc(); - delete noc_ctx.noc_flows_router; -} +static void free_noc() {} void vpr_free_vpr_data_structures(t_arch& Arch, t_vpr_setup& vpr_setup) { diff --git a/vpr/src/base/vpr_context.h b/vpr/src/base/vpr_context.h index 6a07f367e13..18420590f2e 100644 --- a/vpr/src/base/vpr_context.h +++ b/vpr/src/base/vpr_context.h @@ -546,7 +546,7 @@ struct NocContext : public Context { * * This is created from a user supplied command line option "--noc_routing_algorithm" */ - NocRouting* noc_flows_router; + std::unique_ptr noc_flows_router; }; /** diff --git a/vpr/src/noc/noc_routing_algorithm_creator.cpp b/vpr/src/noc/noc_routing_algorithm_creator.cpp index 65afcdc0a90..ddbd0ebb9d7 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.cpp +++ b/vpr/src/noc/noc_routing_algorithm_creator.cpp @@ -2,13 +2,13 @@ #include "noc_routing_algorithm_creator.h" #include "vpr_error.h" -NocRouting* NocRoutingAlgorithmCreator::create_routing_algorithm(const std::string& routing_algorithm_name) { - NocRouting* noc_routing_algorithm = nullptr; +std::unique_ptr NocRoutingAlgorithmCreator::create_routing_algorithm(const std::string& routing_algorithm_name) { + std::unique_ptr noc_routing_algorithm; if (routing_algorithm_name == "xy_routing") { - noc_routing_algorithm = new XYRouting(); + noc_routing_algorithm = std::make_unique(); } else if (routing_algorithm_name == "bfs_routing") { - noc_routing_algorithm = new BFSRouting(); + noc_routing_algorithm = std::make_unique(); } else { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "The provided NoC routing algorithm '%s' is not supported.", routing_algorithm_name.c_str()); } diff --git a/vpr/src/noc/noc_routing_algorithm_creator.h b/vpr/src/noc/noc_routing_algorithm_creator.h index b05d9f57981..b4361d95d33 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.h +++ b/vpr/src/noc/noc_routing_algorithm_creator.h @@ -18,6 +18,7 @@ */ #include +#include #include "noc_routing.h" #include "xy_routing.h" @@ -39,7 +40,7 @@ class NocRoutingAlgorithmCreator { * NoC routing algorithm * @return NocRouting* A reference to the created NoC routing algorithm */ - NocRouting* create_routing_algorithm(const std::string& routing_algorithm_name); + static std::unique_ptr create_routing_algorithm(const std::string& routing_algorithm_name); }; #endif diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 8d2858fdf95..25bba5a4867 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -423,8 +423,7 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc std::for_each(temp_noc_link_storage.begin(), temp_noc_link_storage.end(), [](NocLink& link) {link.set_bandwidth_usage(0.0); }); // need to create a temporary noc routing algorithm - NocRoutingAlgorithmCreator routing_algorithm_factory; - NocRouting* temp_noc_routing_algorithm = routing_algorithm_factory.create_routing_algorithm(noc_opts.noc_routing_algorithm); + std::unique_ptr temp_noc_routing_algorithm = NocRoutingAlgorithmCreator::create_routing_algorithm(noc_opts.noc_routing_algorithm); // stores a temporarily found route for a traffic flow std::vector temp_found_noc_route; @@ -496,9 +495,6 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc error++; } - // delete the temporary routing algorithm - delete temp_noc_routing_algorithm; - return error; } diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index bb5041fcb29..0e5de07283e 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -33,7 +33,6 @@ TEST_CASE("test_initial_noc_placement", "[noc_place_utils]") { // start by deleting any global datastructures (this is so that we don't have corruption from previous tests) noc_ctx.noc_model.clear_noc(); noc_ctx.noc_traffic_flows_storage.clear_traffic_flows(); - delete noc_ctx.noc_flows_router; place_ctx.block_locs.clear(); // store the reference to device grid with @@ -142,8 +141,7 @@ TEST_CASE("test_initial_noc_placement", "[noc_place_utils]") { // now go and route all the traffic flows // // start by creating the routing algorithm - NocRouting* routing_algorithm_global = new XYRouting(); - noc_ctx.noc_flows_router = routing_algorithm_global; + noc_ctx.noc_flows_router = std::make_unique(); // create a local routing algorithm for the unit test NocRouting* routing_algorithm = new XYRouting(); @@ -213,7 +211,6 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { // start by deleting any global datastructures (this is so that we don't have corruption from previous tests) noc_ctx.noc_model.clear_noc(); noc_ctx.noc_traffic_flows_storage.clear_traffic_flows(); - delete noc_ctx.noc_flows_router; place_ctx.block_locs.clear(); // store the reference to device grid with @@ -330,8 +327,7 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { // now go and route all the traffic flows // // start by creating the routing algorithm - NocRouting* routing_algorithm_global = new XYRouting(); - noc_ctx.noc_flows_router = routing_algorithm_global; + noc_ctx.noc_flows_router = std::make_unique(); // create a local routing algorithm for the unit test NocRouting* routing_algorithm = new XYRouting(); @@ -455,7 +451,6 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // start by deleting any global datastructures (this is so that we don't have corruption from previous tests) noc_ctx.noc_model.clear_noc(); noc_ctx.noc_traffic_flows_storage.clear_traffic_flows(); - delete noc_ctx.noc_flows_router; place_ctx.block_locs.clear(); // store the reference to device grid with @@ -581,8 +576,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // now go and route all the traffic flows // // start by creating the routing algorithm - NocRouting* routing_algorithm_global = new XYRouting(); - noc_ctx.noc_flows_router = routing_algorithm_global; + noc_ctx.noc_flows_router = std::make_unique(); // create a local routing algorithm for the unit test NocRouting* routing_algorithm = new XYRouting(); @@ -1089,8 +1083,8 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ } // now check whether the expected noc costs that we manually calculated above match the noc costs found through the test function (we allow for a tolerance of difference) - REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_costs.aggregate_bandwidth)); + REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); // now test the recompute cost function // // The recompute cost function just adds up all traffic flow costs, so it match the expected noc costs that we manually calculated above by summing up all the expected individual traffic flow costs. // @@ -1103,8 +1097,8 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ recompute_noc_costs(test_noc_costs); // now verify - REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_costs.aggregate_bandwidth)); + REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); // delete local datastructures free_noc_placement_structs(); @@ -1193,7 +1187,6 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { // start by deleting any global datastructures (this is so that we don't have corruption from previous tests) noc_ctx.noc_model.clear_noc(); noc_ctx.noc_traffic_flows_storage.clear_traffic_flows(); - delete noc_ctx.noc_flows_router; place_ctx.block_locs.clear(); // store the reference to device grid with @@ -1315,8 +1308,7 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { // now go and route all the traffic flows // // start by creating the routing algorithm - NocRouting* routing_algorithm_global = new XYRouting(); - noc_ctx.noc_flows_router = routing_algorithm_global; + noc_ctx.noc_flows_router = std::make_unique(); // create a local routing algorithm for the unit test NocRouting* routing_algorithm = new XYRouting(); @@ -1518,7 +1510,6 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { // start by deleting any global datastructures (this is so that we don't have corruption from previous tests) noc_ctx.noc_model.clear_noc(); noc_ctx.noc_traffic_flows_storage.clear_traffic_flows(); - delete noc_ctx.noc_flows_router; place_ctx.block_locs.clear(); // store the reference to device grid with @@ -1648,8 +1639,7 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { // now go and route all the traffic flows // // start by creating the routing algorithm - NocRouting* routing_algorithm_global = new XYRouting(); - noc_ctx.noc_flows_router = routing_algorithm_global; + noc_ctx.noc_flows_router = std::make_unique(); // create a local routing algorithm for the unit test NocRouting* routing_algorithm = new XYRouting(); From 7a01effe92058e8baf4ab3cace716e9d0e1f2ec3 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 23 Jan 2024 15:26:18 -0500 Subject: [PATCH 179/608] Add calculate_noc_cost() --- vpr/src/place/initial_noc_placement.cpp | 4 ++-- vpr/src/place/noc_place_utils.cpp | 22 ++++++++++++++++------ vpr/src/place/noc_place_utils.h | 13 ++----------- vpr/src/place/place.cpp | 7 +++---- vpr/src/place/place_util.cpp | 16 +++++++++++++--- vpr/src/place/place_util.h | 9 +++++++++ 6 files changed, 45 insertions(+), 26 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 79717d2c421..d0aaa573bf7 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -162,7 +162,7 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { costs.noc_latency_cost = comp_noc_latency_cost(noc_opts); costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); update_noc_normalization_factors(costs); - costs.cost = calculate_noc_cost(costs, noc_opts); + costs.cost = calculate_noc_cost(NocCostTerms(costs), costs, noc_opts); // Maximum distance in each direction that a router can travel in a move // It is assumed that NoC routers are organized in a square grid. @@ -214,7 +214,7 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { NocCostTerms noc_delta_c {0.0, 0.0, 0.0}; find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_delta_c, noc_opts); - double delta_cost = (noc_opts.noc_placement_weighting) * (noc_delta_c.latency * costs.noc_latency_cost_norm + noc_delta_c.aggregate_bandwidth * costs.noc_aggregate_bandwidth_cost_norm); + double delta_cost = calculate_noc_cost(noc_delta_c, costs, noc_opts); double prob = starting_prob - i_move * prob_step; bool move_accepted = accept_noc_swap(delta_cost, prob); diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 25bba5a4867..7e482bf6a09 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -326,12 +326,6 @@ void update_noc_normalization_factors(t_placer_costs& costs) { return; } -double calculate_noc_cost(const t_placer_costs& costs, const t_noc_opts& noc_opts) { - double noc_cost; - noc_cost = (noc_opts.noc_placement_weighting) * ((costs.noc_aggregate_bandwidth_cost * costs.noc_aggregate_bandwidth_cost_norm) + (costs.noc_latency_cost * costs.noc_latency_cost_norm)); - return noc_cost; -} - double comp_noc_aggregate_bandwidth_cost(void) { // used to get traffic flow route information auto& noc_ctx = g_vpr_ctx.noc(); @@ -534,6 +528,22 @@ double calculate_link_congestion_cost(const NocLink& link, const t_noc_opts& noc return congestion_cost; } +double calculate_noc_cost(const NocCostTerms& cost_terms, const t_placer_costs& norm_factors, const t_noc_opts& noc_opts) { + double cost = 0.0; + + /* NoC's contribution to the placement cost is a weighted sum over: + * 1) Traffic flow latency costs + * 2) Traffic flow aggregate bandwidth costs + * 3) Link congestion costs + */ + cost = noc_opts.noc_placement_weighting * ( + cost_terms.latency * norm_factors.noc_latency_cost_norm + + cost_terms.aggregate_bandwidth * norm_factors.noc_aggregate_bandwidth_cost_norm + + cost_terms.congestion * norm_factors.noc_congestion_cost_norm); + + return cost; +} + int get_number_of_traffic_flows_with_latency_cons_met(void) { // used to get traffic flow route information auto& noc_ctx = g_vpr_ctx.mutable_noc(); diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index 9056caa64e5..d1e39bd32f7 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -275,17 +275,6 @@ void recompute_noc_costs(NocCostTerms& new_cost); */ void update_noc_normalization_factors(t_placer_costs& costs); -/** - * @brief Calculates total NoC cost. - * - * @param costs Contains latency and aggregate bandwidth costs - * along with their corresponding normalization factors. - * @param noc_opts Contains NoC placement weighting factor. - * - * @return Calculated total NoC cost. - */ -double calculate_noc_cost(const t_placer_costs& costs, const t_noc_opts& noc_opts); - /** * @brief Calculates the aggregate bandwidth of each traffic flow in the NoC * and initializes local variables that keep track of the traffic flow @@ -384,6 +373,8 @@ double calculate_traffic_flow_latency_cost(const std::vector& traffic double calculate_link_congestion_cost(const NocLink& link, const t_noc_opts& noc_opts); +double calculate_noc_cost(const NocCostTerms& cost_terms, const t_placer_costs& norm_factors, const t_noc_opts& noc_opts); + /** * @brief Goes through all the traffic flows and determines whether the * latency constraints have been met for each traffic flow. diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 7f86947d56e..b5d256d3d6b 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -1605,7 +1605,6 @@ static e_move_result try_swap(const t_annealing_state* state, float rlim_escape_fraction = placer_opts.rlim_escape_fraction; float timing_tradeoff = placer_opts.timing_tradeoff; - double noc_placement_weighting = noc_opts.noc_placement_weighting; PlaceCritParams crit_params; crit_params.crit_exponent = state->crit_exponent; @@ -1760,7 +1759,7 @@ static e_move_result try_swap(const t_annealing_state* state, find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_delta_c, noc_opts); // Include the NoC delta costs in the total cost change for this swap - delta_c = delta_c + noc_placement_weighting * (noc_delta_c.latency * costs->noc_latency_cost_norm + noc_delta_c.aggregate_bandwidth * costs->noc_aggregate_bandwidth_cost_norm); + delta_c += calculate_noc_cost(noc_delta_c, *costs, noc_opts); } /* 1 -> move accepted, 0 -> rejected. */ @@ -2280,8 +2279,8 @@ static double get_total_cost(t_placer_costs* costs, const t_placer_opts& placer_ } if (noc_opts.noc) { - // in noc mode we include noc aggregate bandwidth and noc latency - total_cost += calculate_noc_cost(*costs, noc_opts); + // in noc mode we include noc agggregate bandwidth and noc latency + total_cost += calculate_noc_cost(NocCostTerms(*costs), *costs, noc_opts); } return total_cost; diff --git a/vpr/src/place/place_util.cpp b/vpr/src/place/place_util.cpp index 3e63f1e9881..2b9d38e9438 100644 --- a/vpr/src/place/place_util.cpp +++ b/vpr/src/place/place_util.cpp @@ -171,7 +171,7 @@ bool t_annealing_state::outer_loop_update(float success_rate, const t_annealing_sched& annealing_sched) { #ifndef NO_GRAPHICS t_draw_state* draw_state = get_draw_state_vars(); - if (draw_state->list_of_breakpoints.size() != 0) { + if (!draw_state->list_of_breakpoints.empty()) { /* Update temperature in the current information variable. */ get_bp_state_globals()->get_glob_breakpoint_state()->temp_count++; } @@ -384,7 +384,7 @@ void zero_initialize_grid_blocks() { place_ctx.grid_blocks.set_usage({i, j, layer_num}, 0); auto tile = device_ctx.grid.get_physical_type({i, j, layer_num}); - for (auto sub_tile : tile->sub_tiles) { + for (const auto& sub_tile : tile->sub_tiles) { auto capacity = sub_tile.capacity; for (int k = 0; k < capacity.total(); k++) { @@ -517,7 +517,7 @@ bool macro_can_be_placed(t_pl_macro pl_macro, t_pl_loc head_pos, bool check_all_ /* * analytical placement approach do not need to make sure whether location could accommodate more blocks - * since overused locations will be spreaded by legalizer afterward. + * since overused locations will be spread by legalizer afterward. * floorplan constraint is not supported by analytical placement yet, * hence, if macro_can_be_placed is called from analytical placer, no further actions are required. */ @@ -560,3 +560,13 @@ bool macro_can_be_placed(t_pl_macro pl_macro, t_pl_loc head_pos, bool check_all_ return (mac_can_be_placed); } + +NocCostTerms::NocCostTerms(const t_placer_costs& costs) + : aggregate_bandwidth(costs.noc_aggregate_bandwidth_cost) + , latency(costs.noc_latency_cost) + , congestion(costs.noc_congestion_cost) {} + +NocCostTerms::NocCostTerms(double agg_bw, double lat, double congest) + : aggregate_bandwidth(agg_bw) + , latency(lat) + , congestion(congest) {} diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index 26339702f76..b70c84c3643 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -12,7 +12,16 @@ #include "vtr_vector_map.h" #include "globals.h" +// forward declaration of t_placer_costs so that it can be used an argument +// in NocCostTerms constructor +class t_placer_costs; + struct NocCostTerms { + public: + NocCostTerms() = delete; + explicit NocCostTerms(const t_placer_costs& costs); + NocCostTerms(double agg_bw, double lat, double congest); + double aggregate_bandwidth = 0.0; double latency = 0.0; double congestion = 0.0; From e43ef3d08a49637dc62d0c08dc762ec840c31dd5 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 23 Jan 2024 15:36:53 -0500 Subject: [PATCH 180/608] Add --noc_congestion_weighting command line option --- vpr/src/base/SetupVPR.cpp | 1 + vpr/src/base/ShowSetup.cpp | 1 + vpr/src/base/read_options.cpp | 7 +++++++ vpr/src/base/read_options.h | 1 + vpr/src/base/vpr_types.h | 2 +- 5 files changed, 11 insertions(+), 1 deletion(-) diff --git a/vpr/src/base/SetupVPR.cpp b/vpr/src/base/SetupVPR.cpp index eecec4d39ce..a93b648f87b 100644 --- a/vpr/src/base/SetupVPR.cpp +++ b/vpr/src/base/SetupVPR.cpp @@ -737,6 +737,7 @@ static void SetupNocOpts(const t_options& Options, t_noc_opts* NocOpts) { NocOpts->noc_placement_weighting = Options.noc_placement_weighting; NocOpts->noc_latency_constraints_weighting = Options.noc_latency_constraints_weighting; NocOpts->noc_latency_weighting = Options.noc_latency_weighting; + NocOpts->noc_congestion_weighting = Options.noc_congestion_weighting; NocOpts->noc_swap_percentage = Options.noc_swap_percentage; NocOpts->noc_placement_file_name = Options.noc_placement_file_name; diff --git a/vpr/src/base/ShowSetup.cpp b/vpr/src/base/ShowSetup.cpp index 61f1bf772c3..92935c6c8fe 100644 --- a/vpr/src/base/ShowSetup.cpp +++ b/vpr/src/base/ShowSetup.cpp @@ -788,6 +788,7 @@ static void ShowNocOpts(const t_noc_opts& NocOpts) { VTR_LOG("NocOpts.noc_placement_weighting: %f\n", NocOpts.noc_placement_weighting); VTR_LOG("NocOpts.noc_latency_constraints_weighting: %f\n", NocOpts.noc_latency_constraints_weighting); VTR_LOG("NocOpts.noc_latency_weighting: %f\n", NocOpts.noc_latency_weighting); + VTR_LOG("NocOpts.noc_congestion_weighting: %f\n", NocOpts.noc_congestion_weighting); VTR_LOG("NocOpts.noc_swap_percentage: %d%%\n", NocOpts.noc_swap_percentage); VTR_LOG("NocOpts.noc_routing_algorithm: %s\n", NocOpts.noc_placement_file_name.c_str()); VTR_LOG("\n"); diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index d62b812e4b8..971f92b5071 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2819,6 +2819,13 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg .default_value("0.05") .show_in(argparse::ShowIn::HELP_ONLY); + noc_grp.add_argument(args.noc_congestion_weighting, "--noc_congestion_weighting") + .help( + "Controls the importance of reducing the congestion of the NoC links." + "This value can be >=0, where 0 would mean the congestion has no relevance to placement, a value of 1 would mean the congestion is weighted equally to the sum of other placement cost components and a value greater than 1 would mean the placement is increasingly dominated by reducing the link congestions.") + .default_value("0.05") + .show_in(argparse::ShowIn::HELP_ONLY); + noc_grp.add_argument(args.noc_swap_percentage, "--noc_swap_percentage") .help( "Sets the minimum fraction of swaps attempted by the placer that are NoC blocks." diff --git a/vpr/src/base/read_options.h b/vpr/src/base/read_options.h index d1edc5ef2b2..e6476ba151e 100644 --- a/vpr/src/base/read_options.h +++ b/vpr/src/base/read_options.h @@ -155,6 +155,7 @@ struct t_options { argparse::ArgValue noc_placement_weighting; argparse::ArgValue noc_latency_constraints_weighting; argparse::ArgValue noc_latency_weighting; + argparse::ArgValue noc_congestion_weighting; argparse::ArgValue noc_swap_percentage; argparse::ArgValue noc_placement_file_name; diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index 2965dc30856..b57caa24999 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -1498,7 +1498,7 @@ struct t_noc_opts { double noc_placement_weighting; /// Date: Thu, 25 Jan 2024 18:42:56 -0500 Subject: [PATCH 181/608] Compute and print NoC congestion metrics. Added some comments to noc_link.h to explain what each method does. --- vpr/src/noc/noc_link.h | 52 ++++++++++++++++++++++++------- vpr/src/noc/noc_storage.cpp | 6 ++++ vpr/src/place/noc_place_utils.cpp | 34 ++++++++++++++++++++ vpr/src/place/noc_place_utils.h | 16 +++++++--- vpr/src/place/place.cpp | 45 +++++++++++++++++++++++--- 5 files changed, 134 insertions(+), 19 deletions(-) diff --git a/vpr/src/noc/noc_link.h b/vpr/src/noc/noc_link.h index 244fe7b6959..2aa5d55cd67 100644 --- a/vpr/src/noc/noc_link.h +++ b/vpr/src/noc/noc_link.h @@ -73,10 +73,36 @@ class NocLink { /** * @brief Provides the size of the data (bandwidth) being currently transmitted using the link. - * @return A numeric value of the bandwidth of the link + * @return A numeric value of the bandwidth usage of the link */ double get_bandwidth_usage(void) const; + /** + * @brief Returns the maximum bandwidth that the link can carry without congestion. + * @return A numeric value of the bandwidth capacity of the link + */ + double get_bandwidth(void) const; + + /** + * @brief Calculates the extent to which the current bandwidth utilization + * exceeds the link capacity. Any positive value means the link is congested. + * @return A numeric value of the bandwidth over-utilization in the link + */ + double get_congested_bandwidth(void) const; + + /** + * @brief Computes the congested bandwidth to bandwidth capacity ratio. + * @return The congested bandwidth to bandwidth capacity of the link. + */ + double get_congested_bandwidth_ratio() const; + + /** + * @brief Returns the unique link ID. The ID can be used to index + * vtr::vector instances. + * @return The unique ID for the link + */ + NocLinkId get_link_id() const; + // setters /** * @brief Can be used to set the source router of the link to a different router. @@ -93,24 +119,28 @@ class NocLink { void set_sink_router(NocRouterId sink); /** - * @brief Can modify the bandwidth of the link. It is expected that when the NoC is being placed + * @brief Can modify the bandwidth usage of the link. It is expected that when the NoC is being placed * the traffic flows will be re-routed multiple times. So the links will end up being used and un-used * by different traffic flows and the bandwidths of the links will correspondingly change. This function * can be used to make those changes - * @param new_bandwidth_usage The new value of the bandwidth of the link + * @param new_bandwidth_usage The new value of the bandwidth usage of the link */ void set_bandwidth_usage(double new_bandwidth_usage); + /** + * @brief Sets the bandwidth capacity of the link. This function should be used when + * global NoC data structures are created and populated. The bandwidth capacity is used + * along with bandwidth_usage to measure congestion. + * @param new_bandwidth The new value of the bandwidth of the link + */ void set_bandwidth(double new_bandwidth); - double get_bandwidth() const; - - double get_congested_bandwidth() const; - - double get_congested_bandwidth_ratio() const; - - NocLinkId get_link_id() const; - + + /** + * @brief Returns the unique link ID. The ID can be used to index + * vtr::vector instances. + * @return The unique ID for the link + */ operator NocLinkId() const; }; diff --git a/vpr/src/noc/noc_storage.cpp b/vpr/src/noc/noc_storage.cpp index af22454765d..8438838c1f9 100644 --- a/vpr/src/noc/noc_storage.cpp +++ b/vpr/src/noc/noc_storage.cpp @@ -128,6 +128,12 @@ void NocStorage::add_link(NocRouterId source, NocRouterId sink) { void NocStorage::set_noc_link_bandwidth(double link_bandwidth) { noc_link_bandwidth = link_bandwidth; + + // Iterate over all links and set their bandwidth + for (auto& link : link_storage) { + link.set_bandwidth(noc_link_bandwidth); + } + return; } diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 7e482bf6a09..d77d29c0455 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -578,6 +578,40 @@ int get_number_of_traffic_flows_with_latency_cons_met(void) { return count_of_achieved_latency_cons; } +int get_number_of_congested_noc_links(void) { + // get NoC links + auto& noc_links = g_vpr_ctx.noc().noc_model.get_noc_links(); + + int num_congested_links = 0; + + // Iterate over all NoC links and count the congested ones + for (const auto& link : noc_links) { + double congested_bw_ratio = link.get_congested_bandwidth_ratio(); + + if (congested_bw_ratio > MIN_EXPECTED_NOC_CONGESTION_COST) { + num_congested_links++; + } + } + + return num_congested_links; +} + +std::vector get_top_n_congested_links(int n) { + // get NoC links + vtr::vector noc_links = g_vpr_ctx.noc().noc_model.get_noc_links(); + + // Sort links based on their congested bandwidth ration in descending order + // stable_sort is used to make sure the order is the same across different machines/compilers + // Note that when the vector is sorted, indexing it with NocLinkId does return the corresponding link + std::stable_sort(noc_links.begin(), noc_links.end(), [](const NocLink& l1, const NocLink& l2) { + return l1.get_congested_bandwidth_ratio() > l2.get_congested_bandwidth_ratio(); + }); + + int pick_n = std::min((int)noc_links.size(), n); + + return std::vector{noc_links.begin(), noc_links.begin() + pick_n}; +} + void allocate_and_load_noc_placement_structs(void) { auto& noc_ctx = g_vpr_ctx.noc(); diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index d1e39bd32f7..c3e9d213e09 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -19,13 +19,15 @@ constexpr double MAX_INV_NOC_AGGREGATE_BANDWIDTH_COST = 1.; // we expect the latency costs to be in the pico-second range, and we don't expect it to go lower than that. So if the latency costs go below the pico-second range we trim the normalization value to be no higher than 1/ps // This should be updated if the delays become lower constexpr double MAX_INV_NOC_LATENCY_COST = 1.e12; -// the congestion cost for a link is measured as the proportion of the overloaded BW to the link capacity -// We assume that when a link congested, it is overloaded with at least 0.1% of its BW capacity -constexpr double MAX_INV_NOC_CONGESTION_COST = 1.e3; - // we don't expect the noc_latency cost to ever go below 1 pico second. // So this value represents the lowest possible latency cost. constexpr double MIN_EXPECTED_NOC_LATENCY_COST = 1.e-12; +// the congestion cost for a link is measured as the proportion of the overloaded BW to the link capacity +// We assume that when a link congested, it is overloaded with at least 0.1% of its BW capacity +constexpr double MAX_INV_NOC_CONGESTION_COST = 1.e3; +// If a link is overloaded by less than 0.1% of the link bandwidth capacity, +// we assume it is not congested. +constexpr double MIN_EXPECTED_NOC_CONGESTION_COST = 1.e-3; constexpr double INVALID_NOC_COST_TERM = -1.0; @@ -383,6 +385,12 @@ double calculate_noc_cost(const NocCostTerms& cost_terms, const t_placer_costs& */ int get_number_of_traffic_flows_with_latency_cons_met(void); +int get_number_of_congested_noc_links(void); + +std::vector get_top_n_congested_links(int n); + +std::vector get_top_n_congestion_ratios(int n); + /** * @brief There are a number of static datastructures which are local * to 'noc_place_utils.cpp'. THe purpose of these datastructures is diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index b5d256d3d6b..4193283db09 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -830,7 +830,16 @@ void try_place(const Netlist<>& net_list, VTR_LOG("Initial placement cost: %g bb_cost: %g td_cost: %g\n", costs.cost, costs.bb_cost, costs.timing_cost); if (noc_opts.noc) { - VTR_LOG("Initial noc placement costs. noc_aggregate_bandwidth_cost: %g, noc_latency_cost: %g, \n", costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost); + VTR_LOG("NoC Placement Costs. noc_aggregate_bandwidth_cost: %g, " + "noc_latency_cost: %g, " + "noc_latency_constraints_cost: %d, " + "noc_congestion_cost: %g, " + "n_congested_links: %d \n", + costs.noc_aggregate_bandwidth_cost, + costs.noc_latency_cost, + get_number_of_traffic_flows_with_latency_cons_met(), + costs.noc_congestion_cost_norm, + get_number_of_congested_noc_links()); } if (placer_opts.place_algorithm.is_timing_driven()) { VTR_LOG( @@ -864,7 +873,16 @@ void try_place(const Netlist<>& net_list, costs.cost, costs.bb_cost, costs.timing_cost, width_fac); if (noc_opts.noc) { sprintf(msg, - "\nInitial noc placement costs. noc_aggregate_bandwidth_cost: %g noc_latency_cost: %g ", costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost); + "\nInitial NoC Placement Costs. noc_aggregate_bandwidth_cost: %g " + "noc_latency_cost: %g " + "noc_latency_constraints_cost: %d " + "noc_congestion_cost: %g " + "n_congested_links: %d", + costs.noc_aggregate_bandwidth_cost, + costs.noc_latency_cost, + get_number_of_traffic_flows_with_latency_cons_met(), + costs.noc_congestion_cost_norm, + get_number_of_congested_noc_links()); } //Draw the initial placement update_screen(ScreenUpdatePriority::MAJOR, msg, PLACEMENT, timing_info); @@ -1173,8 +1191,27 @@ void try_place(const Netlist<>& net_list, // print the noc costs info if (noc_opts.noc) { sprintf(msg, - "\nNoC Placement Costs. noc_aggregate_bandwidth_cost: %g noc_latency_cost: %g noc_latency_constraints_cost: %d", costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost, get_number_of_traffic_flows_with_latency_cons_met()); - VTR_LOG("NoC Placement Costs. noc_aggregate_bandwidth_cost: %g, noc_latency_cost: %g, noc_latency_constraints_cost: %d, \n", costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost, get_number_of_traffic_flows_with_latency_cons_met()); + "\nNoC Placement Costs. noc_aggregate_bandwidth_cost: %g " + "noc_latency_cost: %g " + "noc_latency_constraints_cost: %d " + "noc_congestion_cost: %g " + "n_congested_links: %d", + costs.noc_aggregate_bandwidth_cost, + costs.noc_latency_cost, + get_number_of_traffic_flows_with_latency_cons_met(), + costs.noc_congestion_cost_norm, + get_number_of_congested_noc_links()); + + VTR_LOG("NoC Placement Costs. noc_aggregate_bandwidth_cost: %g, " + "noc_latency_cost: %g, " + "noc_latency_constraints_cost: %d, " + "noc_congestion_cost: %g, " + "n_congested_links: %d \n", + costs.noc_aggregate_bandwidth_cost, + costs.noc_latency_cost, + get_number_of_traffic_flows_with_latency_cons_met(), + costs.noc_congestion_cost_norm, + get_number_of_congested_noc_links()); } update_screen(ScreenUpdatePriority::MAJOR, msg, PLACEMENT, timing_info); // Print out swap statistics From 017da60cabfdcffd1a962746d740bdb270d6dbe3 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 25 Jan 2024 18:50:41 -0500 Subject: [PATCH 182/608] Add get_total_congestion_bandwidth_ratio() --- vpr/src/place/noc_place_utils.cpp | 15 +++++++++++++++ vpr/src/place/noc_place_utils.h | 2 ++ vpr/src/place/place.cpp | 16 ++++++++++++---- 3 files changed, 29 insertions(+), 4 deletions(-) diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index d77d29c0455..11e18d89c1f 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -596,6 +596,21 @@ int get_number_of_congested_noc_links(void) { return num_congested_links; } +double get_total_congestion_bandwidth_ratio(void) { + // get NoC links + auto& noc_links = g_vpr_ctx.noc().noc_model.get_noc_links(); + + double accum_congestion_ratio = 0.0; + + // Iterate over all NoC links and count the congested ones + for (const auto& link : noc_links) { + double congested_bw_ratio = link.get_congested_bandwidth_ratio(); + accum_congestion_ratio += congested_bw_ratio; + } + + return accum_congestion_ratio; +} + std::vector get_top_n_congested_links(int n) { // get NoC links vtr::vector noc_links = g_vpr_ctx.noc().noc_model.get_noc_links(); diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index c3e9d213e09..dd97f7d1bc6 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -387,6 +387,8 @@ int get_number_of_traffic_flows_with_latency_cons_met(void); int get_number_of_congested_noc_links(void); +double get_total_congestion_bandwidth_ratio(void); + std::vector get_top_n_congested_links(int n); std::vector get_top_n_congestion_ratios(int n); diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 4193283db09..28a922dbe3f 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -834,11 +834,13 @@ void try_place(const Netlist<>& net_list, "noc_latency_cost: %g, " "noc_latency_constraints_cost: %d, " "noc_congestion_cost: %g, " + "accum_congested_ratio: %g, " "n_congested_links: %d \n", costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost, get_number_of_traffic_flows_with_latency_cons_met(), - costs.noc_congestion_cost_norm, + costs.noc_congestion_cost, + get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); } if (placer_opts.place_algorithm.is_timing_driven()) { @@ -877,11 +879,13 @@ void try_place(const Netlist<>& net_list, "noc_latency_cost: %g " "noc_latency_constraints_cost: %d " "noc_congestion_cost: %g " + "accum_congested_ratio: %g, " "n_congested_links: %d", costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost, get_number_of_traffic_flows_with_latency_cons_met(), - costs.noc_congestion_cost_norm, + costs.noc_congestion_cost, + get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); } //Draw the initial placement @@ -1195,22 +1199,26 @@ void try_place(const Netlist<>& net_list, "noc_latency_cost: %g " "noc_latency_constraints_cost: %d " "noc_congestion_cost: %g " + "accum_congested_ratio: %g, " "n_congested_links: %d", costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost, get_number_of_traffic_flows_with_latency_cons_met(), - costs.noc_congestion_cost_norm, + costs.noc_congestion_cost, + get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); VTR_LOG("NoC Placement Costs. noc_aggregate_bandwidth_cost: %g, " "noc_latency_cost: %g, " "noc_latency_constraints_cost: %d, " "noc_congestion_cost: %g, " + "accum_congested_ratio: %g, " "n_congested_links: %d \n", costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost, get_number_of_traffic_flows_with_latency_cons_met(), - costs.noc_congestion_cost_norm, + costs.noc_congestion_cost, + get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); } update_screen(ScreenUpdatePriority::MAJOR, msg, PLACEMENT, timing_info); From 2ad4b697f42186df12ed91498db1e58444abfbe8 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 26 Jan 2024 12:55:04 -0500 Subject: [PATCH 183/608] Fix NoC test failure Some NoC tests were failing due to newly added code for congestion modeling. This commit hopefully fixes them. --- vpr/src/place/noc_place_utils.cpp | 4 +++- vpr/test/test_noc_place_utils.cpp | 10 ++++++++-- 2 files changed, 11 insertions(+), 3 deletions(-) diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 11e18d89c1f..525464b03e7 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -481,8 +481,10 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc } } + // if congestion cost is zero, we use a small cost for calculating the accepted error range + double non_zero_congestion_cost = (costs.noc_congestion_cost == 0) ? MIN_EXPECTED_NOC_CONGESTION_COST : costs.noc_congestion_cost; // check whether the NoC congestion cost is within the error range - if (fabs(cost_check.congestion - costs.noc_congestion_cost) > costs.noc_congestion_cost * error_tolerance) { + if (fabs(cost_check.congestion - costs.noc_congestion_cost) > non_zero_congestion_cost * error_tolerance) { VTR_LOG_ERROR( "noc_congestion_cost_check: %g and noc_congestion_cost: %g differ in check_noc_placement_costs.\n", cost_check.congestion, costs.noc_congestion_cost); diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 0e5de07283e..87614fe64b9 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -471,6 +471,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // setting the NoC parameters noc_ctx.noc_model.set_noc_link_latency(1); noc_ctx.noc_model.set_noc_router_latency(1); + noc_ctx.noc_model.set_noc_link_bandwidth(1); // needs to be the same as above double router_latency = noc_ctx.noc_model.get_noc_router_latency(); double link_latency = noc_ctx.noc_model.get_noc_link_latency(); @@ -1699,12 +1700,17 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { // we need to make the aggregate bandwidth cost and latency cost be a value that is larger or smaller than the tolerance value costs.noc_aggregate_bandwidth_cost += (costs.noc_aggregate_bandwidth_cost * error_tolerance * 2); costs.noc_latency_cost -= (costs.noc_latency_cost * error_tolerance * 2); + if (costs.noc_congestion_cost == 0) { + costs.noc_congestion_cost += MIN_EXPECTED_NOC_CONGESTION_COST * error_tolerance * 2; + } else { + costs.noc_congestion_cost += costs.noc_congestion_cost * error_tolerance * 2; + } // run the test function int error = check_noc_placement_costs(costs, error_tolerance, noc_opts); - // we expect error to be 2 here, meaning the found costs are not within the tolerance range - REQUIRE(error == 2); + // we expect error to be 3 here, meaning the found costs are not within the tolerance range + REQUIRE(error == 3); } // need to delete local noc routing algorithm delete routing_algorithm; From 41af9bcd3c9628ecffede6256ced1f1c8ed3cad9 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 26 Jan 2024 13:47:52 -0500 Subject: [PATCH 184/608] Remove init_chan() call --- vpr/src/base/place_and_route.cpp | 25 +++++++++++-------------- vpr/src/base/place_and_route.h | 4 +++- vpr/src/place/place.cpp | 14 ++------------ 3 files changed, 16 insertions(+), 27 deletions(-) diff --git a/vpr/src/base/place_and_route.cpp b/vpr/src/base/place_and_route.cpp index b1916852a34..186193744ce 100644 --- a/vpr/src/base/place_and_route.cpp +++ b/vpr/src/base/place_and_route.cpp @@ -5,6 +5,7 @@ #include #include #include +#include #include "vtr_util.h" #include "vtr_memory.h" @@ -425,7 +426,7 @@ int binary_search_place_and_route(const Netlist<>& placement_net_list, * is used to determine if the channel width should be rounded to an * even number. */ -t_chan_width init_chan(int cfactor, t_chan_width_dist chan_width_dist, t_graph_type graph_directionality) { +t_chan_width init_chan(int cfactor, const t_chan_width_dist& chan_width_dist, t_graph_type graph_directionality) { auto& device_ctx = g_vpr_ctx.mutable_device(); auto& grid = device_ctx.grid; @@ -460,19 +461,15 @@ t_chan_width init_chan(int cfactor, t_chan_width_dist chan_width_dist, t_graph_t } } - chan_width.max = 0; - chan_width.x_max = chan_width.y_max = INT_MIN; - chan_width.x_min = chan_width.y_min = INT_MAX; - for (size_t i = 0; i < grid.height(); ++i) { - chan_width.x_max = std::max(chan_width.x_max, chan_width.x_list[i]); - chan_width.x_min = std::min(chan_width.x_min, chan_width.x_list[i]); - } - chan_width.max = std::max(chan_width.max, chan_width.x_max); - for (size_t i = 0; i < grid.width(); ++i) { - chan_width.y_max = std::max(chan_width.y_max, chan_width.y_list[i]); - chan_width.y_min = std::min(chan_width.y_min, chan_width.y_list[i]); - } - chan_width.max = std::max(chan_width.max, chan_width.y_max); + auto minmax = std::minmax_element(chan_width.x_list.begin(), chan_width.x_list.end()); + chan_width.x_min = *minmax.first; + chan_width.x_max = *minmax.second; + + minmax = std::minmax_element(chan_width.y_list.begin(), chan_width.y_list.end()); + chan_width.y_min = *minmax.first; + chan_width.y_max = *minmax.second; + + chan_width.max = std::max(chan_width.x_max, chan_width.y_max); #ifdef VERBOSE VTR_LOG("\n"); diff --git a/vpr/src/base/place_and_route.h b/vpr/src/base/place_and_route.h index 3ec8ca9030c..7a59fa02795 100644 --- a/vpr/src/base/place_and_route.h +++ b/vpr/src/base/place_and_route.h @@ -40,7 +40,9 @@ int binary_search_place_and_route(const Netlist<>& placement_net_list, std::shared_ptr delay_calc, bool is_flat); -t_chan_width init_chan(int cfactor, t_chan_width_dist chan_width_dist, t_graph_type graph_directionality); +t_chan_width init_chan(int cfactor, + const t_chan_width_dist& chan_width_dist, + t_graph_type graph_directionality); void post_place_sync(); diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 28a922dbe3f..b66017c4fc6 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -577,7 +577,7 @@ void try_place(const Netlist<>& net_list, auto& timing_ctx = g_vpr_ctx.timing(); auto pre_place_timing_stats = timing_ctx.stats; - int tot_iter, moves_since_cost_recompute, width_fac, num_connections, + int tot_iter, moves_since_cost_recompute, num_connections, outer_crit_iter_count, inner_recompute_limit; float first_crit_exponent, first_rlim, first_t; int first_move_lim; @@ -592,7 +592,6 @@ void try_place(const Netlist<>& net_list, t_placer_statistics stats; t_placement_checkpoint placement_checkpoint; - t_graph_type graph_directionality; std::shared_ptr timing_info; std::shared_ptr placement_delay_calc; @@ -650,16 +649,6 @@ void try_place(const Netlist<>& net_list, //create the move generator based on the chosen strategy create_move_generators(move_generator, move_generator2, placer_opts, move_lim); - width_fac = placer_opts.place_chan_width; - - if (router_opts.route_type == GLOBAL) { - graph_directionality = GRAPH_BIDIR; - } else { - graph_directionality = (det_routing_arch->directionality == BI_DIRECTIONAL ? GRAPH_BIDIR : GRAPH_UNIDIR); - } - - init_chan(width_fac, chan_width_dist, graph_directionality); - alloc_and_load_placement_structs(placer_opts.place_cost_exp, placer_opts, noc_opts, directs, num_directs); vtr::ScopedStartFinishTimer timer("Placement"); @@ -692,6 +681,7 @@ void try_place(const Netlist<>& net_list, place_sync_external_block_connections(block_id); } + const int width_fac = placer_opts.place_chan_width; init_draw_coords((float)width_fac); /* Allocated here because it goes into timing critical code where each memory allocation is expensive */ From e9a27b4273cabfec8fbb20300262caab573db33b Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 26 Jan 2024 17:15:37 -0500 Subject: [PATCH 185/608] Update normalization factors during NoC initial placement --- vpr/src/place/initial_noc_placement.cpp | 69 ++++++++++++++++++++++++- vpr/src/place/place.cpp | 2 +- 2 files changed, 69 insertions(+), 2 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index d0aaa573bf7..aec088a1619 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -41,6 +41,16 @@ static void place_noc_routers_randomly(std::vector& unfixed_rout */ static void noc_routers_anneal(const t_noc_opts& noc_opts); +/** + * @brief Check whether normalization factors need to be updated. + * + * @param costs Most recent NoC cost terms. + * @param old_costs NoC cost terms from the last time normalization + * factors were updated. + */ +static bool is_renormalization_needed(const t_placer_costs& costs, + const t_placer_costs& old_costs); + static bool accept_noc_swap(double delta_cost, double prob) { if (delta_cost <= 0.0) { return true; @@ -58,6 +68,40 @@ static bool accept_noc_swap(double delta_cost, double prob) { } } +static bool is_renormalization_needed(const t_placer_costs& costs, + const t_placer_costs& old_costs) { + constexpr double COST_DIFF_TOLERANCE = 0.1; + bool renormalization_needed = false; + double cost_diff; + + cost_diff = fabs(costs.noc_aggregate_bandwidth_cost - old_costs.noc_aggregate_bandwidth_cost); + // aggregate bandwidth has changed significantly + if (cost_diff > costs.noc_aggregate_bandwidth_cost * COST_DIFF_TOLERANCE) { + renormalization_needed = true; + } + + cost_diff = (fabs(costs.noc_latency_cost - old_costs.noc_latency_cost)); + // if latency cost only considers latency constraints, it might become zero + // a transition from zero or to zero cost necessitates renormalization + if ((costs.noc_latency_cost == 0.0 && old_costs.noc_latency_cost != 0.0) || + (costs.noc_latency_cost != 0.0 && old_costs.noc_latency_cost == 0.0)) { + renormalization_needed = true; + } else if (cost_diff > costs.noc_latency_cost * COST_DIFF_TOLERANCE) { + renormalization_needed = true; + } + + cost_diff = (fabs(costs.noc_congestion_cost - old_costs.noc_congestion_cost)); + // a transition from zero or to zero cost necessitates renormalization + if ((costs.noc_congestion_cost == 0.0 && old_costs.noc_congestion_cost != 0.0) || + (costs.noc_congestion_cost != 0.0 && old_costs.noc_congestion_cost == 0.0)) { + renormalization_needed = true; + } else if (cost_diff > costs.noc_congestion_cost * COST_DIFF_TOLERANCE) { + renormalization_needed = true; + } + + return renormalization_needed; +} + static void place_constrained_noc_router(ClusterBlockId router_blk_id) { auto& cluster_ctx = g_vpr_ctx.clustering(); const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); @@ -156,6 +200,8 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { // Only NoC related costs are considered t_placer_costs costs; + // NoC costs from the last time normalization factors were updated + t_placer_costs old_costs; // Initialize NoC-related costs costs.noc_aggregate_bandwidth_cost = comp_noc_aggregate_bandwidth_cost(); @@ -163,6 +209,7 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); update_noc_normalization_factors(costs); costs.cost = calculate_noc_cost(NocCostTerms(costs), costs, noc_opts); + old_costs = costs; // Maximum distance in each direction that a router can travel in a move // It is assumed that NoC routers are organized in a square grid. @@ -179,11 +226,16 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { // the constant factor above 35000. // Get all the router clusters and figure out how many of them exist const int num_router_clusters = noc_ctx.noc_traffic_flows_storage.get_router_clusters_in_netlist().size(); - const int N_MOVES = num_router_clusters * 35000; + const int N_MOVES_PER_ROUTER = 35000; + const int N_MOVES = num_router_clusters * N_MOVES_PER_ROUTER; + + const int RENORMALIZATION_LIM = 1024; + int renormalization_cnt = 0; const double starting_prob = 0.5; const double prob_step = starting_prob / N_MOVES; + // The checkpoint stored the placement with the lowest cost. NoCPlacementCheckpoint checkpoint; @@ -199,6 +251,9 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { * Range limit and the probability of accepting swaps with positive delta cost * decrease linearly as more swaps are evaluated. Late in the annealing, * NoC routers are swapped only with their neighbors as the range limit approaches 1. + * + * After each RENORMALIZATION_LIM accepted moves, if NoC cost terms have changed + * significantly, I update the normalization factors and re-compute the total cost. */ // Generate and evaluate router moves @@ -224,9 +279,21 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { commit_move_blocks(blocks_affected); commit_noc_costs(); costs += noc_delta_c; + // check if the current placement is better than the stored checkpoint if (costs.cost < checkpoint.get_cost() || !checkpoint.is_valid()) { checkpoint.save_checkpoint(costs.cost); } + + renormalization_cnt++; + if (renormalization_cnt == RENORMALIZATION_LIM) { + renormalization_cnt = 0; + if (is_renormalization_needed(costs, old_costs)) { + update_noc_normalization_factors(costs); + costs.cost = calculate_noc_cost(NocCostTerms(costs), costs, noc_opts); + old_costs = costs; + } + } + } else { // The proposed move is rejected revert_move_blocks(blocks_affected); revert_noc_traffic_flow_routes(blocks_affected); diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index b66017c4fc6..5c4d5232e4b 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -999,7 +999,7 @@ void try_place(const Netlist<>& net_list, } } - //move the appropoiate move_generator to be the current used move generator + //move the appropriate move_generator to be the current used move generator assign_current_move_generator(move_generator, move_generator2, agent_state, placer_opts, false, current_move_generator); From f7731d2a4350b84aab5ae281b7676b0a127029bb Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 26 Jan 2024 17:21:18 -0500 Subject: [PATCH 186/608] pass strings by reference --- libs/libarchfpga/src/physical_types_util.h | 2 +- vpr/src/base/read_options.cpp | 66 +++++++++++----------- vpr/src/base/vpr_api.cpp | 8 +-- vpr/src/base/vpr_api.h | 2 +- vpr/src/util/vpr_utils.cpp | 14 ++--- vpr/src/util/vpr_utils.h | 8 +-- 6 files changed, 50 insertions(+), 50 deletions(-) diff --git a/libs/libarchfpga/src/physical_types_util.h b/libs/libarchfpga/src/physical_types_util.h index e27ba096b54..4d9c3013682 100644 --- a/libs/libarchfpga/src/physical_types_util.h +++ b/libs/libarchfpga/src/physical_types_util.h @@ -171,7 +171,7 @@ std::vector block_type_class_index_to_pin_names(t_physical_tile_typ bool is_flat); ///@brief Returns the physical tile type matching a given physical tile type name, or nullptr (if not found) -t_physical_tile_type_ptr find_tile_type_by_name(std::string name, const std::vector& types); +t_physical_tile_type_ptr find_tile_type_by_name(const std::string& name, const std::vector& types); int find_pin_class(t_physical_tile_type_ptr type, std::string port_name, int pin_index_in_port, e_pin_type pin_type); diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 971f92b5071..2a0454612b6 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -62,7 +62,7 @@ struct ParseOnOff { }; struct ParseArchFormat { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "vtr") conv_value.set_value(e_arch_format::VTR); @@ -94,7 +94,7 @@ struct ParseArchFormat { } }; struct ParseCircuitFormat { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "auto") conv_value.set_value(e_circuit_format::AUTO); @@ -134,7 +134,7 @@ struct ParseCircuitFormat { } }; struct ParseRoutePredictor { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "safe") conv_value.set_value(SAFE); @@ -170,7 +170,7 @@ struct ParseRoutePredictor { }; struct ParseRouterAlgorithm { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "parallel") conv_value.set_value(PARALLEL); @@ -201,7 +201,7 @@ struct ParseRouterAlgorithm { }; struct ParseNodeReorderAlgorithm { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "none") conv_value.set_value(DONT_REORDER); @@ -236,7 +236,7 @@ struct ParseNodeReorderAlgorithm { }; struct RouteBudgetsAlgorithm { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "minimax") conv_value.set_value(MINIMAX); @@ -276,7 +276,7 @@ struct RouteBudgetsAlgorithm { }; struct ParseRouteType { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "global") conv_value.set_value(GLOBAL); @@ -307,7 +307,7 @@ struct ParseRouteType { }; struct ParseBaseCost { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "delay_normalized") conv_value.set_value(DELAY_NORMALIZED); @@ -358,7 +358,7 @@ struct ParseBaseCost { }; struct ParsePlaceDeltaDelayAlgorithm { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "astar") conv_value.set_value(e_place_delta_delay_algorithm::ASTAR_ROUTE); @@ -389,7 +389,7 @@ struct ParsePlaceDeltaDelayAlgorithm { }; struct ParsePlaceAlgorithm { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "bounding_box") { conv_value.set_value(BOUNDING_BOX_PLACE); @@ -431,7 +431,7 @@ struct ParsePlaceAlgorithm { }; struct ParsePlaceBoundingBox { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "auto_bb") { conv_value.set_value(AUTO_BB); @@ -466,7 +466,7 @@ struct ParsePlaceBoundingBox { }; struct ParsePlaceAgentAlgorithm { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "e_greedy") conv_value.set_value(E_GREEDY); @@ -497,7 +497,7 @@ struct ParsePlaceAgentAlgorithm { }; struct ParsePlaceAgentSpace { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "move_type") conv_value.set_value(e_agent_space::MOVE_TYPE); @@ -528,7 +528,7 @@ struct ParsePlaceAgentSpace { }; struct ParseFixPins { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "free") conv_value.set_value(FREE); @@ -559,7 +559,7 @@ struct ParseFixPins { }; struct ParseClusterSeed { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "timing") conv_value.set_value(e_cluster_seed::TIMING); @@ -606,7 +606,7 @@ struct ParseClusterSeed { }; struct ParseConstantNetMethod { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "global") conv_value.set_value(CONSTANT_NET_GLOBAL); @@ -637,7 +637,7 @@ struct ParseConstantNetMethod { }; struct ParseTimingReportDetail { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "netlist") conv_value.set_value(e_timing_report_detail::NETLIST); @@ -677,7 +677,7 @@ struct ParseTimingReportDetail { }; struct ParseClockModeling { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "ideal") conv_value.set_value(IDEAL_CLOCK); @@ -715,7 +715,7 @@ struct ParseClockModeling { }; struct ParseUnrelatedClustering { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "on") conv_value.set_value(e_unrelated_clustering::ON); @@ -753,7 +753,7 @@ struct ParseUnrelatedClustering { }; struct ParseBalanceBlockTypeUtil { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "on") conv_value.set_value(e_balance_block_type_util::ON); @@ -791,7 +791,7 @@ struct ParseBalanceBlockTypeUtil { }; struct ParseConstGenInference { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "none") conv_value.set_value(e_const_gen_inference::NONE); @@ -829,7 +829,7 @@ struct ParseConstGenInference { }; struct ParseIncrRerouteDelayRipup { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "on") conv_value.set_value(e_incr_reroute_delay_ripup::ON); @@ -867,7 +867,7 @@ struct ParseIncrRerouteDelayRipup { }; struct ParseRouteBBUpdate { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "static") conv_value.set_value(e_route_bb_update::STATIC); @@ -901,7 +901,7 @@ struct ParseRouteBBUpdate { }; struct ParseRouterLookahead { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "classic") conv_value.set_value(e_router_lookahead::CLASSIC); @@ -939,7 +939,7 @@ struct ParseRouterLookahead { }; struct ParsePlaceDelayModel { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "delta") conv_value.set_value(PlaceDelayModelType::DELTA); @@ -973,7 +973,7 @@ struct ParsePlaceDelayModel { }; struct ParseReducer { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "min") conv_value.set_value(e_reducer::MIN); @@ -1016,7 +1016,7 @@ struct ParseReducer { }; struct ParseRouterFirstIterTiming { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "all_critical") conv_value.set_value(e_router_initial_timing::ALL_CRITICAL); @@ -1047,7 +1047,7 @@ struct ParseRouterFirstIterTiming { }; struct ParseRouterHeap { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "binary") conv_value.set_value(e_heap_type::BINARY_HEAP); @@ -1078,7 +1078,7 @@ struct ParseRouterHeap { }; struct ParseCheckRoute { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "off") conv_value.set_value(e_check_route_option::OFF); @@ -1113,7 +1113,7 @@ struct ParseCheckRoute { }; struct ParsePlaceEfforScaling { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "circuit") conv_value.set_value(e_place_effort_scaling::CIRCUIT); @@ -1144,7 +1144,7 @@ struct ParsePlaceEfforScaling { }; struct ParseTimingUpdateType { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "auto") conv_value.set_value(e_timing_update_type::AUTO); @@ -1179,7 +1179,7 @@ struct ParseTimingUpdateType { }; struct ParsePostSynthNetlistUnconnInputHandling { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "unconnected") conv_value.set_value(e_post_synth_netlist_unconn_handling::UNCONNECTED); @@ -1218,7 +1218,7 @@ struct ParsePostSynthNetlistUnconnInputHandling { }; struct ParsePostSynthNetlistUnconnOutputHandling { - ConvertedValue from_str(std::string str) { + ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; if (str == "unconnected") conv_value.set_value(e_post_synth_netlist_unconn_handling::UNCONNECTED); diff --git a/vpr/src/base/vpr_api.cpp b/vpr/src/base/vpr_api.cpp index cc5d23343de..47733286088 100644 --- a/vpr/src/base/vpr_api.cpp +++ b/vpr/src/base/vpr_api.cpp @@ -251,7 +251,7 @@ void vpr_init_with_options(const t_options* options, t_vpr_setup* vpr_setup, t_a * Initialize the functions names for which VPR_ERRORs * are demoted to VTR_LOG_WARNs */ - for (std::string func_name : vtr::split(options->disable_errors, std::string(":"))) { + for (const std::string& func_name : vtr::split(options->disable_errors, std::string(":"))) { map_error_activation_status(func_name); } @@ -272,7 +272,7 @@ void vpr_init_with_options(const t_options* options, t_vpr_setup* vpr_setup, t_a } set_noisy_warn_log_file(warn_log_file); - for (std::string func_name : vtr::split(warn_functions, std::string(":"))) { + for (const std::string& func_name : vtr::split(warn_functions, std::string(":"))) { add_warnings_to_suppress(func_name); } @@ -559,7 +559,7 @@ void vpr_setup_noc(const t_vpr_setup& vpr_setup, const t_arch& arch) { * @param noc_routing_algorithm_name A user provided string that identifies a * NoC routing algorithm */ -void vpr_setup_noc_routing_algorithm(std::string noc_routing_algorithm_name) { +void vpr_setup_noc_routing_algorithm(const std::string& noc_routing_algorithm_name) { // Need to be abke to modify the NoC context, since we will be adding the // newly created routing algorithm to it auto& noc_ctx = g_vpr_ctx.mutable_noc(); @@ -1085,7 +1085,7 @@ static void get_intercluster_switch_fanin_estimates(const t_vpr_setup& vpr_setup auto type = find_most_common_tile_type(grid); /* get Fc_in/out for most common block (e.g. logic blocks) */ - VTR_ASSERT(type->fc_specs.size() > 0); + VTR_ASSERT(!type->fc_specs.empty()); //Estimate the maximum Fc_in/Fc_out diff --git a/vpr/src/base/vpr_api.h b/vpr/src/base/vpr_api.h index 15509be1115..b4c89e25051 100644 --- a/vpr/src/base/vpr_api.h +++ b/vpr/src/base/vpr_api.h @@ -144,7 +144,7 @@ void vpr_close_graphics(const t_vpr_setup& vpr_setup); void vpr_setup_clock_networks(t_vpr_setup& vpr_setup, const t_arch& Arch); void vpr_setup_noc(const t_vpr_setup& vpr_setup, const t_arch& arch); -void vpr_setup_noc_routing_algorithm(std::string noc_routing_algorithm_name); +void vpr_setup_noc_routing_algorithm(const std::string& noc_routing_algorithm_name); void vpr_free_vpr_data_structures(t_arch& Arch, t_vpr_setup& vpr_setup); void vpr_free_all(t_arch& Arch, diff --git a/vpr/src/util/vpr_utils.cpp b/vpr/src/util/vpr_utils.cpp index b200a06ba7f..8c1faaafdff 100644 --- a/vpr/src/util/vpr_utils.cpp +++ b/vpr/src/util/vpr_utils.cpp @@ -3,6 +3,7 @@ #include #include #include +#include #include "vtr_assert.h" #include "vtr_log.h" @@ -17,7 +18,6 @@ #include "vpr_utils.h" #include "cluster_placement.h" #include "place_macro.h" -#include "string.h" #include "pack_types.h" #include "device_grid.h" #include "timing_fail_error.h" @@ -181,7 +181,7 @@ void sync_grid_to_blocks() { } if (device_ctx.grid.get_width_offset({blk_x, blk_y, blk_layer}) != 0 || device_ctx.grid.get_height_offset({blk_x, blk_y, blk_layer}) != 0) { - VPR_FATAL_ERROR(VPR_ERROR_PLACE, "Large block not aligned in placment for cluster_ctx.blocks %lu at (%d, %d, %d, %d).", + VPR_FATAL_ERROR(VPR_ERROR_PLACE, "Large block not aligned in placement for cluster_ctx.blocks %lu at (%d, %d, %d, %d).", size_t(blk_id), blk_x, blk_y, blk_z, blk_layer); } @@ -675,7 +675,7 @@ void get_pin_range_for_block(const ClusterBlockId blk_id, *pin_high = sub_tile.sub_tile_to_tile_pin_indices[rel_pin_high]; } -t_physical_tile_type_ptr find_tile_type_by_name(std::string name, const std::vector& types) { +t_physical_tile_type_ptr find_tile_type_by_name(const std::string& name, const std::vector& types) { for (auto const& type : types) { if (type.name == name) { return &type; @@ -814,7 +814,7 @@ t_physical_tile_type_ptr find_most_common_tile_type(const DeviceGrid& grid) { return max_type; } -InstPort parse_inst_port(std::string str) { +InstPort parse_inst_port(const std::string& str) { InstPort inst_port(str); auto& device_ctx = g_vpr_ctx.device(); @@ -1172,7 +1172,7 @@ t_pb_graph_pin* get_pb_graph_node_pin_from_block_pin(ClusterBlockId iblock, int return nullptr; } -const t_port* find_pb_graph_port(const t_pb_graph_node* pb_gnode, std::string port_name) { +const t_port* find_pb_graph_port(const t_pb_graph_node* pb_gnode, const std::string& port_name) { const t_pb_graph_pin* gpin = find_pb_graph_pin(pb_gnode, port_name, 0); if (gpin != nullptr) { @@ -1181,7 +1181,7 @@ const t_port* find_pb_graph_port(const t_pb_graph_node* pb_gnode, std::string po return nullptr; } -const t_pb_graph_pin* find_pb_graph_pin(const t_pb_graph_node* pb_gnode, std::string port_name, int index) { +const t_pb_graph_pin* find_pb_graph_pin(const t_pb_graph_node* pb_gnode, const std::string& port_name, int index) { for (int iport = 0; iport < pb_gnode->num_input_ports; iport++) { if (pb_gnode->num_input_pins[iport] < index) continue; @@ -2240,7 +2240,7 @@ void pretty_print_float(const char* prefix, double value, int num_digits, int sc } } -void print_timing_stats(std::string name, +void print_timing_stats(const std::string& name, const t_timing_analysis_profile_info& current, const t_timing_analysis_profile_info& past) { VTR_LOG("%s timing analysis took %g seconds (%g STA, %g slack) (%zu full updates: %zu setup, %zu hold, %zu combined).\n", diff --git a/vpr/src/util/vpr_utils.h b/vpr/src/util/vpr_utils.h index 75842967cd1..cdc1281cbca 100644 --- a/vpr/src/util/vpr_utils.h +++ b/vpr/src/util/vpr_utils.h @@ -153,10 +153,10 @@ std::vector find_clb_pin_sink_atom_pins(ClusterBlockId clb, int logic std::tuple find_pb_route_clb_input_net_pin(ClusterBlockId clb, int sink_pb_route_id); //Returns the port matching name within pb_gnode -const t_port* find_pb_graph_port(const t_pb_graph_node* pb_gnode, std::string port_name); +const t_port* find_pb_graph_port(const t_pb_graph_node* pb_gnode, const std::string& port_name); //Returns the graph pin matching name at pin index -const t_pb_graph_pin* find_pb_graph_pin(const t_pb_graph_node* pb_gnode, std::string port_name, int index); +const t_pb_graph_pin* find_pb_graph_pin(const t_pb_graph_node* pb_gnode, const std::string& port_name, int index); AtomPinId find_atom_pin(ClusterBlockId blk_id, const t_pb_graph_pin* pb_gpin); @@ -168,7 +168,7 @@ t_physical_tile_type_ptr find_most_common_tile_type(const DeviceGrid& grid); //Parses a block_name.port[x:y] (e.g. LAB.data_in[3:10]) pin range specification, if no pin range is specified //looks-up the block port and fills in the full range -InstPort parse_inst_port(std::string str); +InstPort parse_inst_port(const std::string& str); //Returns the block type which is most likely the logic block t_logical_block_type_ptr infer_logic_block_type(const DeviceGrid& grid); @@ -250,7 +250,7 @@ int max_pins_per_grid_tile(); void pretty_print_uint(const char* prefix, size_t value, int num_digits, int scientific_precision); void pretty_print_float(const char* prefix, double value, int num_digits, int scientific_precision); -void print_timing_stats(std::string name, +void print_timing_stats(const std::string& name, const t_timing_analysis_profile_info& current, const t_timing_analysis_profile_info& past = t_timing_analysis_profile_info()); From 4a22e5b0efbe3b3b2d040102d82914d63724536e Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 29 Jan 2024 18:35:15 -0500 Subject: [PATCH 187/608] Print NoC metrics in print_place_status() --- vpr/src/place/noc_place_utils.cpp | 14 +++-- vpr/src/place/place.cpp | 96 ++++++++++++++++++++++--------- 2 files changed, 77 insertions(+), 33 deletions(-) diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 525464b03e7..fe863e86acd 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -482,13 +482,15 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc } // if congestion cost is zero, we use a small cost for calculating the accepted error range - double non_zero_congestion_cost = (costs.noc_congestion_cost == 0) ? MIN_EXPECTED_NOC_CONGESTION_COST : costs.noc_congestion_cost; + double non_zero_congestion_cost = (costs.noc_congestion_cost < MIN_EXPECTED_NOC_CONGESTION_COST) ? MIN_EXPECTED_NOC_CONGESTION_COST : costs.noc_congestion_cost; // check whether the NoC congestion cost is within the error range - if (fabs(cost_check.congestion - costs.noc_congestion_cost) > non_zero_congestion_cost * error_tolerance) { - VTR_LOG_ERROR( - "noc_congestion_cost_check: %g and noc_congestion_cost: %g differ in check_noc_placement_costs.\n", - cost_check.congestion, costs.noc_congestion_cost); - error++; + if (fabs(cost_check.congestion - costs.noc_congestion_cost) > non_zero_congestion_cost){ + if (!(cost_check.congestion < MIN_EXPECTED_NOC_CONGESTION_COST && costs.noc_congestion_cost < MIN_EXPECTED_NOC_CONGESTION_COST)) { + VTR_LOG_ERROR( + "noc_congestion_cost_check: %g and noc_congestion_cost: %g differ in check_noc_placement_costs.\n", + cost_check.congestion, costs.noc_congestion_cost); + error++; + } } return error; diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 5c4d5232e4b..1f37581f7af 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -526,7 +526,7 @@ static void calculate_reward_and_process_outcome( float timing_bb_factor, MoveGenerator& move_generator); -static void print_place_status_header(); +static void print_place_status_header(bool noc_enabled); static void print_place_status(const t_annealing_state& state, const t_placer_statistics& stats, @@ -534,7 +534,11 @@ static void print_place_status(const t_annealing_state& state, float cpd, float sTNS, float sWNS, - size_t tot_moves); + size_t tot_moves, + bool noc_enabled, + float noc_agg_bw, + float noc_agg_latency, + float noc_cong); static void print_resources_utilization(); @@ -820,12 +824,15 @@ void try_place(const Netlist<>& net_list, VTR_LOG("Initial placement cost: %g bb_cost: %g td_cost: %g\n", costs.cost, costs.bb_cost, costs.timing_cost); if (noc_opts.noc) { - VTR_LOG("NoC Placement Costs. noc_aggregate_bandwidth_cost: %g, " + VTR_LOG("NoC Placement Costs. " + "noc cost: %g, " + "noc_aggregate_bandwidth_cost: %g, " "noc_latency_cost: %g, " "noc_latency_constraints_cost: %d, " "noc_congestion_cost: %g, " "accum_congested_ratio: %g, " "n_congested_links: %d \n", + calculate_noc_cost(NocCostTerms(costs), costs, noc_opts), costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost, get_number_of_traffic_flows_with_latency_cons_met(), @@ -865,12 +872,15 @@ void try_place(const Netlist<>& net_list, costs.cost, costs.bb_cost, costs.timing_cost, width_fac); if (noc_opts.noc) { sprintf(msg, - "\nInitial NoC Placement Costs. noc_aggregate_bandwidth_cost: %g " + "\nInitial NoC Placement Costs. " + "noc cost: %g, " + "noc_aggregate_bandwidth_cost: %g " "noc_latency_cost: %g " "noc_latency_constraints_cost: %d " "noc_congestion_cost: %g " "accum_congested_ratio: %g, " "n_congested_links: %d", + calculate_noc_cost(NocCostTerms(costs), costs, noc_opts), costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost, get_number_of_traffic_flows_with_latency_cons_met(), @@ -973,7 +983,7 @@ void try_place(const Netlist<>& net_list, if (skip_anneal == false) { //Table header VTR_LOG("\n"); - print_place_status_header(); + print_place_status_header(noc_opts.noc); /* Outer loop of the simulated annealing begins */ do { @@ -1022,7 +1032,9 @@ void try_place(const Netlist<>& net_list, ++state.num_temps; print_place_status(state, stats, temperature_timer.elapsed_sec(), - critical_path.delay(), sTNS, sWNS, tot_iter); + critical_path.delay(), sTNS, sWNS, tot_iter, + noc_opts.noc, costs.noc_aggregate_bandwidth_cost, + costs.noc_latency_cost, costs.noc_congestion_cost); if (placer_opts.place_algorithm.is_timing_driven() && placer_opts.place_agent_multistate @@ -1093,7 +1105,9 @@ void try_place(const Netlist<>& net_list, } print_place_status(state, stats, temperature_timer.elapsed_sec(), - critical_path.delay(), sTNS, sWNS, tot_iter); + critical_path.delay(), sTNS, sWNS, tot_iter, + noc_opts.noc, costs.noc_aggregate_bandwidth_cost, + costs.noc_latency_cost, costs.noc_congestion_cost); } auto post_quench_timing_stats = timing_ctx.stats; @@ -1185,12 +1199,15 @@ void try_place(const Netlist<>& net_list, // print the noc costs info if (noc_opts.noc) { sprintf(msg, - "\nNoC Placement Costs. noc_aggregate_bandwidth_cost: %g " + "\nNoC Placement Costs. " + "noc cost: %g, " + "noc_aggregate_bandwidth_cost: %g " "noc_latency_cost: %g " "noc_latency_constraints_cost: %d " "noc_congestion_cost: %g " "accum_congested_ratio: %g, " "n_congested_links: %d", + calculate_noc_cost(NocCostTerms(costs), costs, noc_opts), costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost, get_number_of_traffic_flows_with_latency_cons_met(), @@ -1198,12 +1215,15 @@ void try_place(const Netlist<>& net_list, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); - VTR_LOG("NoC Placement Costs. noc_aggregate_bandwidth_cost: %g, " + VTR_LOG("\nNoC Placement Costs. " + "noc cost: %g, " + "noc_aggregate_bandwidth_cost: %g " "noc_latency_cost: %g, " "noc_latency_constraints_cost: %d, " "noc_congestion_cost: %g, " "accum_congested_ratio: %g, " "n_congested_links: %d \n", + calculate_noc_cost(NocCostTerms(costs), costs, noc_opts), costs.noc_aggregate_bandwidth_cost, costs.noc_latency_cost, get_number_of_traffic_flows_with_latency_cons_met(), @@ -2314,7 +2334,7 @@ static double get_total_cost(t_placer_costs* costs, const t_placer_opts& placer_ } if (noc_opts.noc) { - // in noc mode we include noc agggregate bandwidth and noc latency + // in noc mode we include noc aggregate bandwidth and noc latency total_cost += calculate_noc_cost(NocCostTerms(*costs), *costs, noc_opts); } @@ -4195,15 +4215,27 @@ static void update_screen_debug() { } #endif -static void print_place_status_header() { - VTR_LOG( - "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------\n"); - VTR_LOG( - "Tnum Time T Av Cost Av BB Cost Av TD Cost CPD sTNS sWNS Ac Rate Std Dev R lim Crit Exp Tot Moves Alpha\n"); - VTR_LOG( - " (sec) (ns) (ns) (ns) \n"); - VTR_LOG( - "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------\n"); +static void print_place_status_header(bool noc_enabled) { + if (!noc_enabled) { + VTR_LOG( + "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------\n"); + VTR_LOG( + "Tnum Time T Av Cost Av BB Cost Av TD Cost CPD sTNS sWNS Ac Rate Std Dev R lim Crit Exp Tot Moves Alpha\n"); + VTR_LOG( + " (sec) (ns) (ns) (ns) \n"); + VTR_LOG( + "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------\n"); + } else { + VTR_LOG( + "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------ -------- -------- ---------\n"); + VTR_LOG( + "Tnum Time T Av Cost Av BB Cost Av TD Cost CPD sTNS sWNS Ac Rate Std Dev R lim Crit Exp Tot Moves Alpha Agg. BW Agg. Lat NoC Cong.\n"); + VTR_LOG( + " (sec) (ns) (ns) (ns) (bps) (ns) \n"); + VTR_LOG( + "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------ -------- -------- ---------\n"); + } + } static void print_place_status(const t_annealing_state& state, @@ -4212,22 +4244,32 @@ static void print_place_status(const t_annealing_state& state, float cpd, float sTNS, float sWNS, - size_t tot_moves) { + size_t tot_moves, + bool noc_enabled, + float noc_agg_bw, + float noc_agg_latency, + float noc_cong) { VTR_LOG( - "%4zu " - "%6.1f " - "%7.1e " + "%4zu %6.1f %7.1e " "%7.3f %10.2f %-10.5g " "%7.3f % 10.3g % 8.3f " "%7.3f %7.4f %6.1f %8.2f", state.num_temps, elapsed_sec, state.t, - stats.av_cost, stats.av_bb_cost, stats.av_timing_cost, 1e9 * cpd, - 1e9 * sTNS, 1e9 * sWNS, stats.success_rate, stats.std_dev, - state.rlim, state.crit_exponent); + stats.av_cost, stats.av_bb_cost, stats.av_timing_cost, + 1e9 * cpd, 1e9 * sTNS, 1e9 * sWNS, + stats.success_rate, stats.std_dev, state.rlim, state.crit_exponent); pretty_print_uint(" ", tot_moves, 9, 3); - VTR_LOG(" %6.3f\n", state.alpha); + VTR_LOG(" %6.3f", state.alpha); + + if (noc_enabled) { + VTR_LOG( + " %7.2e %7.2e %8.2f", + noc_agg_bw, noc_agg_latency, noc_cong); + } + + VTR_LOG("\n"); fflush(stdout); } From 5726f98c45a48f22950431f0fcb619ab32dde903 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 29 Jan 2024 18:38:03 -0500 Subject: [PATCH 188/608] revert renormalization in initial noc placement --- vpr/src/place/initial_noc_placement.cpp | 61 ------------------------- 1 file changed, 61 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index aec088a1619..f5278123a4f 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -41,16 +41,6 @@ static void place_noc_routers_randomly(std::vector& unfixed_rout */ static void noc_routers_anneal(const t_noc_opts& noc_opts); -/** - * @brief Check whether normalization factors need to be updated. - * - * @param costs Most recent NoC cost terms. - * @param old_costs NoC cost terms from the last time normalization - * factors were updated. - */ -static bool is_renormalization_needed(const t_placer_costs& costs, - const t_placer_costs& old_costs); - static bool accept_noc_swap(double delta_cost, double prob) { if (delta_cost <= 0.0) { return true; @@ -68,40 +58,6 @@ static bool accept_noc_swap(double delta_cost, double prob) { } } -static bool is_renormalization_needed(const t_placer_costs& costs, - const t_placer_costs& old_costs) { - constexpr double COST_DIFF_TOLERANCE = 0.1; - bool renormalization_needed = false; - double cost_diff; - - cost_diff = fabs(costs.noc_aggregate_bandwidth_cost - old_costs.noc_aggregate_bandwidth_cost); - // aggregate bandwidth has changed significantly - if (cost_diff > costs.noc_aggregate_bandwidth_cost * COST_DIFF_TOLERANCE) { - renormalization_needed = true; - } - - cost_diff = (fabs(costs.noc_latency_cost - old_costs.noc_latency_cost)); - // if latency cost only considers latency constraints, it might become zero - // a transition from zero or to zero cost necessitates renormalization - if ((costs.noc_latency_cost == 0.0 && old_costs.noc_latency_cost != 0.0) || - (costs.noc_latency_cost != 0.0 && old_costs.noc_latency_cost == 0.0)) { - renormalization_needed = true; - } else if (cost_diff > costs.noc_latency_cost * COST_DIFF_TOLERANCE) { - renormalization_needed = true; - } - - cost_diff = (fabs(costs.noc_congestion_cost - old_costs.noc_congestion_cost)); - // a transition from zero or to zero cost necessitates renormalization - if ((costs.noc_congestion_cost == 0.0 && old_costs.noc_congestion_cost != 0.0) || - (costs.noc_congestion_cost != 0.0 && old_costs.noc_congestion_cost == 0.0)) { - renormalization_needed = true; - } else if (cost_diff > costs.noc_congestion_cost * COST_DIFF_TOLERANCE) { - renormalization_needed = true; - } - - return renormalization_needed; -} - static void place_constrained_noc_router(ClusterBlockId router_blk_id) { auto& cluster_ctx = g_vpr_ctx.clustering(); const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); @@ -229,9 +185,6 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { const int N_MOVES_PER_ROUTER = 35000; const int N_MOVES = num_router_clusters * N_MOVES_PER_ROUTER; - const int RENORMALIZATION_LIM = 1024; - int renormalization_cnt = 0; - const double starting_prob = 0.5; const double prob_step = starting_prob / N_MOVES; @@ -251,9 +204,6 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { * Range limit and the probability of accepting swaps with positive delta cost * decrease linearly as more swaps are evaluated. Late in the annealing, * NoC routers are swapped only with their neighbors as the range limit approaches 1. - * - * After each RENORMALIZATION_LIM accepted moves, if NoC cost terms have changed - * significantly, I update the normalization factors and re-compute the total cost. */ // Generate and evaluate router moves @@ -283,17 +233,6 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { if (costs.cost < checkpoint.get_cost() || !checkpoint.is_valid()) { checkpoint.save_checkpoint(costs.cost); } - - renormalization_cnt++; - if (renormalization_cnt == RENORMALIZATION_LIM) { - renormalization_cnt = 0; - if (is_renormalization_needed(costs, old_costs)) { - update_noc_normalization_factors(costs); - costs.cost = calculate_noc_cost(NocCostTerms(costs), costs, noc_opts); - old_costs = costs; - } - } - } else { // The proposed move is rejected revert_move_blocks(blocks_affected); revert_noc_traffic_flow_routes(blocks_affected); From 3d41245079cfd5ca7d9df7d3ab86fdeb16ecc428 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 30 Jan 2024 11:46:03 -0500 Subject: [PATCH 189/608] Update test_check_noc_placement_costs to test congestion --- vpr/src/place/noc_place_utils.cpp | 9 ++-- vpr/test/test_noc_place_utils.cpp | 69 ++++++++++++++++++++++--------- 2 files changed, 54 insertions(+), 24 deletions(-) diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index fe863e86acd..377730bb110 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -481,11 +481,10 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc } } - // if congestion cost is zero, we use a small cost for calculating the accepted error range - double non_zero_congestion_cost = (costs.noc_congestion_cost < MIN_EXPECTED_NOC_CONGESTION_COST) ? MIN_EXPECTED_NOC_CONGESTION_COST : costs.noc_congestion_cost; - // check whether the NoC congestion cost is within the error range - if (fabs(cost_check.congestion - costs.noc_congestion_cost) > non_zero_congestion_cost){ - if (!(cost_check.congestion < MIN_EXPECTED_NOC_CONGESTION_COST && costs.noc_congestion_cost < MIN_EXPECTED_NOC_CONGESTION_COST)) { + // check the recomputed congestion cost only if it is higher than the minimum expected value + if (cost_check.congestion > MIN_EXPECTED_NOC_CONGESTION_COST) { + // check whether the NoC congestion cost is within the error range + if (fabs(cost_check.congestion - costs.noc_congestion_cost) > costs.noc_congestion_cost * error_tolerance) { VTR_LOG_ERROR( "noc_congestion_cost_check: %g and noc_congestion_cost: %g differ in check_noc_placement_costs.\n", cost_check.congestion, costs.noc_congestion_cost); diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 87614fe64b9..1723dfdf200 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -128,7 +128,9 @@ TEST_CASE("test_initial_noc_placement", "[noc_place_utils]") { double traffic_flow_bandwidth_usage = (double)dist_2(rand_num_gen); // create and add the traffic flow - noc_ctx.noc_traffic_flows_storage.create_noc_traffic_flow(source_traffic_flow_name, sink_traffic_flow_name, source_router_for_traffic_flow, sink_router_for_traffic_flow, traffic_flow_bandwidth_usage, traffic_flow_latency, traffic_flow_priority); + noc_ctx.noc_traffic_flows_storage.create_noc_traffic_flow(source_traffic_flow_name, sink_traffic_flow_name, + source_router_for_traffic_flow, sink_router_for_traffic_flow, + traffic_flow_bandwidth_usage, traffic_flow_latency, traffic_flow_priority); number_of_created_traffic_flows++; @@ -309,7 +311,9 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { int traffic_flow_priority = dist_1(rand_num_gen); // create and add the traffic flow - noc_ctx.noc_traffic_flows_storage.create_noc_traffic_flow(source_traffic_flow_name, sink_traffic_flow_name, source_router_for_traffic_flow, sink_router_for_traffic_flow, traffic_flow_bandwidth_usage, traffic_flow_latency_constraint, traffic_flow_priority); + noc_ctx.noc_traffic_flows_storage.create_noc_traffic_flow(source_traffic_flow_name, sink_traffic_flow_name, + source_router_for_traffic_flow, sink_router_for_traffic_flow, + traffic_flow_bandwidth_usage, traffic_flow_latency_constraint, traffic_flow_priority); number_of_created_traffic_flows++; @@ -564,7 +568,9 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ int traffic_flow_priority = dist_1(rand_num_gen); // create and add the traffic flow - noc_ctx.noc_traffic_flows_storage.create_noc_traffic_flow(source_traffic_flow_name, sink_traffic_flow_name, source_router_for_traffic_flow, sink_router_for_traffic_flow, traffic_flow_bandwidth_usage, traffic_flow_latency_constraint, traffic_flow_priority); + noc_ctx.noc_traffic_flows_storage.create_noc_traffic_flow(source_traffic_flow_name, sink_traffic_flow_name, + source_router_for_traffic_flow, sink_router_for_traffic_flow, + traffic_flow_bandwidth_usage, traffic_flow_latency_constraint, traffic_flow_priority); number_of_created_traffic_flows++; @@ -1523,23 +1529,21 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { int router_grid_position_y; // setting the NoC parameters - noc_ctx.noc_model.set_noc_link_latency(1); - noc_ctx.noc_model.set_noc_router_latency(1); - - double link_latency = 1; - double router_latency = 1; + const double link_latency = 1.0; + const double router_latency = 1.0; + const double link_bandwidth = 1.0; + noc_ctx.noc_model.set_noc_link_latency(link_latency); + noc_ctx.noc_model.set_noc_router_latency(router_latency); + noc_ctx.noc_model.set_noc_link_bandwidth(link_bandwidth); // noc options used in this test // we create these randomly t_noc_opts noc_opts; noc_opts.noc_latency_constraints_weighting = dist_3(double_engine); noc_opts.noc_latency_weighting = dist_3(double_engine); + noc_opts.noc_congestion_weighting = dist_3(double_engine); noc_opts.noc_routing_algorithm = "xy_routing"; - // setting the NoC parameters - noc_ctx.noc_model.set_noc_link_latency(1); - noc_ctx.noc_model.set_noc_router_latency(1); - // keeps track of which hard router each cluster block is placed vtr::vector router_where_cluster_is_placed; @@ -1582,6 +1586,11 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { } } + // initialize NoC link bandwidth usage + for (auto& noc_link : noc_ctx.noc_model.get_mutable_noc_links()) { + noc_link.set_bandwidth_usage(0.0); + } + // now we need to create router cluster blocks and passing them to placed at a router hard block as an initial position for (int cluster_block_number = 0; cluster_block_number < NUM_OF_LOGICAL_ROUTER_BLOCKS_NOC_PLACE_UTILS_TEST; cluster_block_number++) { // since the indexes for the hard router blocks start from 0, we will just place the router clusters on hard router blocks with the same id // @@ -1626,7 +1635,9 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { int traffic_flow_priority = dist_1(rand_num_gen); // create and add the traffic flow - noc_ctx.noc_traffic_flows_storage.create_noc_traffic_flow(source_traffic_flow_name, sink_traffic_flow_name, source_router_for_traffic_flow, sink_router_for_traffic_flow, traffic_flow_bandwidth_usage, traffic_flow_latency_constraint, traffic_flow_priority); + noc_ctx.noc_traffic_flows_storage.create_noc_traffic_flow(source_traffic_flow_name, sink_traffic_flow_name, + source_router_for_traffic_flow, sink_router_for_traffic_flow, + traffic_flow_bandwidth_usage, traffic_flow_latency_constraint, traffic_flow_priority); number_of_created_traffic_flows++; @@ -1643,7 +1654,7 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { noc_ctx.noc_flows_router = std::make_unique(); // create a local routing algorithm for the unit test - NocRouting* routing_algorithm = new XYRouting(); + auto routing_algorithm = std::make_unique(); // store the traffic flow routes found vtr::vector> golden_traffic_flow_routes; @@ -1657,14 +1668,26 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { int source_hard_router_id = (size_t)curr_traffic_flow.source_router_cluster_id; int sink_hard_routed_id = (size_t)curr_traffic_flow.sink_router_cluster_id; + auto& traffic_flow_route = golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number]; + double traffic_flow_bandwidth = curr_traffic_flow.traffic_flow_bandwidth; + // route it - routing_algorithm->route_flow((NocRouterId)source_hard_router_id, (NocRouterId)sink_hard_routed_id, golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number], noc_ctx.noc_model); + routing_algorithm->route_flow((NocRouterId)source_hard_router_id, (NocRouterId)sink_hard_routed_id, traffic_flow_route, noc_ctx.noc_model); + + // update link bandwidth utilization + for (auto link_id : traffic_flow_route) { + auto& noc_link = noc_ctx.noc_model.get_single_mutable_noc_link(link_id); + double curr_link_bw_util = noc_link.get_bandwidth_usage(); + curr_link_bw_util += traffic_flow_bandwidth; + noc_link.set_bandwidth_usage(curr_link_bw_util); + } } // variables below store the expected noc costs (latency and bandwidth) t_placer_costs costs; costs.noc_aggregate_bandwidth_cost = 0.; costs.noc_latency_cost = 0.; + costs.noc_congestion_cost = 0.; for (int traffic_flow_number = 0; traffic_flow_number < NUM_OF_TRAFFIC_FLOWS_NOC_PLACE_UTILS_TEST; traffic_flow_number++) { const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow((NocTrafficFlowId)traffic_flow_number); @@ -1672,12 +1695,16 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { double curr_bandwidth_cost = 0.; double curr_latency_cost = 0.; + // get the traffic flow route + const auto& golden_traffic_flow_route = golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number]; + // calculate the bandwidth cost - curr_bandwidth_cost = golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number].size() * curr_traffic_flow.traffic_flow_bandwidth; + curr_bandwidth_cost = golden_traffic_flow_route.size() * curr_traffic_flow.traffic_flow_bandwidth; curr_bandwidth_cost *= curr_traffic_flow.traffic_flow_priority; - double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number].size() + 1)) + (link_latency * golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number].size()); + double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_route.size() + 1)) + (link_latency * golden_traffic_flow_route.size()); + // calculate the latency cost curr_latency_cost = (noc_opts.noc_latency_constraints_weighting * (std::max(0., curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency))) + (noc_opts.noc_latency_weighting * curr_traffic_flow_latency); curr_latency_cost *= curr_traffic_flow.traffic_flow_priority; @@ -1685,6 +1712,12 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { costs.noc_latency_cost += curr_latency_cost; } + // calculate the congestion cost + for (const auto& noc_link : noc_ctx.noc_model.get_noc_links()) { + double curr_congestion_cost = noc_opts.noc_congestion_weighting * noc_link.get_congested_bandwidth_ratio(); + costs.noc_congestion_cost += curr_congestion_cost; + } + // this defines the error tolerance that is allowed between the golden noc costs and the costs found by the test function: check_noc_placement_costs // we will set it to what the VTR placer uses double error_tolerance = .01; @@ -1712,7 +1745,5 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { // we expect error to be 3 here, meaning the found costs are not within the tolerance range REQUIRE(error == 3); } - // need to delete local noc routing algorithm - delete routing_algorithm; } } // namespace From 5458ba8cb8415dd4c4fadb4616fee1ccc820a897 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 30 Jan 2024 14:34:01 -0500 Subject: [PATCH 190/608] Update test_initial_noc_placement to check congested links --- vpr/src/base/read_options.cpp | 2 +- vpr/test/test_noc_place_utils.cpp | 16 ++++++++++++---- 2 files changed, 13 insertions(+), 5 deletions(-) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 2a0454612b6..24aacf354ec 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2823,7 +2823,7 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg .help( "Controls the importance of reducing the congestion of the NoC links." "This value can be >=0, where 0 would mean the congestion has no relevance to placement, a value of 1 would mean the congestion is weighted equally to the sum of other placement cost components and a value greater than 1 would mean the placement is increasingly dominated by reducing the link congestions.") - .default_value("0.05") + .default_value("0.00") .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_swap_percentage, "--noc_swap_percentage") diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 1723dfdf200..fbaeb161848 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -39,6 +39,12 @@ TEST_CASE("test_initial_noc_placement", "[noc_place_utils]") { // the grid width will be the size of the noc mesh noc_ctx.noc_model.set_device_grid_spec((int)MESH_TOPOLOGY_SIZE_NOC_PLACE_UTILS_TEST, 0); + // set NoC link bandwidth + // dist_2 is used to generate traffic flow bandwidths. + // Setting the NoC link bandwidth to max() / 5 makes link congestion more likely to happen + const double noc_link_bandwidth = dist_2.max() / 5; + noc_ctx.noc_model.set_noc_link_bandwidth(noc_link_bandwidth); + // individual router parameters int curr_router_id; int router_grid_position_x; @@ -146,7 +152,7 @@ TEST_CASE("test_initial_noc_placement", "[noc_place_utils]") { noc_ctx.noc_flows_router = std::make_unique(); // create a local routing algorithm for the unit test - NocRouting* routing_algorithm = new XYRouting(); + auto routing_algorithm = std::make_unique(); for (int traffic_flow_number = 0; traffic_flow_number < NUM_OF_TRAFFIC_FLOWS_NOC_PLACE_UTILS_TEST; traffic_flow_number++) { const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow((NocTrafficFlowId)traffic_flow_number); @@ -187,12 +193,13 @@ TEST_CASE("test_initial_noc_placement", "[noc_place_utils]") { for (int link_number = 0; link_number < number_of_links; link_number++) { NocLinkId current_link_id = (NocLinkId)link_number; const NocLink& current_link = noc_ctx.noc_model.get_single_noc_link(current_link_id); + double golden_congested_bandwidth = std::max(golden_link_bandwidths[current_link_id] - noc_link_bandwidth, 0.0); + double golden_congested_bw_ratio = golden_congested_bandwidth / noc_link_bandwidth; REQUIRE(golden_link_bandwidths[current_link_id] == current_link.get_bandwidth_usage()); + REQUIRE(golden_congested_bandwidth == current_link.get_congested_bandwidth()); + REQUIRE(golden_congested_bw_ratio == current_link.get_congested_bandwidth_ratio()); } - - // delete the local routing algorithm - delete routing_algorithm; } TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { // setup random number generation @@ -1668,6 +1675,7 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { int source_hard_router_id = (size_t)curr_traffic_flow.source_router_cluster_id; int sink_hard_routed_id = (size_t)curr_traffic_flow.sink_router_cluster_id; + // get the current traffic flow route auto& traffic_flow_route = golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number]; double traffic_flow_bandwidth = curr_traffic_flow.traffic_flow_bandwidth; From 5eed8ae18925d764745efcbd92a667fda6e45e9f Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 30 Jan 2024 14:50:55 -0500 Subject: [PATCH 191/608] Update test_initial_comp_cost_functions to check congestion cost computation --- vpr/test/test_noc_place_utils.cpp | 45 +++++++++++++++++++++++++------ 1 file changed, 37 insertions(+), 8 deletions(-) diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index fbaeb161848..9735cc2e9e2 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -201,6 +201,7 @@ TEST_CASE("test_initial_noc_placement", "[noc_place_utils]") { REQUIRE(golden_congested_bw_ratio == current_link.get_congested_bandwidth_ratio()); } } + TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { // setup random number generation std::random_device device; @@ -226,6 +227,12 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { // the grid width will be the size of the noc mesh noc_ctx.noc_model.set_device_grid_spec((int)MESH_TOPOLOGY_SIZE_NOC_PLACE_UTILS_TEST, 0); + // set NoC link bandwidth + // dist_2 is used to generate traffic flow bandwidths. + // Setting the NoC link bandwidth to max() / 5 makes link congestion more likely to happen + const double noc_link_bandwidth = dist_2.max() / 5; + noc_ctx.noc_model.set_noc_link_bandwidth(noc_link_bandwidth); + // individual router parameters int curr_router_id; int router_grid_position_x; @@ -341,7 +348,7 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { noc_ctx.noc_flows_router = std::make_unique(); // create a local routing algorithm for the unit test - NocRouting* routing_algorithm = new XYRouting(); + auto routing_algorithm = std::make_unique(); // route all the traffic flows locally for (int traffic_flow_number = 0; traffic_flow_number < NUM_OF_TRAFFIC_FLOWS_NOC_PLACE_UTILS_TEST; traffic_flow_number++) { @@ -393,9 +400,6 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { // release the cost calculator datastructures free_noc_placement_structs(); - - // need to delete the local routing algorithm - delete routing_algorithm; } SECTION("test_comp_noc_latency_cost") { @@ -427,18 +431,43 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { golden_total_noc_latency_costs += current_latency_cost; } - // run the test function and get the bandwidth calculated + // run the test function and get the latency cost calculated double found_latency_cost = comp_noc_latency_cost(noc_opts); - // compare the test function bandwidth cost to the golden value + // compare the test function latency cost to the golden value // since we are comparing double numbers we allow a tolerance of difference REQUIRE(vtr::isclose(golden_total_noc_latency_costs, found_latency_cost)); // release the cost calculator datastructures free_noc_placement_structs(); + } - // need to delete the local routing algorithm - delete routing_algorithm; + SECTION("test_comp_noc_congestion_cost") { + //initialize all the cost calculator datastructures + allocate_and_load_noc_placement_structs(); + + // create the noc options + t_noc_opts noc_opts; + noc_opts.noc_congestion_weighting = dist_3(double_engine); + + // create local variable to store the latency cost + double golden_total_noc_congestion_costs = 0.; + + for (const auto& link : noc_ctx.noc_model.get_noc_links()) { + double congested_bw_ratio = link.get_congested_bandwidth_ratio(); + + golden_total_noc_congestion_costs += noc_opts.noc_congestion_weighting * congested_bw_ratio; + } + + // run the test function to get the congestion cost + double found_congestion_cost = comp_noc_congestion_cost(noc_opts); + + // compare the test function congestion cost to the golden value + // since we are comparing double numbers we allow a tolerance of difference + REQUIRE(vtr::isclose(golden_total_noc_congestion_costs, found_congestion_cost)); + + // release the cost calculator datastructures + free_noc_placement_structs(); } } From 304de901bcbe9b74cdd902093ea9122d2bd79723 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 30 Jan 2024 17:23:14 -0500 Subject: [PATCH 192/608] Update test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_costs, test_recompute_noc_costs to check congestion --- vpr/test/test_noc_place_utils.cpp | 54 ++++++++++++++++++++++++++----- 1 file changed, 46 insertions(+), 8 deletions(-) diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 9735cc2e9e2..0ac33dacf97 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -507,14 +507,17 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ t_noc_opts noc_opts; noc_opts.noc_latency_constraints_weighting = dist_3(double_engine); noc_opts.noc_latency_weighting = dist_3(double_engine); + noc_opts.noc_congestion_weighting = dist_3(double_engine); // setting the NoC parameters noc_ctx.noc_model.set_noc_link_latency(1); noc_ctx.noc_model.set_noc_router_latency(1); noc_ctx.noc_model.set_noc_link_bandwidth(1); + // needs to be the same as above double router_latency = noc_ctx.noc_model.get_noc_router_latency(); double link_latency = noc_ctx.noc_model.get_noc_link_latency(); + double link_bandwidth = noc_ctx.noc_model.get_noc_link_bandwidth(); // keeps track of which hard router each cluster block is placed vtr::vector router_where_cluster_is_placed; @@ -622,7 +625,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ noc_ctx.noc_flows_router = std::make_unique(); // create a local routing algorithm for the unit test - NocRouting* routing_algorithm = new XYRouting(); + auto routing_algorithm = std::make_unique(); // store the traffic flow routes found vtr::vector> golden_traffic_flow_routes; @@ -632,6 +635,9 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ vtr::vector golden_traffic_flow_latency_costs; golden_traffic_flow_bandwidth_costs.resize(noc_ctx.noc_traffic_flows_storage.get_number_of_traffic_flows()); golden_traffic_flow_latency_costs.resize(noc_ctx.noc_traffic_flows_storage.get_number_of_traffic_flows()); + // store link congestion costs + vtr::vector golden_link_congestion_costs; + golden_link_congestion_costs.resize(noc_ctx.noc_model.get_number_of_noc_links()); // stores the change in bandwidth and latency costs from the test function NocCostTerms test_noc_costs{0.0, 0.0, 0.0}; @@ -680,12 +686,20 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ test_noc_costs.latency += golden_traffic_flow_latency_costs[(NocTrafficFlowId)traffic_flow_number]; } + // initialize golden congestion cost for all links + for (const auto& link : noc_ctx.noc_model.get_noc_links()) { + auto link_id = link.get_link_id(); + golden_link_congestion_costs[link_id] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link_id] - link_bandwidth, 0.0); + test_noc_costs.congestion += golden_link_congestion_costs[link_id]; + } + // initialize noc placement structs allocate_and_load_noc_placement_structs(); - // We need to run these functions as they initialize local variables needed to run the test function within this unit test. we assume thi is correct + // We need to run these functions as they initialize local variables needed to run the test function within this unit test. we assume this is correct comp_noc_aggregate_bandwidth_cost(); comp_noc_latency_cost(noc_opts); + comp_noc_congestion_cost(noc_opts); // datastructure that keeps track of moved blocks during placement t_pl_blocks_to_be_moved blocks_affected(NUM_OF_LOGICAL_ROUTER_BLOCKS_NOC_PLACE_UTILS_TEST); @@ -753,6 +767,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and reduce the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; + golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow @@ -761,6 +776,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and increase the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; + golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now @@ -785,6 +801,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and reduce the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; + golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow @@ -793,6 +810,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and increase the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; + golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now @@ -813,9 +831,10 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // call the test function find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); - // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions + // update the test total noc bandwidth, latency, and congestion costs based on the cost changes found by the test functions test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; test_noc_costs.latency += delta_cost.latency; + test_noc_costs.congestion += delta_cost.congestion; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -890,6 +909,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and reduce the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; + golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow @@ -898,6 +918,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and increase the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; + golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now @@ -918,6 +939,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and reduce the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; + golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow @@ -926,6 +948,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and increase the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; + golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now @@ -946,6 +969,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; test_noc_costs.latency += delta_cost.latency; + test_noc_costs.congestion += delta_cost.congestion; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -1008,6 +1032,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and reduce the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; + golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow @@ -1016,6 +1041,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and increase the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; + golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now @@ -1037,6 +1063,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; test_noc_costs.latency += delta_cost.latency; + test_noc_costs.congestion += delta_cost.congestion; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -1100,6 +1127,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; test_noc_costs.latency += delta_cost.latency; + test_noc_costs.congestion += delta_cost.congestion; // need this function to update the local datastructures that store all the traffic flow costs commit_noc_costs(); @@ -1112,22 +1140,32 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ for (int link_number = 0; link_number < number_of_links; link_number++) { NocLinkId current_link_id = (NocLinkId)link_number; const NocLink& current_link = noc_ctx.noc_model.get_single_noc_link(current_link_id); + double golden_link_bandwidth = golden_link_bandwidths[current_link_id]; + double golden_link_congested_bandwidth = std::max(golden_link_bandwidths[current_link_id] - link_bandwidth, 0.0); + double golden_link_congested_bandwidth_ratio = golden_link_congested_bandwidth / link_bandwidth; - REQUIRE(golden_link_bandwidths[current_link_id] == current_link.get_bandwidth_usage()); + REQUIRE(golden_link_bandwidth == current_link.get_bandwidth_usage()); + REQUIRE(golden_link_congested_bandwidth == current_link.get_congested_bandwidth()); + REQUIRE(golden_link_congested_bandwidth_ratio == current_link.get_congested_bandwidth_ratio()); } - // now find the total expected noc aggregate bandwidth and latency cost + // now find the total expected noc aggregate bandwidth, latency, and congestion cost double golden_total_noc_aggr_bandwidth_cost = 0.; double golden_total_noc_latency_cost = 0.; + double golden_total_noc_congestion_cost = 0.; for (int traffic_flow_number = 0; traffic_flow_number < number_of_created_traffic_flows; traffic_flow_number++) { golden_total_noc_aggr_bandwidth_cost += golden_traffic_flow_bandwidth_costs[(NocTrafficFlowId)traffic_flow_number]; golden_total_noc_latency_cost += golden_traffic_flow_latency_costs[(NocTrafficFlowId)traffic_flow_number]; } + golden_total_noc_congestion_cost = std::accumulate(golden_link_congestion_costs.begin(), golden_link_congestion_costs.end(), 0.0); + // now check whether the expected noc costs that we manually calculated above match the noc costs found through the test function (we allow for a tolerance of difference) REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_costs.aggregate_bandwidth)); REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); + std::cout << golden_total_noc_congestion_cost << " " << test_noc_costs.congestion << std::endl; + REQUIRE(vtr::isclose(golden_total_noc_congestion_cost, test_noc_costs.congestion)); // now test the recompute cost function // // The recompute cost function just adds up all traffic flow costs, so it match the expected noc costs that we manually calculated above by summing up all the expected individual traffic flow costs. // @@ -1135,6 +1173,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // start by resetting the test cost variables test_noc_costs.aggregate_bandwidth = 0.; test_noc_costs.latency = 0.; + test_noc_costs.congestion = 0.; // now execute the test function recompute_noc_costs(test_noc_costs); @@ -1142,13 +1181,12 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // now verify REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_costs.aggregate_bandwidth)); REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); + REQUIRE(vtr::isclose(golden_total_noc_congestion_cost, test_noc_costs.congestion)); // delete local datastructures free_noc_placement_structs(); - - // need to delete local noc routing algorithm - delete routing_algorithm; } + TEST_CASE("test_update_noc_normalization_factors", "[noc_place_utils]") { // creating local parameters needed for the test t_placer_costs costs; From 0827d9983eab6841e9d9307b72b4aada097bf925 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 30 Jan 2024 18:12:31 -0500 Subject: [PATCH 193/608] Updated test_find_affected_noc_routers_and_update_noc_costs to check routes after revert --- vpr/test/test_noc_place_utils.cpp | 58 +++++++++++++++++++++++++++++-- 1 file changed, 55 insertions(+), 3 deletions(-) diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 0ac33dacf97..4df3d8e1e7c 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -1195,6 +1195,7 @@ TEST_CASE("test_update_noc_normalization_factors", "[noc_place_utils]") { SECTION("Test case where the bandwidth cost is 0") { costs.noc_aggregate_bandwidth_cost = 0.; costs.noc_latency_cost = 1.; + costs.noc_congestion_cost = 1.; // run the test function update_noc_normalization_factors(costs); @@ -1206,6 +1207,7 @@ TEST_CASE("test_update_noc_normalization_factors", "[noc_place_utils]") { SECTION("Test case where the latency cost is 0") { costs.noc_aggregate_bandwidth_cost = 1.; costs.noc_latency_cost = 0.; + costs.noc_congestion_cost = 1.; // run the test function update_noc_normalization_factors(costs); @@ -1217,6 +1219,7 @@ TEST_CASE("test_update_noc_normalization_factors", "[noc_place_utils]") { SECTION("Test case where the bandwidth cost is an expected value") { costs.noc_aggregate_bandwidth_cost = 1.e9; costs.noc_latency_cost = 0.; + costs.noc_congestion_cost = 1.; // run the test function update_noc_normalization_factors(costs); @@ -1228,6 +1231,7 @@ TEST_CASE("test_update_noc_normalization_factors", "[noc_place_utils]") { SECTION("Test case where the latency cost is an expected value") { costs.noc_aggregate_bandwidth_cost = 1.; costs.noc_latency_cost = 50.e-12; + costs.noc_congestion_cost = 1.; // run the test function update_noc_normalization_factors(costs); @@ -1239,6 +1243,7 @@ TEST_CASE("test_update_noc_normalization_factors", "[noc_place_utils]") { SECTION("Test case where the latency cost is lower than the smallest expected value") { costs.noc_aggregate_bandwidth_cost = 1.; costs.noc_latency_cost = 999.e-15; + costs.noc_congestion_cost = 1.; // run the test function update_noc_normalization_factors(costs); @@ -1247,6 +1252,41 @@ TEST_CASE("test_update_noc_normalization_factors", "[noc_place_utils]") { // this should not be trimmed REQUIRE(costs.noc_latency_cost_norm == 1.e12); } + SECTION("Test case where the congestion cost is zero") { + costs.noc_aggregate_bandwidth_cost = 1.; + costs.noc_latency_cost = 1.; + costs.noc_congestion_cost = 0.; + + // run the test function + update_noc_normalization_factors(costs); + + // verify the congestion normalization factor + // this should not be infinite + REQUIRE(costs.noc_congestion_cost_norm == 1.e3); + } + SECTION("Test case where the congestion cost is lower than the smallest expected value") { + costs.noc_aggregate_bandwidth_cost = 1.; + costs.noc_latency_cost = 1.; + costs.noc_congestion_cost = 999.e-15; + + // run the test function + update_noc_normalization_factors(costs); + + // verify the congestion normalization factor + // this should not be infinite + REQUIRE(costs.noc_congestion_cost_norm == 1.e3); + } + SECTION("Test case where the congestion cost is an expected value") { + costs.noc_aggregate_bandwidth_cost = 1.; + costs.noc_latency_cost = 1.; + costs.noc_congestion_cost = 1.e2; + + // run the test function + update_noc_normalization_factors(costs); + + // verify the congestion normalization factor + REQUIRE(costs.noc_congestion_cost_norm == 1.e-2); + } } TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { // setup random number generation @@ -1284,10 +1324,12 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { t_noc_opts noc_opts; noc_opts.noc_latency_constraints_weighting = dist_3(double_engine); noc_opts.noc_latency_weighting = dist_3(double_engine); + noc_opts.noc_congestion_weighting = dist_3(double_engine); // setting the NoC parameters noc_ctx.noc_model.set_noc_link_latency(1); noc_ctx.noc_model.set_noc_router_latency(1); + noc_ctx.noc_model.set_noc_link_bandwidth(1); // keeps track of which hard router each cluster block is placed vtr::vector router_where_cluster_is_placed; @@ -1375,7 +1417,9 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { int traffic_flow_priority = dist_1(rand_num_gen); // create and add the traffic flow - noc_ctx.noc_traffic_flows_storage.create_noc_traffic_flow(source_traffic_flow_name, sink_traffic_flow_name, source_router_for_traffic_flow, sink_router_for_traffic_flow, traffic_flow_bandwidth_usage, traffic_flow_latency_constraint, traffic_flow_priority); + noc_ctx.noc_traffic_flows_storage.create_noc_traffic_flow(source_traffic_flow_name, sink_traffic_flow_name, + source_router_for_traffic_flow, sink_router_for_traffic_flow, + traffic_flow_bandwidth_usage, traffic_flow_latency_constraint, traffic_flow_priority); number_of_created_traffic_flows++; @@ -1392,7 +1436,7 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { noc_ctx.noc_flows_router = std::make_unique(); // create a local routing algorithm for the unit test - NocRouting* routing_algorithm = new XYRouting(); + auto routing_algorithm = std::make_unique(); // store the traffic flow routes found vtr::vector> golden_traffic_flow_routes; @@ -1410,6 +1454,8 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { routing_algorithm->route_flow((NocRouterId)source_hard_router_id, (NocRouterId)sink_hard_routed_id, golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number], noc_ctx.noc_model); } + const vtr::vector> initial_golden_traffic_flow_routes = golden_traffic_flow_routes; + // assume this works // this is needed to set up the global noc packet router and also global datastructures initial_noc_routing(); @@ -1567,9 +1613,15 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { const NocLink& current_link = noc_ctx.noc_model.get_single_noc_link(current_link_id); REQUIRE(golden_link_bandwidths[current_link_id] == current_link.get_bandwidth_usage()); + } - delete routing_algorithm; + for (int traffic_flow_number = 0; traffic_flow_number < NUM_OF_TRAFFIC_FLOWS_NOC_PLACE_UTILS_TEST; traffic_flow_number++) { + auto traffic_flow_id = (NocTrafficFlowId)traffic_flow_number; + const auto& traffic_flow_route = noc_ctx.noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); + const auto& golden_traffic_flow_route = initial_golden_traffic_flow_routes[traffic_flow_id]; + REQUIRE(traffic_flow_route == golden_traffic_flow_route); + } } TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { // setup random number generation From 48969841e40e890b313ef115ccf6fd5e789e5288 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 31 Jan 2024 12:38:53 -0500 Subject: [PATCH 194/608] Comment some functions and data structures --- vpr/src/place/noc_place_utils.cpp | 43 +++++++++++++--- vpr/src/place/noc_place_utils.h | 81 ++++++++++++++++++++++++++++--- vpr/src/place/place_util.h | 9 ++++ 3 files changed, 118 insertions(+), 15 deletions(-) diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 377730bb110..f30ef8bf0b9 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -8,8 +8,10 @@ static vtr::vector traffic_flow_costs, p /* Keeps track of traffic flows that have been updated at each attempted placement move*/ static std::vector affected_traffic_flows; +/* Proposed and actual congestion cost of a NoC link used for each move assessment */ static vtr::vector link_congestion_costs, proposed_link_congestion_costs; +/* Keeps track of NoC links whose bandwidth usage have been updated at each attempted placement move*/ static std::unordered_set affected_noc_links; /*********************************************************** *****************************/ @@ -23,9 +25,21 @@ static std::unordered_set affected_noc_links; * False if there are no NoC routers in the netlist or the * selected NoC router is fixed/ */ -static bool select_random_router_cluster(ClusterBlockId& b_from, t_pl_loc& from, t_logical_block_type_ptr& cluster_from_type); +static bool select_random_router_cluster(ClusterBlockId& b_from, + t_pl_loc& from, + t_logical_block_type_ptr& cluster_from_type); -static std::vector find_affected_links_by_flow_reroute(std::vector& prev_links, std::vector& curr_links); +/** + * @brief Given two traffic flow routes, finds links that appear + * only in one route. + * + * @param prev_links Previous route before re-routing the traffic flow + * @param curr_links Current route after re-routing the traffic flow + * + * @return Unique links that appear only in one of the given routes + */ +static std::vector find_affected_links_by_flow_reroute(std::vector& prev_links, + std::vector& curr_links); void initial_noc_routing(void) { // need to update the link usages within after routing all the traffic flows @@ -43,7 +57,7 @@ void initial_noc_routing(void) { const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // update the traffic flow route based on where the router cluster blocks are placed - std::vector& curr_traffic_flow_route = route_traffic_flow(traffic_flow_id, noc_ctx.noc_model, noc_traffic_flows_storage, *noc_ctx.noc_flows_router); + std::vector& curr_traffic_flow_route = route_traffic_flow(traffic_flow_id, noc_ctx.noc_model,noc_traffic_flows_storage, *noc_ctx.noc_flows_router); // update the links used in the found traffic flow route, links' bandwidth should be incremented since the traffic flow is routed update_traffic_flow_link_usage(curr_traffic_flow_route, noc_ctx.noc_model, 1, curr_traffic_flow.traffic_flow_bandwidth); @@ -70,7 +84,9 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); } -void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, NocCostTerms& delta_c, const t_noc_opts& noc_opts) { +void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, + NocCostTerms& delta_c, + const t_noc_opts& noc_opts) { VTR_ASSERT_SAFE(delta_c.aggregate_bandwidth == 0.); VTR_ASSERT_SAFE(delta_c.latency == 0.); VTR_ASSERT_SAFE(delta_c.congestion == 0.); @@ -155,7 +171,10 @@ void commit_noc_costs() { return; } -std::vector& route_traffic_flow(NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model, NocTrafficFlows& noc_traffic_flows_storage, NocRouting& noc_flows_router) { +std::vector& route_traffic_flow(NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model, + NocTrafficFlows& noc_traffic_flows_storage, + NocRouting& noc_flows_router) { // provides the positions where the affected blocks have moved to auto& place_ctx = g_vpr_ctx.placement(); @@ -193,7 +212,11 @@ void update_traffic_flow_link_usage(const std::vector& traffic_flow_r return; } -void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router, std::unordered_set& updated_traffic_flows) { +void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, + NocTrafficFlows& noc_traffic_flows_storage, + NocStorage& noc_model, + NocRouting& noc_flows_router, + std::unordered_set& updated_traffic_flows) { // get all the associated traffic flows for the logical router cluster block const std::vector* assoc_traffic_flows = noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(moved_block_router_id); @@ -272,7 +295,10 @@ void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affect return; } -void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router) { +void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, + NocTrafficFlows& noc_traffic_flows_storage, + NocStorage& noc_model, + NocRouting& noc_flows_router) { // get the current traffic flow info const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); @@ -798,7 +824,8 @@ void write_noc_placement_file(const std::string& file_name) { return; } -static std::vector find_affected_links_by_flow_reroute(std::vector& prev_links, std::vector& curr_links) { +static std::vector find_affected_links_by_flow_reroute(std::vector& prev_links, + std::vector& curr_links) { // Sort both link containers std::sort(prev_links.begin(), prev_links.end()); std::sort(curr_links.begin(), curr_links.end()); diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index dd97f7d1bc6..4a13b2d67c9 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -107,7 +107,9 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) * NoC latency cost caused by a placer move is stored * here. */ -void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, NocCostTerms& delta_c, const t_noc_opts& noc_opts); +void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, + NocCostTerms& delta_c, + const t_noc_opts& noc_opts); /** * @brief Updates static datastructures found in 'noc_place_utils.cpp' @@ -154,7 +156,10 @@ void commit_noc_costs(); * flows within the NoC. * @return std::vector& The found route for the traffic flow. */ -std::vector& route_traffic_flow(NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model, NocTrafficFlows& noc_traffic_flows_storage, NocRouting& noc_flows_router); +std::vector& route_traffic_flow(NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model, + NocTrafficFlows& noc_traffic_flows_storage, + NocRouting& noc_flows_router); /** * @brief Updates the bandwidth usages of links found in a routed traffic flow. @@ -202,7 +207,10 @@ void update_traffic_flow_link_usage(const std::vector& traffic_flow_r * @param updated_traffic_flows Keeps track of traffic flows that have been * re-routed. Used to prevent re-routing the same traffic flow multiple times. */ -void re_route_associated_traffic_flows(ClusterBlockId moved_router_block_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router, std::unordered_set& updated_traffic_flows); +void re_route_associated_traffic_flows(ClusterBlockId moved_router_block_id, + NocTrafficFlows& noc_traffic_flows_storage, + NocStorage& noc_model, NocRouting& noc_flows_router, + std::unordered_set& updated_traffic_flows); /** * @brief Used to re-route all the traffic flows associated to logical @@ -233,7 +241,10 @@ void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affect * @param noc_flows_router The packet routing algorithm used to route traffic * flows within the NoC. */ -void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, NocTrafficFlows& noc_traffic_flows_storage, NocStorage& noc_model, NocRouting& noc_flows_router); +void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, + NocTrafficFlows& noc_traffic_flows_storage, + NocStorage& noc_model, + NocRouting& noc_flows_router); /** * @brief Recompute the NoC costs (aggregate bandwidth and latency) by @@ -369,13 +380,44 @@ double calculate_traffic_flow_aggregate_bandwidth_cost(const std::vector& traffic_flow_route, const NocStorage& noc_model, const t_noc_traffic_flow& traffic_flow_info, const t_noc_opts& noc_opts); +double calculate_traffic_flow_latency_cost(const std::vector& traffic_flow_route, + const NocStorage& noc_model, + const t_noc_traffic_flow& traffic_flow_info, + const t_noc_opts& noc_opts); +/** + * @brief Determines the congestion cost a NoC link. The cost + * is calculating by measuring how much the current bandwidth + * going through the link exceeds the link's bandwidth capacity. + * + * @param link The NoC link for which the congestion cost is + * to be computed + * @param noc_opts Contains the user provided weighting factor to + * specify the importance of congestion costs compared to other + * NoC-related cost terms. + * @return The computed congestion cost for the given NoC link. + */ double calculate_link_congestion_cost(const NocLink& link, const t_noc_opts& noc_opts); -double calculate_noc_cost(const NocCostTerms& cost_terms, const t_placer_costs& norm_factors, const t_noc_opts& noc_opts); +/** + * @brief Computes a weighted average of NoC cost term to determine + * NoC's contribution to the total placement cost. + * + * @param cost_terms Different NoC-related cost terms. + * @param norm_factors Normalization factors used to scale + * different NoC-related cost term so that they have similar + * ranges. + * @param noc_opts Contains noc_placement_weighting factor + * to specify the contribution of NoC-related cost to the + * total placement cost. + * @return The computed total NoC-related contribution to the + * total placement cost. + */ +double calculate_noc_cost(const NocCostTerms& cost_terms, + const t_placer_costs& norm_factors, + const t_noc_opts& noc_opts); /** * @brief Goes through all the traffic flows and determines whether the @@ -385,12 +427,37 @@ double calculate_noc_cost(const NocCostTerms& cost_terms, const t_placer_costs& */ int get_number_of_traffic_flows_with_latency_cons_met(void); +/** + * @brief Goes through all NoC links and counts the congested ones. + * + * @return The total number of congested NoC links. + */ int get_number_of_congested_noc_links(void); +/** + * @brief Goes through all NoC links and determines whether they + * are congested or not. Then adds up the congestion ratio of all + * congested links. + * + * @return The total congestion ratio + */ double get_total_congestion_bandwidth_ratio(void); +/** + * @brief Goes through all NoC links and determines whether they + * are congested or not. Then finds n links that are most congested. + * + * @return n links with highest congestion ratio + */ std::vector get_top_n_congested_links(int n); + +/** + * @brief Goes through all NoC links and determines whether they + * are congested or not. Then finds n links that are most congested. + * + * @return n highest congestion ratios + */ std::vector get_top_n_congestion_ratios(int n); /** diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index b70c84c3643..2e1355f121d 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -16,6 +16,15 @@ // in NocCostTerms constructor class t_placer_costs; +/** + * @brief Data structure that stores different cost terms for NoC placement. + * + * @param aggregate_bandwidth The total used bandwidth used in the NoC. + * @param latency A weighted average between aggregate latency and + * latency overruns. + * @param congestion The sum of congestion divided by available bandwidth + * over all NoC links. + */ struct NocCostTerms { public: NocCostTerms() = delete; From e9843ee903ca5ae1c8cb4f49bff1c8b2a795558c Mon Sep 17 00:00:00 2001 From: "dependabot[bot]" <49699333+dependabot[bot]@users.noreply.github.com> Date: Thu, 1 Feb 2024 09:24:02 +0000 Subject: [PATCH 195/608] Bump libs/EXTERNAL/libcatch2 from `01cac90` to `1078e7e` Bumps [libs/EXTERNAL/libcatch2](https://github.com/catchorg/Catch2) from `01cac90` to `1078e7e`. - [Release notes](https://github.com/catchorg/Catch2/releases) - [Commits](https://github.com/catchorg/Catch2/compare/01cac90c6298f8d5cda34eebda24412f644142f8...1078e7e95b3a06d4dadc75188de48bc4afffb955) --- updated-dependencies: - dependency-name: libs/EXTERNAL/libcatch2 dependency-type: direct:production ... Signed-off-by: dependabot[bot] --- libs/EXTERNAL/libcatch2 | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/libs/EXTERNAL/libcatch2 b/libs/EXTERNAL/libcatch2 index 01cac90c629..1078e7e95b3 160000 --- a/libs/EXTERNAL/libcatch2 +++ b/libs/EXTERNAL/libcatch2 @@ -1 +1 @@ -Subproject commit 01cac90c6298f8d5cda34eebda24412f644142f8 +Subproject commit 1078e7e95b3a06d4dadc75188de48bc4afffb955 From 6bede42ab59743ad5e47bbeca838a87f69844c52 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 1 Feb 2024 15:25:09 -0500 Subject: [PATCH 196/608] Separate NoC cost computation and normalization --- vpr/src/place/initial_noc_placement.cpp | 14 +-- vpr/src/place/noc_place_utils.cpp | 132 +++++++++++-------- vpr/src/place/noc_place_utils.h | 26 ++-- vpr/src/place/place.cpp | 161 ++++++++++++------------ vpr/src/place/place_util.cpp | 28 +++-- vpr/src/place/place_util.h | 20 +-- 6 files changed, 207 insertions(+), 174 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 10899b24ba5..27424e67d6a 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -158,11 +158,11 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { t_placer_costs costs; // Initialize NoC-related costs - costs.noc_aggregate_bandwidth_cost = comp_noc_aggregate_bandwidth_cost(); - costs.noc_latency_cost = comp_noc_latency_cost(noc_opts); - costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); + costs.noc_cost_terms.aggregate_bandwidth = comp_noc_aggregate_bandwidth_cost(); + std::tie(costs.noc_cost_terms.latency, costs.noc_cost_terms.latency_overrun) = comp_noc_latency_cost(); + costs.noc_cost_terms.congestion = comp_noc_congestion_cost(); update_noc_normalization_factors(costs); - costs.cost = calculate_noc_cost(NocCostTerms(costs), costs, noc_opts); + costs.cost = calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts); // Maximum distance in each direction that a router can travel in a move // It is assumed that NoC routers are organized in a square grid. @@ -214,9 +214,9 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { if (create_move_outcome != e_create_move::ABORT) { apply_move_blocks(blocks_affected); - NocCostTerms noc_delta_c {0.0, 0.0, 0.0}; - find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_delta_c, noc_opts); - double delta_cost = calculate_noc_cost(noc_delta_c, costs, noc_opts); + NocCostTerms noc_delta_c; + find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_delta_c); + double delta_cost = calculate_noc_cost(noc_delta_c, costs.noc_cost_norm_factors, noc_opts); double prob = starting_prob - i_move * prob_step; bool move_accepted = accept_noc_swap(delta_cost, prob); diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index f30ef8bf0b9..a806b0b9ea1 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -79,16 +79,16 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) initial_noc_routing(); // Initialize traffic_flow_costs - costs.noc_aggregate_bandwidth_cost = comp_noc_aggregate_bandwidth_cost(); - costs.noc_latency_cost = comp_noc_latency_cost(noc_opts); - costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); + costs.noc_cost_terms.aggregate_bandwidth = comp_noc_aggregate_bandwidth_cost(); + std::tie(costs.noc_cost_terms.latency, costs.noc_cost_terms.latency_overrun) = comp_noc_latency_cost(); + costs.noc_cost_terms.congestion = comp_noc_congestion_cost(); } void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, - NocCostTerms& delta_c, - const t_noc_opts& noc_opts) { + NocCostTerms& delta_c) { VTR_ASSERT_SAFE(delta_c.aggregate_bandwidth == 0.); VTR_ASSERT_SAFE(delta_c.latency == 0.); + VTR_ASSERT(delta_c.latency_overrun == 0.); VTR_ASSERT_SAFE(delta_c.congestion == 0.); auto& noc_ctx = g_vpr_ctx.mutable_noc(); @@ -122,11 +122,13 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move // calculate the new aggregate bandwidth and latency costs for the affected traffic flow proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth = calculate_traffic_flow_aggregate_bandwidth_cost(traffic_flow_route, curr_traffic_flow); - proposed_traffic_flow_costs[traffic_flow_id].latency = calculate_traffic_flow_latency_cost(traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow, noc_opts); + std::tie(proposed_traffic_flow_costs[traffic_flow_id].latency, + proposed_traffic_flow_costs[traffic_flow_id].latency_overrun) = calculate_traffic_flow_latency_cost(traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow); // compute how much the aggregate bandwidth and latency costs change with this swap delta_c.aggregate_bandwidth += proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth - traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; delta_c.latency += proposed_traffic_flow_costs[traffic_flow_id].latency - traffic_flow_costs[traffic_flow_id].latency; + delta_c.latency_overrun += proposed_traffic_flow_costs[traffic_flow_id].latency_overrun - traffic_flow_costs[traffic_flow_id].latency_overrun; } // Iterate over all affected links and calculate their new congestion cost and store it @@ -135,7 +137,7 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move const auto& link = noc_ctx.noc_model.get_single_noc_link(link_id); // calculate the new congestion cost for the link and store it - proposed_link_congestion_costs[link] = calculate_link_congestion_cost(link, noc_opts); + proposed_link_congestion_costs[link] = calculate_link_congestion_cost(link); // compute how much the congestion cost changes with this swap delta_c.congestion += proposed_link_congestion_costs[link] - link_congestion_costs[link]; @@ -154,6 +156,7 @@ void commit_noc_costs() { // reset the proposed traffic flows costs proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth = INVALID_NOC_COST_TERM; proposed_traffic_flow_costs[traffic_flow_id].latency = INVALID_NOC_COST_TERM; + proposed_traffic_flow_costs[traffic_flow_id].latency_overrun = INVALID_NOC_COST_TERM; } // Iterate over all the NoC links whose bandwidth utilization was affected by the proposed move @@ -321,12 +324,13 @@ void recompute_noc_costs(NocCostTerms& new_cost) { auto& noc_ctx = g_vpr_ctx.noc(); // reset the cost variables first - new_cost = NocCostTerms{0.0, 0.0, 0.0}; + new_cost = NocCostTerms{0.0, 0.0, 0.0, 0.0}; // go through the costs of all the traffic flows and add them up to recompute the total costs associated with the NoC for (const auto& traffic_flow_id : noc_ctx.noc_traffic_flows_storage.get_all_traffic_flow_id()) { new_cost.aggregate_bandwidth += traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; new_cost.latency += traffic_flow_costs[traffic_flow_id].latency; + new_cost.latency_overrun += traffic_flow_costs[traffic_flow_id].latency_overrun; } // Iterate over all NoC links and accumulate their congestion costs @@ -339,14 +343,23 @@ void recompute_noc_costs(NocCostTerms& new_cost) { void update_noc_normalization_factors(t_placer_costs& costs) { //Prevent the norm factors from going to infinity - costs.noc_aggregate_bandwidth_cost_norm = std::min(1 / costs.noc_aggregate_bandwidth_cost, MAX_INV_NOC_AGGREGATE_BANDWIDTH_COST); - costs.noc_latency_cost_norm = std::min(1 / costs.noc_latency_cost, MAX_INV_NOC_LATENCY_COST); + costs.noc_cost_norm_factors.aggregate_bandwidth = std::min(1 / costs.noc_cost_terms.aggregate_bandwidth, MAX_INV_NOC_AGGREGATE_BANDWIDTH_COST); + costs.noc_cost_norm_factors.latency = std::min(1 / costs.noc_cost_terms.latency, MAX_INV_NOC_LATENCY_COST); - // to avoid division by zero - if (costs.noc_congestion_cost > 0.0) { - costs.noc_congestion_cost_norm = std::min(1 / costs.noc_congestion_cost, MAX_INV_NOC_CONGESTION_COST); + // to avoid division by zero and negative numbers + // latency overrun cost may take very small negative values due to round-off error + if (costs.noc_cost_terms.latency_overrun > 0.0) { + costs.noc_cost_norm_factors.latency_overrun = std::min(1 / costs.noc_cost_terms.latency_overrun, MAX_INV_NOC_LATENCY_COST); } else { - costs.noc_congestion_cost_norm = MAX_INV_NOC_CONGESTION_COST; + costs.noc_cost_norm_factors.latency_overrun = MAX_INV_NOC_LATENCY_COST; + } + + // to avoid division by zero and negative numbers + // congestion cost may take very small negative values due to round-off error + if (costs.noc_cost_terms.congestion > 0.0) { + costs.noc_cost_norm_factors.congestion = std::min(1 / costs.noc_cost_terms.congestion, MAX_INV_NOC_CONGESTION_COST); + } else { + costs.noc_cost_norm_factors.congestion = MAX_INV_NOC_CONGESTION_COST; } return; @@ -378,13 +391,13 @@ double comp_noc_aggregate_bandwidth_cost(void) { return noc_aggregate_bandwidth_cost; } -double comp_noc_latency_cost(const t_noc_opts& noc_opts) { +std::pair comp_noc_latency_cost() { // used to get traffic flow route information auto& noc_ctx = g_vpr_ctx.noc(); // datastructure that stores all the traffic flow routes const NocTrafficFlows& noc_traffic_flows_storage = noc_ctx.noc_traffic_flows_storage; - double noc_latency_cost = 0.; + std::pair noc_latency_cost_terms{0.0, 0.0}; // now go through each traffic flow route and calculate its // latency. Then store this in local data structures and accumulate it. @@ -392,19 +405,21 @@ double comp_noc_latency_cost(const t_noc_opts& noc_opts) { const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); const std::vector& curr_traffic_flow_route = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); - double curr_traffic_flow_latency_cost = calculate_traffic_flow_latency_cost(curr_traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow, noc_opts); + auto [curr_traffic_flow_latency, curr_traffic_flow_latency_overrun] = calculate_traffic_flow_latency_cost(curr_traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow); - // store the calculated latency for the current traffic flow in local datastructures (this also initializes them) - traffic_flow_costs[traffic_flow_id].latency = curr_traffic_flow_latency_cost; + // store the calculated latency cost terms for the current traffic flow in local datastructures (this also initializes them) + traffic_flow_costs[traffic_flow_id].latency = curr_traffic_flow_latency; + traffic_flow_costs[traffic_flow_id].latency_overrun = curr_traffic_flow_latency_overrun; - // accumulate the latency cost - noc_latency_cost += curr_traffic_flow_latency_cost; + // accumulate the latency cost terms + noc_latency_cost_terms.first += curr_traffic_flow_latency; + noc_latency_cost_terms.second += curr_traffic_flow_latency_overrun; } - return noc_latency_cost; + return noc_latency_cost_terms; } -double comp_noc_congestion_cost(const t_noc_opts& noc_opts) { +double comp_noc_congestion_cost() { // Used to access NoC links auto& noc_ctx = g_vpr_ctx.noc(); @@ -412,7 +427,7 @@ double comp_noc_congestion_cost(const t_noc_opts& noc_opts) { // Iterate over all NoC links for (const auto& link : noc_ctx.noc_model.get_noc_links()) { - double link_congestion_cost = calculate_link_congestion_cost(link, noc_opts); + double link_congestion_cost = calculate_link_congestion_cost(link); // store the congestion cost for this link in static data structures (this also initializes them) link_congestion_costs[link] = link_congestion_cost; @@ -426,7 +441,7 @@ double comp_noc_congestion_cost(const t_noc_opts& noc_opts) { int check_noc_placement_costs(const t_placer_costs& costs, double error_tolerance, const t_noc_opts& noc_opts) { int error = 0; - NocCostTerms cost_check{0.0, 0.0, 0.0}; + NocCostTerms cost_check{0.0, 0.0, 0.0, 0.0}; // get current router block locations auto& place_ctx = g_vpr_ctx.placement(); @@ -468,8 +483,9 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc double current_flow_aggregate_bandwidth_cost = calculate_traffic_flow_aggregate_bandwidth_cost(temp_found_noc_route, curr_traffic_flow); cost_check.aggregate_bandwidth += current_flow_aggregate_bandwidth_cost; - double current_flow_latency_cost = calculate_traffic_flow_latency_cost(temp_found_noc_route, noc_model, curr_traffic_flow, noc_opts); - cost_check.latency += current_flow_latency_cost; + auto [curr_traffic_flow_latency_cost, curr_traffic_flow_latency_overrun_cost] = calculate_traffic_flow_latency_cost(temp_found_noc_route, noc_model, curr_traffic_flow); + cost_check.latency += curr_traffic_flow_latency_cost; + cost_check.latency_overrun += curr_traffic_flow_latency_overrun_cost; // increase bandwidth utilization for the links that constitute the current flow's route for (auto& link_id : temp_found_noc_route) { @@ -485,24 +501,35 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc // Iterate over all NoC links and accumulate congestion cost for(const auto& link : temp_noc_link_storage) { - cost_check.congestion += calculate_link_congestion_cost(link, noc_opts); + cost_check.congestion += calculate_link_congestion_cost(link); } // check whether the aggregate bandwidth placement cost is within the error tolerance - if (fabs(cost_check.aggregate_bandwidth - costs.noc_aggregate_bandwidth_cost) > costs.noc_aggregate_bandwidth_cost * error_tolerance) { + if (fabs(cost_check.aggregate_bandwidth - costs.noc_cost_terms.aggregate_bandwidth) > costs.noc_cost_terms.aggregate_bandwidth * error_tolerance) { VTR_LOG_ERROR( "noc_aggregate_bandwidth_cost_check: %g and noc_aggregate_bandwidth_cost: %g differ in check_noc_placement_costs.\n", - cost_check.aggregate_bandwidth, costs.noc_aggregate_bandwidth_cost); + cost_check.aggregate_bandwidth, costs.noc_cost_terms.aggregate_bandwidth); error++; } // only check the recomputed cost if it is above our expected latency cost threshold of 1 pico-second, otherwise there is no point in checking it if (cost_check.latency > MIN_EXPECTED_NOC_LATENCY_COST) { // check whether the latency placement cost is within the error tolerance - if (fabs(cost_check.latency - costs.noc_latency_cost) > costs.noc_latency_cost * error_tolerance) { + if (fabs(cost_check.latency - costs.noc_cost_terms.latency) > costs.noc_cost_terms.latency * error_tolerance) { VTR_LOG_ERROR( "noc_latency_cost_check: %g and noc_latency_cost: %g differ in check_noc_placement_costs.\n", - cost_check.latency, costs.noc_latency_cost); + cost_check.latency, costs.noc_cost_terms.latency); + error++; + } + } + + // only check the recomputed cost if it is above our expected latency cost threshold of 1 pico-second, otherwise there is no point in checking it + if (cost_check.latency_overrun > MIN_EXPECTED_NOC_LATENCY_COST) { + // check whether the latency overrun placement cost is within the error tolerance + if (fabs(cost_check.latency_overrun - costs.noc_cost_terms.latency_overrun) > costs.noc_cost_terms.latency_overrun * error_tolerance) { + VTR_LOG_ERROR( + "noc_latency_overrun_cost_check: %g and noc_latency_overrun_cost: %g differ in check_noc_placement_costs.\n", + cost_check.latency_overrun, costs.noc_cost_terms.latency_overrun); error++; } } @@ -510,10 +537,10 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc // check the recomputed congestion cost only if it is higher than the minimum expected value if (cost_check.congestion > MIN_EXPECTED_NOC_CONGESTION_COST) { // check whether the NoC congestion cost is within the error range - if (fabs(cost_check.congestion - costs.noc_congestion_cost) > costs.noc_congestion_cost * error_tolerance) { + if (fabs(cost_check.congestion - costs.noc_cost_terms.congestion) > costs.noc_cost_terms.congestion * error_tolerance) { VTR_LOG_ERROR( "noc_congestion_cost_check: %g and noc_congestion_cost: %g differ in check_noc_placement_costs.\n", - cost_check.congestion, costs.noc_congestion_cost); + cost_check.congestion, costs.noc_cost_terms.congestion); error++; } } @@ -528,7 +555,9 @@ double calculate_traffic_flow_aggregate_bandwidth_cost(const std::vector& traffic_flow_route, const NocStorage& noc_model, const t_noc_traffic_flow& traffic_flow_info, const t_noc_opts& noc_opts) { +std::pair calculate_traffic_flow_latency_cost(const std::vector& traffic_flow_route, + const NocStorage& noc_model, + const t_noc_traffic_flow& traffic_flow_info) { // there will always be one more router than links in a traffic flow int num_of_links_in_traffic_flow = traffic_flow_route.size(); int num_of_routers_in_traffic_flow = num_of_links_in_traffic_flow + 1; @@ -538,26 +567,28 @@ double calculate_traffic_flow_latency_cost(const std::vector& traffic double noc_link_latency = noc_model.get_noc_link_latency(); double noc_router_latency = noc_model.get_noc_router_latency(); - // calculate the traffic flow_latency + // calculate the traffic flow latency double latency = (noc_link_latency * num_of_links_in_traffic_flow) + (noc_router_latency * num_of_routers_in_traffic_flow); - // calculate the cost - double single_traffic_flow_latency_cost = (noc_opts.noc_latency_constraints_weighting * std::max(0., latency - max_latency)) + (noc_opts.noc_latency_weighting * latency); + // calculate the traffic flow latency overrun + double latency_overrun = std::max(latency - max_latency, 0.); // scale the latency cost by its priority to indicate its importance - return (single_traffic_flow_latency_cost * traffic_flow_info.traffic_flow_priority); -} + latency *= traffic_flow_info.traffic_flow_priority; + latency_overrun *= traffic_flow_info.traffic_flow_priority; -double calculate_link_congestion_cost(const NocLink& link, const t_noc_opts& noc_opts) { - double congested_bw_ratio, congestion_cost; + return {latency, latency_overrun}; +} - congested_bw_ratio = link.get_congested_bandwidth_ratio(); - congestion_cost = noc_opts.noc_congestion_weighting * congested_bw_ratio; +double calculate_link_congestion_cost(const NocLink& link) { + double congested_bw_ratio = link.get_congested_bandwidth_ratio(); - return congestion_cost; + return congested_bw_ratio; } -double calculate_noc_cost(const NocCostTerms& cost_terms, const t_placer_costs& norm_factors, const t_noc_opts& noc_opts) { +double calculate_noc_cost(const NocCostTerms& cost_terms, + const NocCostTerms& norm_factors, + const t_noc_opts& noc_opts) { double cost = 0.0; /* NoC's contribution to the placement cost is a weighted sum over: @@ -566,9 +597,10 @@ double calculate_noc_cost(const NocCostTerms& cost_terms, const t_placer_costs& * 3) Link congestion costs */ cost = noc_opts.noc_placement_weighting * ( - cost_terms.latency * norm_factors.noc_latency_cost_norm + - cost_terms.aggregate_bandwidth * norm_factors.noc_aggregate_bandwidth_cost_norm + - cost_terms.congestion * norm_factors.noc_congestion_cost_norm); + cost_terms.aggregate_bandwidth * norm_factors.aggregate_bandwidth + + cost_terms.latency * norm_factors.latency * noc_opts.noc_latency_constraints_weighting + + cost_terms.latency_overrun * norm_factors.latency_overrun * noc_opts.noc_latency_constraints_weighting + + cost_terms.congestion * norm_factors.congestion * noc_opts.noc_congestion_weighting); return cost; } @@ -692,7 +724,7 @@ bool check_for_router_swap(int user_supplied_noc_router_swap_percentage) { * we now only swap router blocks for the percentage of time the user * supplied. * */ - return (vtr::irand(99) < user_supplied_noc_router_swap_percentage) ? true : false; + return (vtr::irand(99) < user_supplied_noc_router_swap_percentage); } static bool select_random_router_cluster(ClusterBlockId& b_from, t_pl_loc& from, t_logical_block_type_ptr& cluster_from_type) { diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index 4a13b2d67c9..58f041795dd 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -41,6 +41,7 @@ constexpr double INVALID_NOC_COST_TERM = -1.0; struct TrafficFlowPlaceCost { double aggregate_bandwidth = INVALID_NOC_COST_TERM; double latency = INVALID_NOC_COST_TERM; + double latency_overrun = INVALID_NOC_COST_TERM; }; /** @@ -108,8 +109,7 @@ void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) * here. */ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_moved& blocks_affected, - NocCostTerms& delta_c, - const t_noc_opts& noc_opts); + NocCostTerms& delta_c); /** * @brief Updates static datastructures found in 'noc_place_utils.cpp' @@ -313,9 +313,9 @@ double comp_noc_aggregate_bandwidth_cost(void); * * @return double The latency cost of the NoC. */ -double comp_noc_latency_cost(const t_noc_opts& noc_opts); +std::pair comp_noc_latency_cost(); -double comp_noc_congestion_cost(const t_noc_opts& noc_opts); +double comp_noc_congestion_cost(); /** * @brief Given a placement state the NoC costs are re-computed @@ -378,14 +378,11 @@ double calculate_traffic_flow_aggregate_bandwidth_cost(const std::vector& traffic_flow_route, - const NocStorage& noc_model, - const t_noc_traffic_flow& traffic_flow_info, - const t_noc_opts& noc_opts); +std::pair calculate_traffic_flow_latency_cost(const std::vector& traffic_flow_route, + const NocStorage& noc_model, + const t_noc_traffic_flow& traffic_flow_info); /** * @brief Determines the congestion cost a NoC link. The cost @@ -394,12 +391,9 @@ double calculate_traffic_flow_latency_cost(const std::vector& traffic * * @param link The NoC link for which the congestion cost is * to be computed - * @param noc_opts Contains the user provided weighting factor to - * specify the importance of congestion costs compared to other - * NoC-related cost terms. * @return The computed congestion cost for the given NoC link. */ -double calculate_link_congestion_cost(const NocLink& link, const t_noc_opts& noc_opts); +double calculate_link_congestion_cost(const NocLink& link); /** * @brief Computes a weighted average of NoC cost term to determine @@ -416,7 +410,7 @@ double calculate_link_congestion_cost(const NocLink& link, const t_noc_opts& noc * total placement cost. */ double calculate_noc_cost(const NocCostTerms& cost_terms, - const t_placer_costs& norm_factors, + const NocCostTerms& norm_factors, const t_noc_opts& noc_opts); /** diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 1f37581f7af..8eed5b14518 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -15,6 +15,7 @@ #include "vtr_random.h" #include "vtr_geometry.h" #include "vtr_time.h" +#include "vtr_math.h" #include "vpr_types.h" #include "vpr_error.h" @@ -536,9 +537,7 @@ static void print_place_status(const t_annealing_state& state, float sWNS, size_t tot_moves, bool noc_enabled, - float noc_agg_bw, - float noc_agg_latency, - float noc_cong); + const NocCostTerms& noc_cost_terms); static void print_resources_utilization(); @@ -802,9 +801,9 @@ void try_place(const Netlist<>& net_list, if (noc_opts.noc) { // get the costs associated with the NoC - costs.noc_aggregate_bandwidth_cost = comp_noc_aggregate_bandwidth_cost(); - costs.noc_latency_cost = comp_noc_latency_cost(noc_opts); - costs.noc_congestion_cost = comp_noc_congestion_cost(noc_opts); + costs.noc_cost_terms.aggregate_bandwidth = comp_noc_aggregate_bandwidth_cost(); + std::tie(costs.noc_cost_terms.latency, costs.noc_cost_terms.latency_overrun) = comp_noc_latency_cost(); + costs.noc_cost_terms.congestion = comp_noc_congestion_cost(); // initialize all the noc normalization factors update_noc_normalization_factors(costs); @@ -832,11 +831,11 @@ void try_place(const Netlist<>& net_list, "noc_congestion_cost: %g, " "accum_congested_ratio: %g, " "n_congested_links: %d \n", - calculate_noc_cost(NocCostTerms(costs), costs, noc_opts), - costs.noc_aggregate_bandwidth_cost, - costs.noc_latency_cost, + calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts), + costs.noc_cost_terms.aggregate_bandwidth, + costs.noc_cost_terms.latency, get_number_of_traffic_flows_with_latency_cons_met(), - costs.noc_congestion_cost, + costs.noc_cost_terms.congestion, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); } @@ -880,11 +879,11 @@ void try_place(const Netlist<>& net_list, "noc_congestion_cost: %g " "accum_congested_ratio: %g, " "n_congested_links: %d", - calculate_noc_cost(NocCostTerms(costs), costs, noc_opts), - costs.noc_aggregate_bandwidth_cost, - costs.noc_latency_cost, + calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts), + costs.noc_cost_terms.aggregate_bandwidth, + costs.noc_cost_terms.latency, get_number_of_traffic_flows_with_latency_cons_met(), - costs.noc_congestion_cost, + costs.noc_cost_terms.congestion, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); } @@ -1033,8 +1032,7 @@ void try_place(const Netlist<>& net_list, print_place_status(state, stats, temperature_timer.elapsed_sec(), critical_path.delay(), sTNS, sWNS, tot_iter, - noc_opts.noc, costs.noc_aggregate_bandwidth_cost, - costs.noc_latency_cost, costs.noc_congestion_cost); + noc_opts.noc, costs.noc_cost_terms); if (placer_opts.place_algorithm.is_timing_driven() && placer_opts.place_agent_multistate @@ -1106,8 +1104,7 @@ void try_place(const Netlist<>& net_list, print_place_status(state, stats, temperature_timer.elapsed_sec(), critical_path.delay(), sTNS, sWNS, tot_iter, - noc_opts.noc, costs.noc_aggregate_bandwidth_cost, - costs.noc_latency_cost, costs.noc_congestion_cost); + noc_opts.noc, costs.noc_cost_terms); } auto post_quench_timing_stats = timing_ctx.stats; @@ -1201,33 +1198,33 @@ void try_place(const Netlist<>& net_list, sprintf(msg, "\nNoC Placement Costs. " "noc cost: %g, " - "noc_aggregate_bandwidth_cost: %g " - "noc_latency_cost: %g " - "noc_latency_constraints_cost: %d " - "noc_congestion_cost: %g " + "noc_aggregate_bandwidth_cost: %g, " + "noc_latency_cost: %g, " + "noc_latency_constraints_cost: %d, " + "noc_congestion_cost: %g, " "accum_congested_ratio: %g, " - "n_congested_links: %d", - calculate_noc_cost(NocCostTerms(costs), costs, noc_opts), - costs.noc_aggregate_bandwidth_cost, - costs.noc_latency_cost, + "n_congested_links: %d \n", + calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts), + costs.noc_cost_terms.aggregate_bandwidth, + costs.noc_cost_terms.latency, get_number_of_traffic_flows_with_latency_cons_met(), - costs.noc_congestion_cost, + costs.noc_cost_terms.congestion, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); VTR_LOG("\nNoC Placement Costs. " "noc cost: %g, " - "noc_aggregate_bandwidth_cost: %g " + "noc_aggregate_bandwidth_cost: %g, " "noc_latency_cost: %g, " "noc_latency_constraints_cost: %d, " "noc_congestion_cost: %g, " "accum_congested_ratio: %g, " "n_congested_links: %d \n", - calculate_noc_cost(NocCostTerms(costs), costs, noc_opts), - costs.noc_aggregate_bandwidth_cost, - costs.noc_latency_cost, + calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts), + costs.noc_cost_terms.aggregate_bandwidth, + costs.noc_cost_terms.latency, get_number_of_traffic_flows_with_latency_cons_met(), - costs.noc_congestion_cost, + costs.noc_cost_terms.congestion, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); } @@ -1414,63 +1411,63 @@ static void recompute_costs_from_scratch(const t_placer_opts& placer_opts, const PlaceDelayModel* delay_model, const PlacerCriticalities* criticalities, t_placer_costs* costs) { + auto check_and_print_cost = [](double new_cost, + double old_cost, + const std::string& cost_name) { + if (!vtr::isclose(new_cost, old_cost, ERROR_TOL, 0.)) { + std::string msg = vtr::string_fmt( + "in recompute_costs_from_scratch: new_%s = %g, old %s = %g, ERROR_TOL = %g\n", + cost_name.c_str(), new_cost, cost_name.c_str(), old_cost, ERROR_TOL); + VPR_ERROR(VPR_ERROR_PLACE, msg.c_str()); + } + }; + double new_bb_cost = recompute_bb_cost(); - if (fabs(new_bb_cost - costs->bb_cost) > costs->bb_cost * ERROR_TOL) { - std::string msg = vtr::string_fmt( - "in recompute_costs_from_scratch: new_bb_cost = %g, old bb_cost = %g\n", - new_bb_cost, costs->bb_cost); - VPR_ERROR(VPR_ERROR_PLACE, msg.c_str()); - } + check_and_print_cost(new_bb_cost, costs->bb_cost, "bb_cost"); costs->bb_cost = new_bb_cost; if (placer_opts.place_algorithm.is_timing_driven()) { double new_timing_cost = 0.; comp_td_costs(delay_model, *criticalities, &new_timing_cost); - if (fabs( - new_timing_cost - - costs->timing_cost) - > costs->timing_cost * ERROR_TOL) { - std::string msg = vtr::string_fmt( - "in recompute_costs_from_scratch: new_timing_cost = %g, old timing_cost = %g, ERROR_TOL = %g\n", - new_timing_cost, costs->timing_cost, ERROR_TOL); - VPR_ERROR(VPR_ERROR_PLACE, msg.c_str()); - } + check_and_print_cost(new_timing_cost, costs->timing_cost, "timing_cost"); costs->timing_cost = new_timing_cost; } else { VTR_ASSERT(placer_opts.place_algorithm == BOUNDING_BOX_PLACE); - costs->cost = new_bb_cost * costs->bb_cost_norm; } if (noc_opts.noc) { - NocCostTerms new_noc_cost{0.0, 0.0, 0.0}; + NocCostTerms new_noc_cost; recompute_noc_costs(new_noc_cost); - if (fabs( - new_noc_cost.aggregate_bandwidth - - costs->noc_aggregate_bandwidth_cost) - > costs->noc_aggregate_bandwidth_cost * ERROR_TOL) { - std::string msg = vtr::string_fmt( - "in recompute_costs_from_scratch: new_noc_cost.aggregate_bandwidth = %g, old noc_aggregate_bandwidth_cost = %g, ERROR_TOL = %g\n", - new_noc_cost.aggregate_bandwidth, costs->noc_aggregate_bandwidth_cost, ERROR_TOL); - VPR_ERROR(VPR_ERROR_PLACE, msg.c_str()); - } - costs->noc_aggregate_bandwidth_cost = new_noc_cost.aggregate_bandwidth; + check_and_print_cost(new_noc_cost.aggregate_bandwidth, + costs->noc_cost_terms.aggregate_bandwidth, + "noc_aggregate_bandwidth"); + costs->noc_cost_terms.aggregate_bandwidth = new_noc_cost.aggregate_bandwidth; // only check if the recomputed cost and the current noc latency cost are within the error tolerance if the cost is above 1 picosecond. // Otherwise, there is no need to check (we expect the latency cost to be above the threshold of 1 picosecond) if (new_noc_cost.latency > MIN_EXPECTED_NOC_LATENCY_COST) { - if (fabs( - new_noc_cost.latency - - costs->noc_latency_cost) - > costs->noc_latency_cost * ERROR_TOL) { - std::string msg = vtr::string_fmt( - "in recompute_costs_from_scratch: new_noc_cost.latency = %g, old noc_latency_cost = %g, ERROR_TOL = %g\n", - new_noc_cost.latency, costs->noc_latency_cost, ERROR_TOL); - VPR_ERROR(VPR_ERROR_PLACE, msg.c_str()); - } + check_and_print_cost(new_noc_cost.latency, + costs->noc_cost_terms.latency, + "noc_latency_cost"); + } + costs->noc_cost_terms.latency = new_noc_cost.latency; + + if (new_noc_cost.latency_overrun > MIN_EXPECTED_NOC_LATENCY_COST) { + check_and_print_cost(new_noc_cost.latency_overrun, + costs->noc_cost_terms.latency_overrun, + "noc_latency_overrun_cost"); } - costs->noc_latency_cost = new_noc_cost.latency; + costs->noc_cost_terms.latency_overrun = new_noc_cost.latency_overrun; + + if (new_noc_cost.congestion > MIN_EXPECTED_NOC_CONGESTION_COST) { + check_and_print_cost(new_noc_cost.congestion, + costs->noc_cost_terms.congestion, + "noc_congestion_cost"); + } + costs->noc_cost_terms.congestion = new_noc_cost.congestion; + } } @@ -1808,13 +1805,13 @@ static e_move_result try_swap(const t_annealing_state* state, } - NocCostTerms noc_delta_c {0.0, 0.0, 0.0}; // change in NoC cost + NocCostTerms noc_delta_c; // change in NoC cost /* Update the NoC datastructure and costs*/ if (noc_opts.noc) { - find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_delta_c, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, noc_delta_c); // Include the NoC delta costs in the total cost change for this swap - delta_c += calculate_noc_cost(noc_delta_c, *costs, noc_opts); + delta_c += calculate_noc_cost(noc_delta_c, costs->noc_cost_norm_factors, noc_opts); } /* 1 -> move accepted, 0 -> rejected. */ @@ -2335,7 +2332,7 @@ static double get_total_cost(t_placer_costs* costs, const t_placer_opts& placer_ if (noc_opts.noc) { // in noc mode we include noc aggregate bandwidth and noc latency - total_cost += calculate_noc_cost(NocCostTerms(*costs), *costs, noc_opts); + total_cost += calculate_noc_cost(costs->noc_cost_terms, costs->noc_cost_norm_factors, noc_opts); } return total_cost; @@ -4227,13 +4224,13 @@ static void print_place_status_header(bool noc_enabled) { "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------\n"); } else { VTR_LOG( - "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------ -------- -------- ---------\n"); + "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------ -------- -------- --------- ---------\n"); VTR_LOG( - "Tnum Time T Av Cost Av BB Cost Av TD Cost CPD sTNS sWNS Ac Rate Std Dev R lim Crit Exp Tot Moves Alpha Agg. BW Agg. Lat NoC Cong.\n"); + "Tnum Time T Av Cost Av BB Cost Av TD Cost CPD sTNS sWNS Ac Rate Std Dev R lim Crit Exp Tot Moves Alpha Agg. BW Agg. Lat Lat Over. NoC Cong.\n"); VTR_LOG( - " (sec) (ns) (ns) (ns) (bps) (ns) \n"); + " (sec) (ns) (ns) (ns) (bps) (ns) (ns) \n"); VTR_LOG( - "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------ -------- -------- ---------\n"); + "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------ -------- -------- --------- ---------\n"); } } @@ -4246,9 +4243,7 @@ static void print_place_status(const t_annealing_state& state, float sWNS, size_t tot_moves, bool noc_enabled, - float noc_agg_bw, - float noc_agg_latency, - float noc_cong) { + const NocCostTerms& noc_cost_terms) { VTR_LOG( "%4zu %6.1f %7.1e " "%7.3f %10.2f %-10.5g " @@ -4265,8 +4260,10 @@ static void print_place_status(const t_annealing_state& state, if (noc_enabled) { VTR_LOG( - " %7.2e %7.2e %8.2f", - noc_agg_bw, noc_agg_latency, noc_cong); + " %7.2e %7.2e" + " %8.2e %8.2f", + noc_cost_terms.aggregate_bandwidth, noc_cost_terms.latency, + noc_cost_terms.latency_overrun, noc_cost_terms.congestion); } VTR_LOG("\n"); diff --git a/vpr/src/place/place_util.cpp b/vpr/src/place/place_util.cpp index 2b9d38e9438..7358823d981 100644 --- a/vpr/src/place/place_util.cpp +++ b/vpr/src/place/place_util.cpp @@ -79,9 +79,7 @@ void t_placer_costs::update_norm_factors() { * @param noc_delta_cost NoC cost difference if the swap is accepted */ t_placer_costs& t_placer_costs::operator+=(const NocCostTerms& noc_delta_cost) { - noc_aggregate_bandwidth_cost += noc_delta_cost.aggregate_bandwidth; - noc_latency_cost += noc_delta_cost.latency; - noc_congestion_cost += noc_delta_cost.congestion; + noc_cost_terms += noc_delta_cost; return *this; } @@ -561,12 +559,24 @@ bool macro_can_be_placed(t_pl_macro pl_macro, t_pl_loc head_pos, bool check_all_ return (mac_can_be_placed); } -NocCostTerms::NocCostTerms(const t_placer_costs& costs) - : aggregate_bandwidth(costs.noc_aggregate_bandwidth_cost) - , latency(costs.noc_latency_cost) - , congestion(costs.noc_congestion_cost) {} - -NocCostTerms::NocCostTerms(double agg_bw, double lat, double congest) +NocCostTerms::NocCostTerms(double agg_bw, double lat, double lat_overrun, double congest) : aggregate_bandwidth(agg_bw) , latency(lat) + , latency_overrun(lat_overrun) , congestion(congest) {} + +NocCostTerms::NocCostTerms() + : aggregate_bandwidth(0) + , latency(0) + , latency_overrun(0) + , congestion(0) {} + +NocCostTerms& NocCostTerms::operator+=(const NocCostTerms& noc_delta_cost) { + aggregate_bandwidth += noc_delta_cost.aggregate_bandwidth; + latency += noc_delta_cost.latency; + latency_overrun += noc_delta_cost.latency_overrun; + congestion += noc_delta_cost.congestion; + + return *this; +} + diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index 2e1355f121d..22415b309d6 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -27,12 +27,15 @@ class t_placer_costs; */ struct NocCostTerms { public: - NocCostTerms() = delete; - explicit NocCostTerms(const t_placer_costs& costs); - NocCostTerms(double agg_bw, double lat, double congest); + NocCostTerms(); + NocCostTerms(const NocCostTerms&) = default; + NocCostTerms(double agg_bw, double lat, double lat_overrun, double congest); + NocCostTerms& operator=(const NocCostTerms& other) = default; + NocCostTerms& operator+=(const NocCostTerms& noc_delta_cost); double aggregate_bandwidth = 0.0; double latency = 0.0; + double latency_overrun = 0.0; double congestion = 0.0; }; @@ -86,17 +89,14 @@ class t_placer_costs { double timing_cost = 0.; double bb_cost_norm = 0.; double timing_cost_norm = 0.; - double noc_aggregate_bandwidth_cost = 0.; - double noc_aggregate_bandwidth_cost_norm = 0.; - double noc_latency_cost = 0.; - double noc_latency_cost_norm = 0.; - double noc_congestion_cost = 0.; - double noc_congestion_cost_norm = 0.; + + NocCostTerms noc_cost_terms; + NocCostTerms noc_cost_norm_factors; public: //Constructor t_placer_costs(t_place_algorithm algo) : place_algorithm(algo) {} - t_placer_costs() {} + t_placer_costs() = default; public: //Mutator void update_norm_factors(); From 477063419983ef30b01b10fd68086a8cd35a44c6 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 1 Feb 2024 15:26:10 -0500 Subject: [PATCH 197/608] Update unit tests --- vpr/test/test_noc_place_utils.cpp | 266 +++++++++++++++++------------- 1 file changed, 154 insertions(+), 112 deletions(-) diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 4df3d8e1e7c..8e53ec68ed9 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -406,13 +406,9 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { //initialize all the cost calculator datastructures allocate_and_load_noc_placement_structs(); - // create the noc options - t_noc_opts noc_opts; - noc_opts.noc_latency_constraints_weighting = dist_3(double_engine); - noc_opts.noc_latency_weighting = dist_3(double_engine); - - // create local variable to store the latency cost + // create local variable to store the latency cost terms double golden_total_noc_latency_costs = 0.; + double golden_total_noc_latency_overrun_costs = 0.; // local router and link latency parameters double router_latency = noc_ctx.noc_model.get_noc_router_latency(); @@ -423,20 +419,23 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow((NocTrafficFlowId)traffic_flow_number); double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_route_sizes[traffic_flow_number] + 1)) + (link_latency * golden_traffic_flow_route_sizes[traffic_flow_number]); + double curr_traffic_flow_latency_overrun = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); // calculate the latency cost - double current_latency_cost = (noc_opts.noc_latency_constraints_weighting * (std::max(0., curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency))) + (noc_opts.noc_latency_weighting * curr_traffic_flow_latency); - current_latency_cost *= curr_traffic_flow.traffic_flow_priority; + double current_latency_cost = curr_traffic_flow_latency * curr_traffic_flow.traffic_flow_priority; + double current_latency_overrun_cost = curr_traffic_flow_latency_overrun * curr_traffic_flow.traffic_flow_priority; golden_total_noc_latency_costs += current_latency_cost; + golden_total_noc_latency_overrun_costs += current_latency_overrun_cost; } // run the test function and get the latency cost calculated - double found_latency_cost = comp_noc_latency_cost(noc_opts); + auto [found_latency_cost, found_latency_overrun_cost] = comp_noc_latency_cost(); // compare the test function latency cost to the golden value // since we are comparing double numbers we allow a tolerance of difference REQUIRE(vtr::isclose(golden_total_noc_latency_costs, found_latency_cost)); + REQUIRE(vtr::isclose(golden_total_noc_latency_overrun_costs, found_latency_overrun_cost)); // release the cost calculator datastructures free_noc_placement_structs(); @@ -446,21 +445,17 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { //initialize all the cost calculator datastructures allocate_and_load_noc_placement_structs(); - // create the noc options - t_noc_opts noc_opts; - noc_opts.noc_congestion_weighting = dist_3(double_engine); - // create local variable to store the latency cost double golden_total_noc_congestion_costs = 0.; for (const auto& link : noc_ctx.noc_model.get_noc_links()) { double congested_bw_ratio = link.get_congested_bandwidth_ratio(); - golden_total_noc_congestion_costs += noc_opts.noc_congestion_weighting * congested_bw_ratio; + golden_total_noc_congestion_costs += congested_bw_ratio; } // run the test function to get the congestion cost - double found_congestion_cost = comp_noc_congestion_cost(noc_opts); + double found_congestion_cost = comp_noc_congestion_cost(); // compare the test function congestion cost to the golden value // since we are comparing double numbers we allow a tolerance of difference @@ -633,14 +628,16 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // store the traffic flow bandwidth costs and latency costs vtr::vector golden_traffic_flow_bandwidth_costs; vtr::vector golden_traffic_flow_latency_costs; + vtr::vector golden_traffic_flow_latency_overrun_costs; golden_traffic_flow_bandwidth_costs.resize(noc_ctx.noc_traffic_flows_storage.get_number_of_traffic_flows()); golden_traffic_flow_latency_costs.resize(noc_ctx.noc_traffic_flows_storage.get_number_of_traffic_flows()); + golden_traffic_flow_latency_overrun_costs.resize(noc_ctx.noc_traffic_flows_storage.get_number_of_traffic_flows()); // store link congestion costs vtr::vector golden_link_congestion_costs; golden_link_congestion_costs.resize(noc_ctx.noc_model.get_number_of_noc_links()); // stores the change in bandwidth and latency costs from the test function - NocCostTerms test_noc_costs{0.0, 0.0, 0.0}; + NocCostTerms test_noc_costs; // we need to route all the traffic flows based on their initial positions for (int traffic_flow_number = 0; traffic_flow_number < number_of_created_traffic_flows; traffic_flow_number++) { @@ -667,29 +664,33 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // also initialize the bandwidth and latency costs for all traffic flows // and sum them up to calculate the total initial aggregate bandwidth and latency costs for the NoC for (int traffic_flow_number = 0; traffic_flow_number < number_of_created_traffic_flows; traffic_flow_number++) { - const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow((NocTrafficFlowId)traffic_flow_number); + const auto traffic_flow_id = (NocTrafficFlowId)traffic_flow_number; + const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); - for (auto& link : golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; } // calculate the bandwidth cost - golden_traffic_flow_bandwidth_costs[(NocTrafficFlowId)traffic_flow_number] = golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number].size() * curr_traffic_flow.traffic_flow_bandwidth; - golden_traffic_flow_bandwidth_costs[(NocTrafficFlowId)traffic_flow_number] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] = golden_traffic_flow_routes[traffic_flow_id].size() * curr_traffic_flow.traffic_flow_bandwidth; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; - double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number].size() + 1)) + (link_latency * golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number].size()); + double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow_id].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow_id].size()); - golden_traffic_flow_latency_costs[(NocTrafficFlowId)traffic_flow_number] = (noc_opts.noc_latency_constraints_weighting * (std::max(0., curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency))) + (noc_opts.noc_latency_weighting * curr_traffic_flow_latency); - golden_traffic_flow_latency_costs[(NocTrafficFlowId)traffic_flow_number] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_costs[traffic_flow_id] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); + golden_traffic_flow_latency_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; - test_noc_costs.aggregate_bandwidth += golden_traffic_flow_bandwidth_costs[(NocTrafficFlowId)traffic_flow_number]; - test_noc_costs.latency += golden_traffic_flow_latency_costs[(NocTrafficFlowId)traffic_flow_number]; + test_noc_costs.aggregate_bandwidth += golden_traffic_flow_bandwidth_costs[traffic_flow_id]; + test_noc_costs.latency += golden_traffic_flow_latency_costs[traffic_flow_id]; + test_noc_costs.latency_overrun += golden_traffic_flow_latency_overrun_costs[traffic_flow_id]; } // initialize golden congestion cost for all links for (const auto& link : noc_ctx.noc_model.get_noc_links()) { auto link_id = link.get_link_id(); - golden_link_congestion_costs[link_id] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link_id] - link_bandwidth, 0.0); + golden_link_congestion_costs[link_id] = std::max(golden_link_bandwidths[link_id] - link_bandwidth, 0.0); test_noc_costs.congestion += golden_link_congestion_costs[link_id]; } @@ -698,8 +699,8 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // We need to run these functions as they initialize local variables needed to run the test function within this unit test. we assume this is correct comp_noc_aggregate_bandwidth_cost(); - comp_noc_latency_cost(noc_opts); - comp_noc_congestion_cost(noc_opts); + comp_noc_latency_cost(); + comp_noc_congestion_cost(); // datastructure that keeps track of moved blocks during placement t_pl_blocks_to_be_moved blocks_affected(NUM_OF_LOGICAL_ROUTER_BLOCKS_NOC_PLACE_UTILS_TEST); @@ -767,7 +768,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and reduce the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; - golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); + golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow @@ -776,7 +777,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and increase the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; - golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); + golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now @@ -785,8 +786,10 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow].size()); - golden_traffic_flow_latency_costs[traffic_flow] = (noc_opts.noc_latency_constraints_weighting * (std::max(0., curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency))) + (noc_opts.noc_latency_weighting * curr_traffic_flow_latency); + golden_traffic_flow_latency_costs[traffic_flow] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; routed_traffic_flows.insert(traffic_flow); } @@ -801,7 +804,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and reduce the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; - golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); + golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow @@ -810,7 +813,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and increase the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; - golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); + golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now @@ -819,21 +822,24 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow].size()); - golden_traffic_flow_latency_costs[traffic_flow] = (noc_opts.noc_latency_constraints_weighting * (std::max(0., curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency))) + (noc_opts.noc_latency_weighting * curr_traffic_flow_latency); + golden_traffic_flow_latency_costs[traffic_flow] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; routed_traffic_flows.insert(traffic_flow); } } - NocCostTerms delta_cost {0.0, 0.0, 0.0}; + NocCostTerms delta_cost; // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost); - // update the test total noc bandwidth, latency, and congestion costs based on the cost changes found by the test functions + // update the test noc cost terms based on the cost changes found by the test functions test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; test_noc_costs.latency += delta_cost.latency; + test_noc_costs.latency_overrun += delta_cost.latency_overrun; test_noc_costs.congestion += delta_cost.congestion; // need this function to update the local datastructures that store all the traffic flow costs @@ -909,7 +915,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and reduce the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; - golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); + golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow @@ -918,7 +924,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and increase the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; - golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); + golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now @@ -927,8 +933,10 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow].size()); - golden_traffic_flow_latency_costs[traffic_flow] = (noc_opts.noc_latency_constraints_weighting * (std::max(0., curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency))) + (noc_opts.noc_latency_weighting * curr_traffic_flow_latency); + golden_traffic_flow_latency_costs[traffic_flow] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; } // this is for the second swapped block @@ -939,7 +947,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and reduce the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; - golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); + golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow @@ -948,7 +956,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and increase the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; - golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); + golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now @@ -957,18 +965,21 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow].size()); - golden_traffic_flow_latency_costs[traffic_flow] = (noc_opts.noc_latency_constraints_weighting * (std::max(0., curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency))) + (noc_opts.noc_latency_weighting * curr_traffic_flow_latency); + golden_traffic_flow_latency_costs[traffic_flow] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; } - NocCostTerms delta_cost {0.0, 0.0, 0.0}; + NocCostTerms delta_cost; // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost); - // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions + // update the test noc cost terms based on the cost changes found by the test functions test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; test_noc_costs.latency += delta_cost.latency; + test_noc_costs.latency_overrun += delta_cost.latency_overrun; test_noc_costs.congestion += delta_cost.congestion; // need this function to update the local datastructures that store all the traffic flow costs @@ -1032,7 +1043,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and reduce the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; - golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); + golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow @@ -1041,7 +1052,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // go through the current traffic flow and increase the bandwidths of the links for (auto& link : golden_traffic_flow_routes[traffic_flow]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; - golden_link_congestion_costs[link] = noc_opts.noc_congestion_weighting * std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); + golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now @@ -1050,19 +1061,22 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow].size()); - golden_traffic_flow_latency_costs[traffic_flow] = (noc_opts.noc_latency_constraints_weighting * (std::max(0., curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency))) + (noc_opts.noc_latency_weighting * curr_traffic_flow_latency); + golden_traffic_flow_latency_costs[traffic_flow] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; } // reset the delta costs - delta_cost = NocCostTerms {0.0, 0.0, 0.0}; + delta_cost = NocCostTerms(); // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost); - // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions + // update the test noc cost terms based on the cost changes found by the test functions test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; test_noc_costs.latency += delta_cost.latency; + test_noc_costs.latency_overrun += delta_cost.latency_overrun; test_noc_costs.congestion += delta_cost.congestion; // need this function to update the local datastructures that store all the traffic flow costs @@ -1119,14 +1133,15 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // we don't have to calculate the costs or update bandwidths because the swapped router blocks do not have any associated traffic flows // // reset the delta costs - delta_cost = NocCostTerms {0.0, 0.0, 0.0}; + delta_cost = NocCostTerms(); // call the test function - find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost, noc_opts); + find_affected_noc_routers_and_update_noc_costs(blocks_affected, delta_cost); - // update the test total noc bandwidth and latency costs based on the cost changes found by the test functions + // update the test noc cost terms based on the cost changes found by the test functions test_noc_costs.aggregate_bandwidth += delta_cost.aggregate_bandwidth; test_noc_costs.latency += delta_cost.latency; + test_noc_costs.latency_overrun += delta_cost.latency_overrun; test_noc_costs.congestion += delta_cost.congestion; // need this function to update the local datastructures that store all the traffic flow costs @@ -1149,14 +1164,17 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ REQUIRE(golden_link_congested_bandwidth_ratio == current_link.get_congested_bandwidth_ratio()); } - // now find the total expected noc aggregate bandwidth, latency, and congestion cost + // now find the total expected noc cost terms double golden_total_noc_aggr_bandwidth_cost = 0.; double golden_total_noc_latency_cost = 0.; + double golden_total_noc_latency_overrun_cost = 0.; double golden_total_noc_congestion_cost = 0.; for (int traffic_flow_number = 0; traffic_flow_number < number_of_created_traffic_flows; traffic_flow_number++) { - golden_total_noc_aggr_bandwidth_cost += golden_traffic_flow_bandwidth_costs[(NocTrafficFlowId)traffic_flow_number]; - golden_total_noc_latency_cost += golden_traffic_flow_latency_costs[(NocTrafficFlowId)traffic_flow_number]; + const auto traffic_flow_id = (NocTrafficFlowId)traffic_flow_number; + golden_total_noc_aggr_bandwidth_cost += golden_traffic_flow_bandwidth_costs[traffic_flow_id]; + golden_total_noc_latency_cost += golden_traffic_flow_latency_costs[traffic_flow_id]; + golden_total_noc_latency_overrun_cost += golden_traffic_flow_latency_overrun_costs[traffic_flow_id]; } golden_total_noc_congestion_cost = std::accumulate(golden_link_congestion_costs.begin(), golden_link_congestion_costs.end(), 0.0); @@ -1164,7 +1182,8 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // now check whether the expected noc costs that we manually calculated above match the noc costs found through the test function (we allow for a tolerance of difference) REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_costs.aggregate_bandwidth)); REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); - std::cout << golden_total_noc_congestion_cost << " " << test_noc_costs.congestion << std::endl; + std::cout << golden_total_noc_latency_overrun_cost << " " << test_noc_costs.latency_overrun << std::endl; + REQUIRE(vtr::isclose(golden_total_noc_latency_overrun_cost, test_noc_costs.latency_overrun)); REQUIRE(vtr::isclose(golden_total_noc_congestion_cost, test_noc_costs.congestion)); // now test the recompute cost function // @@ -1173,6 +1192,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // start by resetting the test cost variables test_noc_costs.aggregate_bandwidth = 0.; test_noc_costs.latency = 0.; + test_noc_costs.latency_overrun = 0.; test_noc_costs.congestion = 0.; // now execute the test function @@ -1181,6 +1201,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // now verify REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_costs.aggregate_bandwidth)); REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); + REQUIRE(vtr::isclose(golden_total_noc_latency_overrun_cost, test_noc_costs.latency_overrun)); REQUIRE(vtr::isclose(golden_total_noc_congestion_cost, test_noc_costs.congestion)); // delete local datastructures @@ -1193,99 +1214,107 @@ TEST_CASE("test_update_noc_normalization_factors", "[noc_place_utils]") { t_placer_opts placer_opts; SECTION("Test case where the bandwidth cost is 0") { - costs.noc_aggregate_bandwidth_cost = 0.; - costs.noc_latency_cost = 1.; - costs.noc_congestion_cost = 1.; + costs.noc_cost_terms.aggregate_bandwidth = 0.; + costs.noc_cost_terms.latency = 1.; + costs.noc_cost_terms.latency_overrun = 1.; + costs.noc_cost_terms.congestion = 1.; // run the test function update_noc_normalization_factors(costs); // verify the aggregate bandwidth normalized cost // this should not be +INF and instead trimmed - REQUIRE(costs.noc_aggregate_bandwidth_cost_norm == 1.0); + REQUIRE(costs.noc_cost_norm_factors.aggregate_bandwidth == 1.0); } SECTION("Test case where the latency cost is 0") { - costs.noc_aggregate_bandwidth_cost = 1.; - costs.noc_latency_cost = 0.; - costs.noc_congestion_cost = 1.; + costs.noc_cost_terms.aggregate_bandwidth = 1.; + costs.noc_cost_terms.latency = 0.; + costs.noc_cost_terms.latency_overrun = 1.; + costs.noc_cost_terms.congestion = 1.; // run the test function update_noc_normalization_factors(costs); // verify the latency normalized cost // this should not be +INF and instead trimmed - REQUIRE(costs.noc_latency_cost_norm == 1.e12); + REQUIRE(costs.noc_cost_norm_factors.latency == 1.e12); } SECTION("Test case where the bandwidth cost is an expected value") { - costs.noc_aggregate_bandwidth_cost = 1.e9; - costs.noc_latency_cost = 0.; - costs.noc_congestion_cost = 1.; + costs.noc_cost_terms.aggregate_bandwidth = 1.e9; + costs.noc_cost_terms.latency = 1.; + costs.noc_cost_terms.latency_overrun = 1.; + costs.noc_cost_terms.congestion = 1.; // run the test function update_noc_normalization_factors(costs); // verify the aggregate bandwidth normalized cost // this should not be trimmed - REQUIRE(costs.noc_aggregate_bandwidth_cost_norm == 1.e-9); + REQUIRE(costs.noc_cost_norm_factors.aggregate_bandwidth == 1.e-9); } SECTION("Test case where the latency cost is an expected value") { - costs.noc_aggregate_bandwidth_cost = 1.; - costs.noc_latency_cost = 50.e-12; - costs.noc_congestion_cost = 1.; + costs.noc_cost_terms.aggregate_bandwidth = 1.; + costs.noc_cost_terms.latency = 50.e-12; + costs.noc_cost_terms.latency_overrun = 1.; + costs.noc_cost_terms.congestion = 1.; // run the test function update_noc_normalization_factors(costs); // verify the latency normalized cost // this should not be trimmed - REQUIRE(costs.noc_latency_cost_norm == 2.e10); + REQUIRE(costs.noc_cost_norm_factors.latency == 2.e10); } SECTION("Test case where the latency cost is lower than the smallest expected value") { - costs.noc_aggregate_bandwidth_cost = 1.; - costs.noc_latency_cost = 999.e-15; - costs.noc_congestion_cost = 1.; + costs.noc_cost_terms.aggregate_bandwidth = 1.; + costs.noc_cost_terms.latency = 999.e-15; + costs.noc_cost_terms.latency_overrun = 1.; + costs.noc_cost_terms.congestion = 1.; // run the test function update_noc_normalization_factors(costs); // verify the latency normalized cost // this should not be trimmed - REQUIRE(costs.noc_latency_cost_norm == 1.e12); + REQUIRE(costs.noc_cost_norm_factors.latency == 1.e12); } SECTION("Test case where the congestion cost is zero") { - costs.noc_aggregate_bandwidth_cost = 1.; - costs.noc_latency_cost = 1.; - costs.noc_congestion_cost = 0.; + costs.noc_cost_terms.aggregate_bandwidth = 1.; + costs.noc_cost_terms.latency = 1.; + costs.noc_cost_terms.latency_overrun = 1.; + costs.noc_cost_terms.congestion = 0.; // run the test function update_noc_normalization_factors(costs); // verify the congestion normalization factor // this should not be infinite - REQUIRE(costs.noc_congestion_cost_norm == 1.e3); + REQUIRE(costs.noc_cost_norm_factors.congestion == 1.e3); } SECTION("Test case where the congestion cost is lower than the smallest expected value") { - costs.noc_aggregate_bandwidth_cost = 1.; - costs.noc_latency_cost = 1.; - costs.noc_congestion_cost = 999.e-15; + costs.noc_cost_terms.aggregate_bandwidth = 1.; + costs.noc_cost_terms.latency = 1.; + costs.noc_cost_terms.latency_overrun = 1.; + costs.noc_cost_terms.congestion = 999.e-15; // run the test function update_noc_normalization_factors(costs); // verify the congestion normalization factor // this should not be infinite - REQUIRE(costs.noc_congestion_cost_norm == 1.e3); + REQUIRE(costs.noc_cost_norm_factors.congestion == 1.e3); } SECTION("Test case where the congestion cost is an expected value") { - costs.noc_aggregate_bandwidth_cost = 1.; - costs.noc_latency_cost = 1.; - costs.noc_congestion_cost = 1.e2; + costs.noc_cost_terms.aggregate_bandwidth = 1.; + costs.noc_cost_terms.latency = 1.; + costs.noc_cost_terms.latency_overrun = 1.; + costs.noc_cost_terms.congestion = 1.e2; // run the test function update_noc_normalization_factors(costs); // verify the congestion normalization factor - REQUIRE(costs.noc_congestion_cost_norm == 1.e-2); + REQUIRE(costs.noc_cost_norm_factors.congestion == 1.e-2); } } TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { @@ -1585,7 +1614,7 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { } // re-route the traffic flow - noc_ctx.noc_flows_router->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); + noc_ctx.noc_flows_router->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id],router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); // go through the current traffic flow and reduce the bandwidths of the links (we only update this in the NoC, since these changes should be rectified by the test function) // This shouldn't be updated in the golden bandwidths since we are imitating a swap of blocks and not having a real swap of blocks @@ -1788,14 +1817,15 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { // we need to route all the traffic flows based on their initial positions for (int traffic_flow_number = 0; traffic_flow_number < NUM_OF_TRAFFIC_FLOWS_NOC_PLACE_UTILS_TEST; traffic_flow_number++) { - const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow((NocTrafficFlowId)traffic_flow_number); + const auto traffic_flow_id = (NocTrafficFlowId)traffic_flow_number; + const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // get the source and sink routers of this traffic flow int source_hard_router_id = (size_t)curr_traffic_flow.source_router_cluster_id; int sink_hard_routed_id = (size_t)curr_traffic_flow.sink_router_cluster_id; // get the current traffic flow route - auto& traffic_flow_route = golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number]; + auto& traffic_flow_route = golden_traffic_flow_routes[traffic_flow_id]; double traffic_flow_bandwidth = curr_traffic_flow.traffic_flow_bandwidth; // route it @@ -1810,20 +1840,23 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { } } - // variables below store the expected noc costs (latency and bandwidth) + // variables below store the expected noc cost terms t_placer_costs costs; - costs.noc_aggregate_bandwidth_cost = 0.; - costs.noc_latency_cost = 0.; - costs.noc_congestion_cost = 0.; + costs.noc_cost_terms.aggregate_bandwidth = 0.; + costs.noc_cost_terms.latency = 0.; + costs.noc_cost_terms.latency_overrun = 0.; + costs.noc_cost_terms.congestion = 0.; for (int traffic_flow_number = 0; traffic_flow_number < NUM_OF_TRAFFIC_FLOWS_NOC_PLACE_UTILS_TEST; traffic_flow_number++) { - const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow((NocTrafficFlowId)traffic_flow_number); + const auto traffic_flow_id = (NocTrafficFlowId)traffic_flow_number; + const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); double curr_bandwidth_cost = 0.; double curr_latency_cost = 0.; + double curr_latency_overrun_cost = 0.; // get the traffic flow route - const auto& golden_traffic_flow_route = golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number]; + const auto& golden_traffic_flow_route = golden_traffic_flow_routes[traffic_flow_id]; // calculate the bandwidth cost curr_bandwidth_cost = golden_traffic_flow_route.size() * curr_traffic_flow.traffic_flow_bandwidth; @@ -1832,17 +1865,20 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_route.size() + 1)) + (link_latency * golden_traffic_flow_route.size()); // calculate the latency cost - curr_latency_cost = (noc_opts.noc_latency_constraints_weighting * (std::max(0., curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency))) + (noc_opts.noc_latency_weighting * curr_traffic_flow_latency); + curr_latency_cost = curr_traffic_flow_latency; + curr_latency_overrun_cost = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); curr_latency_cost *= curr_traffic_flow.traffic_flow_priority; + curr_latency_overrun_cost *= curr_traffic_flow.traffic_flow_priority; - costs.noc_aggregate_bandwidth_cost += curr_bandwidth_cost; - costs.noc_latency_cost += curr_latency_cost; + costs.noc_cost_terms.aggregate_bandwidth += curr_bandwidth_cost; + costs.noc_cost_terms.latency += curr_latency_cost; + costs.noc_cost_terms.latency_overrun += curr_latency_overrun_cost; } // calculate the congestion cost for (const auto& noc_link : noc_ctx.noc_model.get_noc_links()) { - double curr_congestion_cost = noc_opts.noc_congestion_weighting * noc_link.get_congested_bandwidth_ratio(); - costs.noc_congestion_cost += curr_congestion_cost; + double curr_congestion_cost = noc_link.get_congested_bandwidth_ratio(); + costs.noc_cost_terms.congestion += curr_congestion_cost; } // this defines the error tolerance that is allowed between the golden noc costs and the costs found by the test function: check_noc_placement_costs @@ -1858,19 +1894,25 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { } SECTION("Case where the check place fails for both NoC costs") { // we need to make the aggregate bandwidth cost and latency cost be a value that is larger or smaller than the tolerance value - costs.noc_aggregate_bandwidth_cost += (costs.noc_aggregate_bandwidth_cost * error_tolerance * 2); - costs.noc_latency_cost -= (costs.noc_latency_cost * error_tolerance * 2); - if (costs.noc_congestion_cost == 0) { - costs.noc_congestion_cost += MIN_EXPECTED_NOC_CONGESTION_COST * error_tolerance * 2; + costs.noc_cost_terms.aggregate_bandwidth += (costs.noc_cost_terms.aggregate_bandwidth * error_tolerance * 2); + costs.noc_cost_terms.latency -= (costs.noc_cost_terms.latency * error_tolerance * 2); + if (costs.noc_cost_terms.latency_overrun == 0) { + costs.noc_cost_terms.latency_overrun += MIN_EXPECTED_NOC_LATENCY_COST * error_tolerance * 2; + } else { + costs.noc_cost_terms.latency_overrun += costs.noc_cost_terms.latency_overrun * error_tolerance * 2; + } + + if (costs.noc_cost_terms.congestion == 0) { + costs.noc_cost_terms.congestion += MIN_EXPECTED_NOC_CONGESTION_COST * error_tolerance * 2; } else { - costs.noc_congestion_cost += costs.noc_congestion_cost * error_tolerance * 2; + costs.noc_cost_terms.congestion += costs.noc_cost_terms.congestion * error_tolerance * 2; } // run the test function int error = check_noc_placement_costs(costs, error_tolerance, noc_opts); - // we expect error to be 3 here, meaning the found costs are not within the tolerance range - REQUIRE(error == 3); + // we expect error to be 4 here, meaning the found costs are not within the tolerance range + REQUIRE(error == 4); } } } // namespace From b5a4c81612c889fdc8a1e70bfe4ad82a0c95704a Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 1 Feb 2024 18:54:31 -0500 Subject: [PATCH 198/608] NoC cost weighting factors add up to 1 --- vpr/src/base/vpr_types.h | 1 + vpr/src/place/noc_place_utils.cpp | 15 +++++++++++++-- vpr/src/place/noc_place_utils.h | 2 ++ vpr/src/place/place.cpp | 4 ++++ 4 files changed, 20 insertions(+), 2 deletions(-) diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index b57caa24999..a3802a89870 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -1496,6 +1496,7 @@ struct t_noc_opts { std::string noc_flows_file; ///= 0.0); + + noc_opts.noc_aggregate_bandwidth_weighting = 1.0 - weighting_factor_sum; +} + double calculate_noc_cost(const NocCostTerms& cost_terms, const NocCostTerms& norm_factors, const t_noc_opts& noc_opts) { @@ -597,8 +608,8 @@ double calculate_noc_cost(const NocCostTerms& cost_terms, * 3) Link congestion costs */ cost = noc_opts.noc_placement_weighting * ( - cost_terms.aggregate_bandwidth * norm_factors.aggregate_bandwidth + - cost_terms.latency * norm_factors.latency * noc_opts.noc_latency_constraints_weighting + + cost_terms.aggregate_bandwidth * norm_factors.aggregate_bandwidth * noc_opts.noc_aggregate_bandwidth_weighting + + cost_terms.latency * norm_factors.latency * noc_opts.noc_latency_weighting + cost_terms.latency_overrun * norm_factors.latency_overrun * noc_opts.noc_latency_constraints_weighting + cost_terms.congestion * norm_factors.congestion * noc_opts.noc_congestion_weighting); diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index 58f041795dd..db041b59cb0 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -395,6 +395,8 @@ std::pair calculate_traffic_flow_latency_cost(const std::vector< */ double calculate_link_congestion_cost(const NocLink& link); +void normalize_noc_cost_weighting_factor(t_noc_opts& noc_opts); + /** * @brief Computes a weighted average of NoC cost term to determine * NoC's contribution to the total placement cost. diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 8eed5b14518..b2e45fb3de0 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -656,6 +656,10 @@ void try_place(const Netlist<>& net_list, vtr::ScopedStartFinishTimer timer("Placement"); + if (noc_opts.noc) { + normalize_noc_cost_weighting_factor(const_cast(noc_opts)); + } + initial_placement(placer_opts, placer_opts.constraints_file.c_str(), noc_opts); From 4b9d80486f2ef4492232148df4e2f77d8694086c Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 1 Feb 2024 18:57:46 -0500 Subject: [PATCH 199/608] Update normalization factors during NoC initial placement --- vpr/src/place/initial_noc_placement.cpp | 75 ++++++++++++++++++++++++- 1 file changed, 74 insertions(+), 1 deletion(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 27424e67d6a..f4e4d53d1d4 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -3,6 +3,7 @@ #include "initial_placement.h" #include "noc_place_utils.h" #include "noc_place_checkpoint.h" +#include "vtr_math.h" /** * @brief Evaluates whether a NoC router swap should be accepted or not. @@ -32,7 +33,8 @@ static void place_constrained_noc_router(ClusterBlockId router_blk_id); * NoC routers. * @param seed Used for shuffling NoC routers. */ -static void place_noc_routers_randomly(std::vector& unfixed_routers, int seed); +static void place_noc_routers_randomly(std::vector& unfixed_routers, + int seed); /** * @brief Runs a simulated annealing optimizer for NoC routers. @@ -41,6 +43,16 @@ static void place_noc_routers_randomly(std::vector& unfixed_rout */ static void noc_routers_anneal(const t_noc_opts& noc_opts); +/** + * @brief Check whether normalization factors need to be updated. + * + * @param costs Most recent NoC cost terms. + * @param old_costs NoC cost terms from the last time normalization + * factors were updated. + */ +static bool is_renormalization_needed(const t_placer_costs& costs, + const t_placer_costs& old_costs); + static bool accept_noc_swap(double delta_cost, double prob) { if (delta_cost <= 0.0) { return true; @@ -58,6 +70,46 @@ static bool accept_noc_swap(double delta_cost, double prob) { } } +static bool is_renormalization_needed(const t_placer_costs& costs, + const t_placer_costs& old_costs) { + constexpr double COST_DIFF_TOLERANCE = 0.1; + bool renormalization_needed = false; + + // aggregate bandwidth has changed significantly + renormalization_needed |= !vtr::isclose(costs.noc_cost_terms.aggregate_bandwidth, + old_costs.noc_cost_terms.aggregate_bandwidth, + COST_DIFF_TOLERANCE, + 0.); + + // latency cost has changed significantly + renormalization_needed |= !vtr::isclose(costs.noc_cost_terms.latency, + old_costs.noc_cost_terms.latency, + COST_DIFF_TOLERANCE, + 0.); + + // if both old and new latency overrun costs are too small, ignore their difference + // Too small latency overrun costs are the result of round-off error + if (costs.noc_cost_terms.latency_overrun > MIN_EXPECTED_NOC_LATENCY_COST || + old_costs.noc_cost_terms.latency_overrun > MIN_EXPECTED_NOC_LATENCY_COST) { + renormalization_needed |= !vtr::isclose(costs.noc_cost_terms.latency_overrun, + old_costs.noc_cost_terms.latency_overrun, + COST_DIFF_TOLERANCE, + 0.); + } + + // if both old and new congestion costs are too small, ignore their difference + // Too small congestion costs are the result of round-off error + if (costs.noc_cost_terms.congestion > MIN_EXPECTED_NOC_CONGESTION_COST || + old_costs.noc_cost_terms.congestion > MIN_EXPECTED_NOC_CONGESTION_COST) { + renormalization_needed |= !vtr::isclose(costs.noc_cost_terms.congestion, + old_costs.noc_cost_terms.congestion, + COST_DIFF_TOLERANCE, + 0.); + } + + return renormalization_needed; +} + static void place_constrained_noc_router(ClusterBlockId router_blk_id) { auto& cluster_ctx = g_vpr_ctx.clustering(); const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); @@ -156,6 +208,8 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { // Only NoC related costs are considered t_placer_costs costs; + // NoC costs from the last time normalization factors were updated + t_placer_costs old_costs; // Initialize NoC-related costs costs.noc_cost_terms.aggregate_bandwidth = comp_noc_aggregate_bandwidth_cost(); @@ -163,6 +217,8 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { costs.noc_cost_terms.congestion = comp_noc_congestion_cost(); update_noc_normalization_factors(costs); costs.cost = calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts); + old_costs = costs; + // Maximum distance in each direction that a router can travel in a move // It is assumed that NoC routers are organized in a square grid. @@ -182,6 +238,9 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { const int N_MOVES_PER_ROUTER = 35000; const int N_MOVES = num_router_clusters * N_MOVES_PER_ROUTER; + const int RENORMALIZATION_LIM = 1024; + int renormalization_cnt = 0; + const double starting_prob = 0.5; const double prob_step = starting_prob / N_MOVES; @@ -201,6 +260,9 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { * Range limit and the probability of accepting swaps with positive delta cost * decrease linearly as more swaps are evaluated. Late in the annealing, * NoC routers are swapped only with their neighbors as the range limit approaches 1. + * + * After each RENORMALIZATION_LIM accepted moves, if NoC cost terms have changed + * significantly, I update the normalization factors and re-compute the total cost. */ // Generate and evaluate router moves @@ -230,6 +292,17 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { if (costs.cost < checkpoint.get_cost() || !checkpoint.is_valid()) { checkpoint.save_checkpoint(costs.cost); } + + renormalization_cnt++; + if (renormalization_cnt == RENORMALIZATION_LIM) { + renormalization_cnt = 0; + if (is_renormalization_needed(costs, old_costs)) { + update_noc_normalization_factors(costs); + costs.cost = calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts); + old_costs = costs; + } + } + } else { // The proposed move is rejected revert_move_blocks(blocks_affected); revert_noc_traffic_flow_routes(blocks_affected); From 37426cb82ac3fa127bf6f5b15361354d059c4521 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 1 Feb 2024 20:36:48 -0500 Subject: [PATCH 200/608] parse new noc metrics --- vpr/src/place/place.cpp | 53 +++++++++++++++---------- vtr_flow/parse/parse_config/vpr_noc.txt | 10 +++-- 2 files changed, 39 insertions(+), 24 deletions(-) diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index b2e45fb3de0..93287555b5f 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -828,17 +828,19 @@ void try_place(const Netlist<>& net_list, costs.bb_cost, costs.timing_cost); if (noc_opts.noc) { VTR_LOG("NoC Placement Costs. " - "noc cost: %g, " - "noc_aggregate_bandwidth_cost: %g, " - "noc_latency_cost: %g, " - "noc_latency_constraints_cost: %d, " - "noc_congestion_cost: %g, " + "cost: %g, " + "aggregate_bandwidth_cost: %g, " + "latency_cost: %g, " + "n_met_latency_constraints: %d, " + "latency_overrun_cost: %g, " + "congestion_cost: %g, " "accum_congested_ratio: %g, " "n_congested_links: %d \n", calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts), costs.noc_cost_terms.aggregate_bandwidth, costs.noc_cost_terms.latency, get_number_of_traffic_flows_with_latency_cons_met(), + costs.noc_cost_terms.latency_overrun, costs.noc_cost_terms.congestion, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); @@ -876,20 +878,25 @@ void try_place(const Netlist<>& net_list, if (noc_opts.noc) { sprintf(msg, "\nInitial NoC Placement Costs. " - "noc cost: %g, " - "noc_aggregate_bandwidth_cost: %g " - "noc_latency_cost: %g " - "noc_latency_constraints_cost: %d " - "noc_congestion_cost: %g " + "cost: %g, " + "aggregate_bandwidth_cost: %g, " + "latency_cost: %g, " + "n_met_latency_constraints: %d, " + "latency_overrun_cost: %g, " + "congestion_cost: %g, " "accum_congested_ratio: %g, " - "n_congested_links: %d", + "n_congested_links: %d \n", calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts), costs.noc_cost_terms.aggregate_bandwidth, costs.noc_cost_terms.latency, get_number_of_traffic_flows_with_latency_cons_met(), + costs.noc_cost_terms.latency_overrun, costs.noc_cost_terms.congestion, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); + + + } //Draw the initial placement update_screen(ScreenUpdatePriority::MAJOR, msg, PLACEMENT, timing_info); @@ -1201,33 +1208,37 @@ void try_place(const Netlist<>& net_list, if (noc_opts.noc) { sprintf(msg, "\nNoC Placement Costs. " - "noc cost: %g, " - "noc_aggregate_bandwidth_cost: %g, " - "noc_latency_cost: %g, " - "noc_latency_constraints_cost: %d, " - "noc_congestion_cost: %g, " + "cost: %g, " + "aggregate_bandwidth_cost: %g, " + "latency_cost: %g, " + "n_met_latency_constraints: %d, " + "latency_overrun_cost: %g, " + "congestion_cost: %g, " "accum_congested_ratio: %g, " "n_congested_links: %d \n", calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts), costs.noc_cost_terms.aggregate_bandwidth, costs.noc_cost_terms.latency, get_number_of_traffic_flows_with_latency_cons_met(), + costs.noc_cost_terms.latency_overrun, costs.noc_cost_terms.congestion, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); VTR_LOG("\nNoC Placement Costs. " - "noc cost: %g, " - "noc_aggregate_bandwidth_cost: %g, " - "noc_latency_cost: %g, " - "noc_latency_constraints_cost: %d, " - "noc_congestion_cost: %g, " + "cost: %g, " + "aggregate_bandwidth_cost: %g, " + "latency_cost: %g, " + "n_met_latency_constraints: %d, " + "latency_overrun_cost: %g, " + "congestion_cost: %g, " "accum_congested_ratio: %g, " "n_congested_links: %d \n", calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts), costs.noc_cost_terms.aggregate_bandwidth, costs.noc_cost_terms.latency, get_number_of_traffic_flows_with_latency_cons_met(), + costs.noc_cost_terms.latency_overrun, costs.noc_cost_terms.congestion, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); diff --git a/vtr_flow/parse/parse_config/vpr_noc.txt b/vtr_flow/parse/parse_config/vpr_noc.txt index f9a9a4440ac..51b7c194712 100644 --- a/vtr_flow/parse/parse_config/vpr_noc.txt +++ b/vtr_flow/parse/parse_config/vpr_noc.txt @@ -11,6 +11,10 @@ %include "timing/vpr.route_min_chan_width.txt" %include "timing/vpr.route_relaxed_chan_width.txt" -NoC_agg_bandwidth;vpr.out;NoC Placement Costs. noc_aggregate_bandwidth_cost: (.*), noc_latency_cost: .*, noc_latency_constraints_cost: .*, -NoC_latency;vpr.out;NoC Placement Costs. noc_aggregate_bandwidth_cost: .*, noc_latency_cost: (.*), noc_latency_constraints_cost: .*, -NoC_latency_constraints_cost;vpr.out;NoC Placement Costs. noc_aggregate_bandwidth_cost: .*, noc_latency_cost: .*, noc_latency_constraints_cost: (.*), \ No newline at end of file +NoC_agg_bandwidth;vpr.out;NoC Placement Costs. cost: .*, aggregate_bandwidth_cost: (.*), latency_cost: .*, n_met_latency_constraints: .*, latency_overrun_cost: .*, congestion_cost: .*, accum_congested_ratio: .*, n_congested_links: .* +NoC_latency;vpr.out;NoC Placement Costs. cost: .*, aggregate_bandwidth_cost: .*, latency_cost: (.*), n_met_latency_constraints: .*, latency_overrun_cost: .*, congestion_cost: .*, accum_congested_ratio: .*, n_congested_links: .* +NoC_n_met_latency_constraints;vpr.out;NoC Placement Costs. cost: .*, aggregate_bandwidth_cost: .*, latency_cost: .*, n_met_latency_constraints: (.*), latency_overrun_cost: .*, congestion_cost: .*, accum_congested_ratio: .*, n_congested_links: .* +NoC_latency_overrun;vpr.out;NoC Placement Costs. cost: .*, aggregate_bandwidth_cost: .*, latency_cost: .*, n_met_latency_constraints: .*, latency_overrun_cost: (.*), congestion_cost: .*, accum_congested_ratio: .*, n_congested_links: .* +NoC_congested_bw;vpr.out;NoC Placement Costs. cost: .*, aggregate_bandwidth_cost: .*, latency_cost: .*, n_met_latency_constraints: .*, latency_overrun_cost: .*, congestion_cost: (.*), accum_congested_ratio: .*, n_congested_links: .* +NoC_congestion_ratio;vpr.out;NoC Placement Costs. cost: .*, aggregate_bandwidth_cost: .*, latency_cost: .*, n_met_latency_constraints: .*, latency_overrun_cost: .*, congestion_cost: .*, accum_congested_ratio: (.*), n_congested_links: .* +NoC_n_congested_links;vpr.out;NoC Placement Costs. cost: .*, aggregate_bandwidth_cost: .*, latency_cost: .*, n_met_latency_constraints: .*, latency_overrun_cost: .*, congestion_cost: .*, accum_congested_ratio: .*, n_congested_links: (.*) From 2d3e642f42738987c219b5b14f3f23fdcd435b5a Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 2 Feb 2024 15:34:13 -0500 Subject: [PATCH 201/608] Add include_temp to vtr task syntax When I pass rr graph and router lookahead files to VPR, it throws an error. capnproto uses mmap to open these files. It seems that multiple processes can access a single file using mmap. However, I cannot trust capnproto. The changes in this commit enhace the vtr task syntax by allowing copying arbitrary files to the temporay directory. This way, I can copy rr graph file and prevent multiple processes accessing the same file. --- vtr_flow/scripts/python_libs/vtr/flow.py | 24 ++++++++++++- vtr_flow/scripts/python_libs/vtr/task.py | 45 ++++++++++++++++++++++-- vtr_flow/scripts/run_vtr_flow.py | 9 +++++ 3 files changed, 75 insertions(+), 3 deletions(-) diff --git a/vtr_flow/scripts/python_libs/vtr/flow.py b/vtr_flow/scripts/python_libs/vtr/flow.py index a1f14e2816e..d7ab0498fdc 100644 --- a/vtr_flow/scripts/python_libs/vtr/flow.py +++ b/vtr_flow/scripts/python_libs/vtr/flow.py @@ -1,6 +1,7 @@ """ Module to run the VTR flow. This module calls other modules that then access the tools like VPR. """ +import os import shutil from pathlib import Path from collections import OrderedDict @@ -36,6 +37,7 @@ def run( circuit_file, power_tech_file=None, include_files=None, + include_temp_files=None, start_stage=VtrStage.PARMYS, end_stage=VtrStage.VPR, command_runner=vtr.CommandRunner(), @@ -176,7 +178,7 @@ def run( shutil.copy(str(circuit_file), str(circuit_copy)) shutil.copy(str(architecture_file), str(architecture_copy)) - # Check whether any inclulde is specified + # Check whether any include is specified if include_files: # Verify include files are Paths or convert them to Path + check that they exist # Copy include files to the run directory @@ -185,6 +187,17 @@ def run( include_copy = temp_dir / include_file.name shutil.copy(str(include), str(include_copy)) + + # Check whether any include is specified + if include_temp_files: + # Verify include files are Paths or convert them to Path + check that they exist + # Copy temp include files to the run directory + for include_temp in include_temp_files: + include_temp_file = vtr.util.verify_file(include_temp, "Temporary Include") + include_temp_copy = temp_dir / include_temp_file.name + shutil.copy(str(include_temp), str(include_temp_copy)) + + # There are multiple potential paths for the netlist to reach a tool # We initialize it here to the user specified circuit and let downstream # stages update it @@ -384,6 +397,15 @@ def run( power_tech_file, ) + # Check whether any temporary include is specified + if include_temp_files: + # Verify temp include files are Paths or convert them to Path + check that they exist + # Then find + for include_temp in include_temp_files: + include_temp_file = vtr.util.verify_file(include_temp, "Temporary Include") + include_temp_copy = temp_dir / include_temp_file.name + os.remove(str(include_temp_copy)) + # pylint: enable=too-many-arguments, too-many-locals, too-many-branches, too-many-statements diff --git a/vtr_flow/scripts/python_libs/vtr/task.py b/vtr_flow/scripts/python_libs/vtr/task.py index 8959d836ea9..ae06a27e5ad 100644 --- a/vtr_flow/scripts/python_libs/vtr/task.py +++ b/vtr_flow/scripts/python_libs/vtr/task.py @@ -39,6 +39,8 @@ def __init__( parse_file, includes_dir=None, include_list_add=None, + include_temp_dir=None, + include_temp_list_add=None, second_parse_file=None, script_path=None, script_params=None, @@ -64,6 +66,8 @@ def __init__( self.archs = arch_list_add self.include_dir = includes_dir self.includes = include_list_add + self.include_temp_dir = include_temp_dir + self.include_temps = include_temp_list_add self.parse_file = parse_file self.second_parse_file = second_parse_file self.script_path = script_path @@ -97,6 +101,7 @@ def __init__( arch, circuit, include, + include_temp, script_params, work_dir, run_command, @@ -108,6 +113,7 @@ def __init__( self._arch = arch self._circuit = circuit self._include = include + self._include_temp = include_temp self._script_params = script_params self._run_command = run_command self._parse_command = parse_command @@ -139,6 +145,12 @@ def include(self): """ return self._include + def include_temp(self): + """ + return the list of temporary include files of the job. + """ + return self._include_temp + def script_params(self): """ return the script parameter of the job @@ -197,6 +209,7 @@ def load_task_config(config_file) -> TaskConfig: [ "circuits_dir", "includes_dir", + "include_temp_dir", "archs_dir", "additional_files", "parse_file", @@ -264,6 +277,7 @@ def load_task_config(config_file) -> TaskConfig: check_required_fields(config_file, required_keys, key_values) check_include_fields(config_file, key_values) + check_include_temp_fields(config_file, key_values) # Useful meta-data about the config config_dir = str(Path(config_file).parent) @@ -300,6 +314,18 @@ def check_include_fields(config_file, key_values): ) ) +def check_include_temp_fields(config_file, key_values): + """ + Check that include_temp_dir was specified if some files to temporarily include + in the designs (include_temp_list_add) was specified. + """ + if "include_temp_list_add" in key_values: + if "include_temp_dir" not in key_values: + raise VtrError( + "Missing required key '{key}' in config file {file}".format( + key="include_temp_dir", file=config_file + ) + ) def shorten_task_names(configs, common_task_prefix): """ @@ -377,6 +403,17 @@ def create_cmd( cmd += includes + # Resolve and collect all include_temp paths in the config file + # as -include_temp ["include_temp1", "include_temp2", ..] + include_temps = [] + if config.include_temps: + cmd += ["-include_temp"] + for include_temp in config.include_temps: + abs_include_filepath = resolve_vtr_source_file(config, include_temp, config.include_temp_dir) + include_temps.append(abs_include_filepath) + + cmd += include_temps + # Check if additional architectural data files are present if config.additional_files_list_add: for additional_file in config.additional_files_list_add: @@ -466,7 +503,7 @@ def create_cmd( resolve_vtr_source_file(config, noc_traffic, config.noc_traffic_dir), ] - return includes, parse_cmd, second_parse_cmd, qor_parse_command, cmd + return includes, include_temps, parse_cmd, second_parse_cmd, qor_parse_command, cmd # pylint: disable=too-many-branches @@ -509,7 +546,7 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: ) ) - includes, parse_cmd, second_parse_cmd, qor_parse_command, cmd = create_cmd( + includes, include_temps, parse_cmd, second_parse_cmd, qor_parse_command, cmd = create_cmd( abs_circuit_filepath, abs_arch_filepath, config, args, circuit, noc_traffic ) @@ -521,6 +558,7 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: config, circuit, includes, + include_temps, arch, noc_traffic, value, @@ -540,6 +578,7 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: config, circuit, includes, + include_temps, arch, noc_traffic, None, @@ -561,6 +600,7 @@ def create_job( config, circuit, include, + include_temp, arch, noc_flow, param, @@ -658,6 +698,7 @@ def create_job( arch, circuit, include, + include_temp, param_string, work_dir + "/" + param_string, current_cmd, diff --git a/vtr_flow/scripts/run_vtr_flow.py b/vtr_flow/scripts/run_vtr_flow.py index 3d584274e3f..00973a7e62e 100755 --- a/vtr_flow/scripts/run_vtr_flow.py +++ b/vtr_flow/scripts/run_vtr_flow.py @@ -155,6 +155,14 @@ def vtr_command_argparser(prog=None): help="List of include files to a benchmark circuit (pass to VTR" + " frontends as a benchmark design set)", ) + parser.add_argument( + "-include_temp", + nargs="*", + default=None, + dest="include_temp_list_file", + help="List of include files to be copied to the working directory" + + " and be removed when the task is done)", + ) # # Power arguments @@ -561,6 +569,7 @@ def vtr_command_main(arg_list, prog=None): Path(args.circuit_file), power_tech_file=args.power_tech, include_files=args.include_list_file, + include_temp_files=args.include_temp_list_file, temp_dir=temp_dir, start_stage=args.start, end_stage=args.end, From a440aa502b808a8a099d9204c5cbe484b93a1caa Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 2 Feb 2024 15:36:03 -0500 Subject: [PATCH 202/608] update PWD environment variable before spawning a subprocess The previous commits did not work. It seems that capnproto uses PWD environment variable instead of calling getcwd(). popen method changes the working directory, but does not update PWD. I update it manually. --- vtr_flow/scripts/python_libs/vtr/util.py | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/vtr_flow/scripts/python_libs/vtr/util.py b/vtr_flow/scripts/python_libs/vtr/util.py index 14d7e519ede..a1b8c598405 100644 --- a/vtr_flow/scripts/python_libs/vtr/util.py +++ b/vtr_flow/scripts/python_libs/vtr/util.py @@ -8,6 +8,7 @@ import subprocess import argparse import csv +import os from collections import OrderedDict from pathlib import PurePath @@ -145,12 +146,15 @@ def run_system_command( try: # Call the command stderr = None if self._valgrind else subprocess.STDOUT + modified_environ = os.environ.copy() + modified_environ['PWD'] = str(temp_dir) proc = subprocess.Popen( cmd, stdout=subprocess.PIPE, # We grab stdout stderr=stderr, # stderr redirected to stderr universal_newlines=True, # Lines always end in \n cwd=str(temp_dir), # Where to run the command + env=modified_environ ) # Read the output line-by-line and log it From a0de5f17add5f56eb5d98f52c182992844fe3d5d Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 2 Feb 2024 17:05:11 -0500 Subject: [PATCH 203/608] Revert "Add include_temp to vtr task syntax" This reverts commit 2d3e642f42738987c219b5b14f3f23fdcd435b5a. --- vtr_flow/scripts/python_libs/vtr/flow.py | 24 +------------ vtr_flow/scripts/python_libs/vtr/task.py | 45 ++---------------------- vtr_flow/scripts/run_vtr_flow.py | 9 ----- 3 files changed, 3 insertions(+), 75 deletions(-) diff --git a/vtr_flow/scripts/python_libs/vtr/flow.py b/vtr_flow/scripts/python_libs/vtr/flow.py index d7ab0498fdc..a1f14e2816e 100644 --- a/vtr_flow/scripts/python_libs/vtr/flow.py +++ b/vtr_flow/scripts/python_libs/vtr/flow.py @@ -1,7 +1,6 @@ """ Module to run the VTR flow. This module calls other modules that then access the tools like VPR. """ -import os import shutil from pathlib import Path from collections import OrderedDict @@ -37,7 +36,6 @@ def run( circuit_file, power_tech_file=None, include_files=None, - include_temp_files=None, start_stage=VtrStage.PARMYS, end_stage=VtrStage.VPR, command_runner=vtr.CommandRunner(), @@ -178,7 +176,7 @@ def run( shutil.copy(str(circuit_file), str(circuit_copy)) shutil.copy(str(architecture_file), str(architecture_copy)) - # Check whether any include is specified + # Check whether any inclulde is specified if include_files: # Verify include files are Paths or convert them to Path + check that they exist # Copy include files to the run directory @@ -187,17 +185,6 @@ def run( include_copy = temp_dir / include_file.name shutil.copy(str(include), str(include_copy)) - - # Check whether any include is specified - if include_temp_files: - # Verify include files are Paths or convert them to Path + check that they exist - # Copy temp include files to the run directory - for include_temp in include_temp_files: - include_temp_file = vtr.util.verify_file(include_temp, "Temporary Include") - include_temp_copy = temp_dir / include_temp_file.name - shutil.copy(str(include_temp), str(include_temp_copy)) - - # There are multiple potential paths for the netlist to reach a tool # We initialize it here to the user specified circuit and let downstream # stages update it @@ -397,15 +384,6 @@ def run( power_tech_file, ) - # Check whether any temporary include is specified - if include_temp_files: - # Verify temp include files are Paths or convert them to Path + check that they exist - # Then find - for include_temp in include_temp_files: - include_temp_file = vtr.util.verify_file(include_temp, "Temporary Include") - include_temp_copy = temp_dir / include_temp_file.name - os.remove(str(include_temp_copy)) - # pylint: enable=too-many-arguments, too-many-locals, too-many-branches, too-many-statements diff --git a/vtr_flow/scripts/python_libs/vtr/task.py b/vtr_flow/scripts/python_libs/vtr/task.py index ae06a27e5ad..8959d836ea9 100644 --- a/vtr_flow/scripts/python_libs/vtr/task.py +++ b/vtr_flow/scripts/python_libs/vtr/task.py @@ -39,8 +39,6 @@ def __init__( parse_file, includes_dir=None, include_list_add=None, - include_temp_dir=None, - include_temp_list_add=None, second_parse_file=None, script_path=None, script_params=None, @@ -66,8 +64,6 @@ def __init__( self.archs = arch_list_add self.include_dir = includes_dir self.includes = include_list_add - self.include_temp_dir = include_temp_dir - self.include_temps = include_temp_list_add self.parse_file = parse_file self.second_parse_file = second_parse_file self.script_path = script_path @@ -101,7 +97,6 @@ def __init__( arch, circuit, include, - include_temp, script_params, work_dir, run_command, @@ -113,7 +108,6 @@ def __init__( self._arch = arch self._circuit = circuit self._include = include - self._include_temp = include_temp self._script_params = script_params self._run_command = run_command self._parse_command = parse_command @@ -145,12 +139,6 @@ def include(self): """ return self._include - def include_temp(self): - """ - return the list of temporary include files of the job. - """ - return self._include_temp - def script_params(self): """ return the script parameter of the job @@ -209,7 +197,6 @@ def load_task_config(config_file) -> TaskConfig: [ "circuits_dir", "includes_dir", - "include_temp_dir", "archs_dir", "additional_files", "parse_file", @@ -277,7 +264,6 @@ def load_task_config(config_file) -> TaskConfig: check_required_fields(config_file, required_keys, key_values) check_include_fields(config_file, key_values) - check_include_temp_fields(config_file, key_values) # Useful meta-data about the config config_dir = str(Path(config_file).parent) @@ -314,18 +300,6 @@ def check_include_fields(config_file, key_values): ) ) -def check_include_temp_fields(config_file, key_values): - """ - Check that include_temp_dir was specified if some files to temporarily include - in the designs (include_temp_list_add) was specified. - """ - if "include_temp_list_add" in key_values: - if "include_temp_dir" not in key_values: - raise VtrError( - "Missing required key '{key}' in config file {file}".format( - key="include_temp_dir", file=config_file - ) - ) def shorten_task_names(configs, common_task_prefix): """ @@ -403,17 +377,6 @@ def create_cmd( cmd += includes - # Resolve and collect all include_temp paths in the config file - # as -include_temp ["include_temp1", "include_temp2", ..] - include_temps = [] - if config.include_temps: - cmd += ["-include_temp"] - for include_temp in config.include_temps: - abs_include_filepath = resolve_vtr_source_file(config, include_temp, config.include_temp_dir) - include_temps.append(abs_include_filepath) - - cmd += include_temps - # Check if additional architectural data files are present if config.additional_files_list_add: for additional_file in config.additional_files_list_add: @@ -503,7 +466,7 @@ def create_cmd( resolve_vtr_source_file(config, noc_traffic, config.noc_traffic_dir), ] - return includes, include_temps, parse_cmd, second_parse_cmd, qor_parse_command, cmd + return includes, parse_cmd, second_parse_cmd, qor_parse_command, cmd # pylint: disable=too-many-branches @@ -546,7 +509,7 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: ) ) - includes, include_temps, parse_cmd, second_parse_cmd, qor_parse_command, cmd = create_cmd( + includes, parse_cmd, second_parse_cmd, qor_parse_command, cmd = create_cmd( abs_circuit_filepath, abs_arch_filepath, config, args, circuit, noc_traffic ) @@ -558,7 +521,6 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: config, circuit, includes, - include_temps, arch, noc_traffic, value, @@ -578,7 +540,6 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: config, circuit, includes, - include_temps, arch, noc_traffic, None, @@ -600,7 +561,6 @@ def create_job( config, circuit, include, - include_temp, arch, noc_flow, param, @@ -698,7 +658,6 @@ def create_job( arch, circuit, include, - include_temp, param_string, work_dir + "/" + param_string, current_cmd, diff --git a/vtr_flow/scripts/run_vtr_flow.py b/vtr_flow/scripts/run_vtr_flow.py index 00973a7e62e..3d584274e3f 100755 --- a/vtr_flow/scripts/run_vtr_flow.py +++ b/vtr_flow/scripts/run_vtr_flow.py @@ -155,14 +155,6 @@ def vtr_command_argparser(prog=None): help="List of include files to a benchmark circuit (pass to VTR" + " frontends as a benchmark design set)", ) - parser.add_argument( - "-include_temp", - nargs="*", - default=None, - dest="include_temp_list_file", - help="List of include files to be copied to the working directory" - + " and be removed when the task is done)", - ) # # Power arguments @@ -569,7 +561,6 @@ def vtr_command_main(arg_list, prog=None): Path(args.circuit_file), power_tech_file=args.power_tech, include_files=args.include_list_file, - include_temp_files=args.include_temp_list_file, temp_dir=temp_dir, start_stage=args.start, end_stage=args.end, From c33a6a8d14398ea6d3efdd2c9d1b871b3ca53919 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 6 Feb 2024 19:24:58 -0500 Subject: [PATCH 204/608] revert renormalization during init noc placement --- vpr/src/place/initial_noc_placement.cpp | 31 ------------------------- 1 file changed, 31 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index f4e4d53d1d4..75e6e6cb49e 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -43,16 +43,6 @@ static void place_noc_routers_randomly(std::vector& unfixed_rout */ static void noc_routers_anneal(const t_noc_opts& noc_opts); -/** - * @brief Check whether normalization factors need to be updated. - * - * @param costs Most recent NoC cost terms. - * @param old_costs NoC cost terms from the last time normalization - * factors were updated. - */ -static bool is_renormalization_needed(const t_placer_costs& costs, - const t_placer_costs& old_costs); - static bool accept_noc_swap(double delta_cost, double prob) { if (delta_cost <= 0.0) { return true; @@ -208,8 +198,6 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { // Only NoC related costs are considered t_placer_costs costs; - // NoC costs from the last time normalization factors were updated - t_placer_costs old_costs; // Initialize NoC-related costs costs.noc_cost_terms.aggregate_bandwidth = comp_noc_aggregate_bandwidth_cost(); @@ -217,8 +205,6 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { costs.noc_cost_terms.congestion = comp_noc_congestion_cost(); update_noc_normalization_factors(costs); costs.cost = calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts); - old_costs = costs; - // Maximum distance in each direction that a router can travel in a move // It is assumed that NoC routers are organized in a square grid. @@ -238,9 +224,6 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { const int N_MOVES_PER_ROUTER = 35000; const int N_MOVES = num_router_clusters * N_MOVES_PER_ROUTER; - const int RENORMALIZATION_LIM = 1024; - int renormalization_cnt = 0; - const double starting_prob = 0.5; const double prob_step = starting_prob / N_MOVES; @@ -260,9 +243,6 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { * Range limit and the probability of accepting swaps with positive delta cost * decrease linearly as more swaps are evaluated. Late in the annealing, * NoC routers are swapped only with their neighbors as the range limit approaches 1. - * - * After each RENORMALIZATION_LIM accepted moves, if NoC cost terms have changed - * significantly, I update the normalization factors and re-compute the total cost. */ // Generate and evaluate router moves @@ -292,17 +272,6 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { if (costs.cost < checkpoint.get_cost() || !checkpoint.is_valid()) { checkpoint.save_checkpoint(costs.cost); } - - renormalization_cnt++; - if (renormalization_cnt == RENORMALIZATION_LIM) { - renormalization_cnt = 0; - if (is_renormalization_needed(costs, old_costs)) { - update_noc_normalization_factors(costs); - costs.cost = calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts); - old_costs = costs; - } - } - } else { // The proposed move is rejected revert_move_blocks(blocks_affected); revert_noc_traffic_flow_routes(blocks_affected); From 2f078fea8fecc9c3f359950a87554cb3ca7fc6fe Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 10:54:02 -0500 Subject: [PATCH 205/608] remove ununsed functions --- vpr/src/place/initial_noc_placement.cpp | 40 ------------------------- 1 file changed, 40 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 75e6e6cb49e..f3298062886 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -60,46 +60,6 @@ static bool accept_noc_swap(double delta_cost, double prob) { } } -static bool is_renormalization_needed(const t_placer_costs& costs, - const t_placer_costs& old_costs) { - constexpr double COST_DIFF_TOLERANCE = 0.1; - bool renormalization_needed = false; - - // aggregate bandwidth has changed significantly - renormalization_needed |= !vtr::isclose(costs.noc_cost_terms.aggregate_bandwidth, - old_costs.noc_cost_terms.aggregate_bandwidth, - COST_DIFF_TOLERANCE, - 0.); - - // latency cost has changed significantly - renormalization_needed |= !vtr::isclose(costs.noc_cost_terms.latency, - old_costs.noc_cost_terms.latency, - COST_DIFF_TOLERANCE, - 0.); - - // if both old and new latency overrun costs are too small, ignore their difference - // Too small latency overrun costs are the result of round-off error - if (costs.noc_cost_terms.latency_overrun > MIN_EXPECTED_NOC_LATENCY_COST || - old_costs.noc_cost_terms.latency_overrun > MIN_EXPECTED_NOC_LATENCY_COST) { - renormalization_needed |= !vtr::isclose(costs.noc_cost_terms.latency_overrun, - old_costs.noc_cost_terms.latency_overrun, - COST_DIFF_TOLERANCE, - 0.); - } - - // if both old and new congestion costs are too small, ignore their difference - // Too small congestion costs are the result of round-off error - if (costs.noc_cost_terms.congestion > MIN_EXPECTED_NOC_CONGESTION_COST || - old_costs.noc_cost_terms.congestion > MIN_EXPECTED_NOC_CONGESTION_COST) { - renormalization_needed |= !vtr::isclose(costs.noc_cost_terms.congestion, - old_costs.noc_cost_terms.congestion, - COST_DIFF_TOLERANCE, - 0.); - } - - return renormalization_needed; -} - static void place_constrained_noc_router(ClusterBlockId router_blk_id) { auto& cluster_ctx = g_vpr_ctx.clustering(); const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); From 15743b4bc1a68109fd15c8aa256caf2af44e9a7e Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 10:56:59 -0500 Subject: [PATCH 206/608] give write permission to labeler for PRs from forked repos --- .github/workflows/labeler.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.github/workflows/labeler.yml b/.github/workflows/labeler.yml index e936f835602..77c1faabeb3 100644 --- a/.github/workflows/labeler.yml +++ b/.github/workflows/labeler.yml @@ -1,6 +1,6 @@ name: "Pull Request Labeler" on: -- pull_request +- pull_request_target jobs: triage: From bc3557ca9c4806b711e94bb2fb662cb845689b13 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 11:18:33 -0500 Subject: [PATCH 207/608] updated default NoC placement weighting factors --- vpr/src/base/read_options.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 24aacf354ec..fe389a66a31 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2802,21 +2802,21 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg .help( "Controls the importance of the NoC placement parameters relative to timing and wirelength of the design." "This value can be >=0, where 0 would mean the placement is based solely on timing and wirelength, a value of 1 would mean noc placement is considered equal to timing and wirelength and a value greater than 1 would mean the placement is increasingly dominated by NoC parameters.") - .default_value("0.6") + .default_value("5.0") .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_latency_constraints_weighting, "--noc_latency_constraints_weighting") .help( "Controls the importance of meeting all the NoC traffic flow latency constraints." "This value can be >=0, where 0 would mean the latency constraints have no relevance to placement, a value of 1 would mean the latency constraints are weighted equally to the sum of other placement cost components and a value greater than 1 would mean the placement is increasingly dominated by meeting the latency constraints of the traffic flows.") - .default_value("1") + .default_value("0.6") .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_latency_weighting, "--noc_latency_weighting") .help( "Controls the importance of reducing the latencies of the NoC traffic flows." "This value can be >=0, where 0 would mean the latencies have no relevance to placement, a value of 1 would mean the latencies are weighted equally to the sum of other placement cost components and a value greater than 1 would mean the placement is increasingly dominated by reducing the latencies of the traffic flows.") - .default_value("0.05") + .default_value("0.02") .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_congestion_weighting, "--noc_congestion_weighting") From 378d097c8397e143a4f6fc75323f4d701efbaff3 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 12:59:58 -0500 Subject: [PATCH 208/608] avoid unnecessary copies by using const reference --- libs/libvtrutil/src/vtr_util.cpp | 12 ++++++------ libs/libvtrutil/src/vtr_util.h | 8 ++++---- 2 files changed, 10 insertions(+), 10 deletions(-) diff --git a/libs/libvtrutil/src/vtr_util.cpp b/libs/libvtrutil/src/vtr_util.cpp index 2a7a247bde1..557f3906633 100644 --- a/libs/libvtrutil/src/vtr_util.cpp +++ b/libs/libvtrutil/src/vtr_util.cpp @@ -26,7 +26,7 @@ static int cont; /* line continued? (used by strtok)*/ * * The split strings (excluding the delimiters) are returned */ -std::vector split(const char* text, const std::string delims) { +std::vector split(const char* text, const std::string& delims) { if (text) { std::string text_str(text); return split(text_str, delims); @@ -39,7 +39,7 @@ std::vector split(const char* text, const std::string delims) { * * The split strings (excluding the delimiters) are returned */ -std::vector split(const std::string& text, const std::string delims) { +std::vector split(const std::string& text, const std::string& delims) { std::vector tokens; std::string curr_tok; @@ -102,7 +102,7 @@ std::string replace_all(const std::string& input, const std::string& search, con } ///@brief Retruns true if str starts with prefix -bool starts_with(std::string str, std::string prefix) { +bool starts_with(const std::string& str, const std::string& prefix) { return str.find(prefix) == 0; } @@ -125,7 +125,7 @@ std::string string_fmt(const char* fmt, ...) { ///@brief Returns a std::string formatted using a printf-style format string taking an explicit va_list std::string vstring_fmt(const char* fmt, va_list args) { - // We need to copy the args so we don't change them before the true formating + // We need to copy the args so we don't change them before the true formatting va_list va_args_copy; va_copy(va_args_copy, args); @@ -461,8 +461,8 @@ bool file_exists(const char* filename) { * * Returns true if the extension is correct, and false otherwise. */ -bool check_file_name_extension(std::string file_name, - std::string file_extension) { +bool check_file_name_extension(const std::string& file_name, + const std::string& file_extension) { auto ext = std::filesystem::path(file_name).extension(); return ext == file_extension; } diff --git a/libs/libvtrutil/src/vtr_util.h b/libs/libvtrutil/src/vtr_util.h index edcb7ba8598..114de793751 100644 --- a/libs/libvtrutil/src/vtr_util.h +++ b/libs/libvtrutil/src/vtr_util.h @@ -14,8 +14,8 @@ namespace vtr { * * The split strings (excluding the delimiters) are returned */ -std::vector split(const char* text, const std::string delims = " \t\n"); -std::vector split(const std::string& text, const std::string delims = " \t\n"); +std::vector split(const char* text, const std::string& delims = " \t\n"); +std::vector split(const std::string& text, const std::string& delims = " \t\n"); ///@brief Returns 'input' with the first instance of 'search' replaced with 'replace' std::string replace_first(const std::string& input, const std::string& search, const std::string& replace); @@ -24,7 +24,7 @@ std::string replace_first(const std::string& input, const std::string& search, c std::string replace_all(const std::string& input, const std::string& search, const std::string& replace); ///@brief Retruns true if str starts with prefix -bool starts_with(std::string str, std::string prefix); +bool starts_with(const std::string& str, const std::string& prefix); ///@brief Returns a std::string formatted using a printf-style format string std::string string_fmt(const char* fmt, ...); @@ -69,7 +69,7 @@ double atod(const std::string& value); */ int get_file_line_number_of_last_opened_file(); bool file_exists(const char* filename); -bool check_file_name_extension(std::string file_name, std::string file_extension); +bool check_file_name_extension(const std::string& file_name, const std::string& file_extension); extern std::string out_file_prefix; From b3e82be7ce5bdbcc63e8f2dc75487ebc47c403b1 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 13:56:07 -0500 Subject: [PATCH 209/608] Add TurnModelRouting. Derived XY-routing from TurnModelRouting. --- vpr/src/noc/bfs_routing.cpp | 2 +- vpr/src/noc/bfs_routing.h | 3 +- vpr/src/noc/noc_routing.h | 3 +- vpr/src/noc/turn_model_routing.cpp | 147 ++++++++++++++++++++++ vpr/src/noc/turn_model_routing.h | 53 ++++++++ vpr/src/noc/xy_routing.cpp | 194 ++++++++--------------------- vpr/src/noc/xy_routing.h | 38 +++--- vpr/src/place/noc_place_utils.cpp | 4 +- 8 files changed, 278 insertions(+), 166 deletions(-) create mode 100644 vpr/src/noc/turn_model_routing.cpp create mode 100644 vpr/src/noc/turn_model_routing.h diff --git a/vpr/src/noc/bfs_routing.cpp b/vpr/src/noc/bfs_routing.cpp index 8af45860129..8767031639c 100644 --- a/vpr/src/noc/bfs_routing.cpp +++ b/vpr/src/noc/bfs_routing.cpp @@ -4,7 +4,7 @@ BFSRouting::~BFSRouting() {} -void BFSRouting::route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, std::vector& flow_route, const NocStorage& noc_model) { +void BFSRouting::route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) { const NocRouter& src_router = noc_model.get_single_noc_router(src_router_id); const NocRouter& sink_router = noc_model.get_single_noc_router(sink_router_id); diff --git a/vpr/src/noc/bfs_routing.h b/vpr/src/noc/bfs_routing.h index 5b7a67fe3e8..4ab23fcd4db 100644 --- a/vpr/src/noc/bfs_routing.h +++ b/vpr/src/noc/bfs_routing.h @@ -41,6 +41,7 @@ class BFSRouting : public NocRouting { * @param sink_router_id The destination router of a traffic flow. * Identifies the ending point of the route within the NoC.This represents a * physical router on the FPGA. + * @param traffic_flow_id The unique ID for the traffic flow being routed. * @param flow_route Stores the path returned by this fuction * as a series of NoC links found by * a NoC routing algorithm between two routers in a traffic flow. @@ -50,7 +51,7 @@ class BFSRouting : public NocRouting { * @param noc_model A model of the NoC. This is used to traverse the * NoC and find a route between the two routers. */ - void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, std::vector& flow_route, const NocStorage& noc_model) override; + void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) override; // internally used helper functions private: diff --git a/vpr/src/noc/noc_routing.h b/vpr/src/noc/noc_routing.h index 07c8f1626e9..fa2152b888c 100644 --- a/vpr/src/noc/noc_routing.h +++ b/vpr/src/noc/noc_routing.h @@ -47,6 +47,7 @@ class NocRouting { * @param sink_router_id The destination router of a traffic flow. * Identifies the ending point of the route within the NoC.This represents a * physical router on the FPGA. + * @param traffic_flow_id The unique ID for the traffic flow being routed. * @param flow_route Stores the path returned by this function * as a series of NoC links found by * a NoC routing algorithm between two routers in a traffic flow. @@ -56,7 +57,7 @@ class NocRouting { * @param noc_model A model of the NoC. This is used to traverse the * NoC and find a route between the two routers. */ - virtual void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, std::vector& flow_route, const NocStorage& noc_model) = 0; + virtual void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) = 0; }; #endif diff --git a/vpr/src/noc/turn_model_routing.cpp b/vpr/src/noc/turn_model_routing.cpp new file mode 100644 index 00000000000..f787c31f3da --- /dev/null +++ b/vpr/src/noc/turn_model_routing.cpp @@ -0,0 +1,147 @@ +#include "turn_model_routing.h" + +size_t TurnModelRouting::get_hash_value(NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id) { + std::size_t seed = 0; + + hash_combine(seed, src_router_id); + hash_combine(seed, dst_router_id); + hash_combine(seed, curr_router_id); + hash_combine(seed, traffic_flow_id); + + return seed; +} + +void TurnModelRouting::route_flow(NocRouterId src_router_id, NocRouterId dst_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) { + // ensure that the route container is empty + flow_route.clear(); + + // get source and destination NoC routers + const auto& src_router = noc_model.get_single_noc_router(src_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // the last router added to the path, initialized with the source id + NocRouterId curr_router_id = src_router_id; + + // get the physical location of the destination router + const auto dst_loc = dst_router.get_router_physical_location(); + + /** + * Keeps track of which routers have been reached already + * while traversing the NoC. This variable will help determine + * cases where a route could not be found and the algorithm is + * stuck going back and forth between routers it has already + * visited. + */ + std::unordered_set visited_routers; + + // The route is terminated when we reach at the destination router + while (curr_router_id != dst_router_id) { + // get the current router (the last one added to the route) + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + + // get the physical location of the current router + auto curr_router_pos = curr_router.get_router_physical_location(); + + // get all directions that moves us closer to the destination router + const auto legal_directions = get_legal_directions(curr_router_id, dst_router_id, noc_model); + + // select the next direction from the available options + auto next_step_direction = select_next_direction(legal_directions, + src_router_id, + dst_router_id, + curr_router_id, + traffic_flow_id, + noc_model); + + auto next_link = move_to_next_router(curr_router_id, curr_router_pos, next_step_direction, visited_routers, noc_model); + + if (next_link) { + flow_route.push_back(next_link); + } else { + VPR_FATAL_ERROR(VPR_ERROR_OTHER, "No route could be found from starting router with ID:'%d'" + "and the destination router with ID:'%d' using the XY-Routing algorithm.", + src_router.get_router_user_id(), + dst_router.get_router_user_id()); + } + + } +} + +NocLinkId TurnModelRouting::move_to_next_router(NocRouterId& curr_router_id, const t_physical_tile_loc& curr_router_position, TurnModelRouting::Direction next_step_direction, std::unordered_set& visited_routers, const NocStorage& noc_model) { + // represents the router that will be visited when taking an outgoing link + NocRouterId next_router_id(-1); + + // next link to be added to the route, initialized with INVALID + auto next_link = NocLinkId(); + + // keeps track of whether a router was found that we can move to + bool found_next_router = false; + + // When an acceptable link is found, this variable keeps track of whether the next router visited using the link was already visited or not. + bool visited_next_router = false; + + // get all the outgoing links for the current router + const auto& router_connections = noc_model.get_noc_router_connections(curr_router_id); + + // go through each outgoing link and determine whether the link leads towards the intended route direction + for (auto connecting_link : router_connections) { + // get the current outgoing link which is being processed + const NocLink& curr_outgoing_link = noc_model.get_single_noc_link(connecting_link); + + // get the next router that we will visit if we travel across the current link + next_router_id = curr_outgoing_link.get_sink_router(); + const NocRouter& next_router = noc_model.get_single_noc_router(next_router_id); + + // get the coordinates of the next router + auto next_router_position = next_router.get_router_physical_location(); + + // Using the position of the next router we will visit if we take the current link, determine if the travel direction through the link matches the direction the algorithm determined we must travel in. If the directions do not match, then this link is not valid. + switch (next_step_direction) { + case TurnModelRouting::Direction::LEFT: + if (next_router_position.x < curr_router_position.x) { + found_next_router = true; + } + break; + case TurnModelRouting::Direction::RIGHT: + if (next_router_position.x > curr_router_position.x) { + found_next_router = true; + } + break; + case TurnModelRouting::Direction::UP: + if (next_router_position.y > curr_router_position.y) { + found_next_router = true; + } + break; + case TurnModelRouting::Direction::DOWN: + if (next_router_position.y < curr_router_position.y) { + found_next_router = true; + } + break; + default: + break; + } + // check whether the next router we will visit was already visited + if (visited_routers.find(next_router_id) != visited_routers.end()) { + visited_next_router = true; + } + + // check if the current link was acceptable. If it is, then make sure that the next router was not previously visited. + // If the next router was already visited, then this link is not valid, so indicate this and move onto processing the next link. + if (found_next_router && !visited_next_router) { + // if we are here then the link is legal to traverse, + // so add it to the found route and traverse the link by moving to the router connected by this link + next_link = connecting_link; + curr_router_id = next_router_id; + + // we found a suitable router to visit next, so add it to the set of visited routers + visited_routers.insert(next_router_id); + + break; + } else { + found_next_router = false; + visited_next_router = false; + } + } + + return next_link; +} diff --git a/vpr/src/noc/turn_model_routing.h b/vpr/src/noc/turn_model_routing.h new file mode 100644 index 00000000000..955806ccfb6 --- /dev/null +++ b/vpr/src/noc/turn_model_routing.h @@ -0,0 +1,53 @@ +#ifndef VTR_TURN_MODEL_ROUTING_H +#define VTR_TURN_MODEL_ROUTING_H + +#include "noc_routing.h" + +class TurnModelRouting : public NocRouting { + public: + void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) override; + + protected: + /** + * @brief This enum describes the all the possible + * directions the turn model routing algorithms can + * choose to travel. + */ + enum class Direction { + LEFT, /*!< Moving towards the negative X-axis*/ + RIGHT, /*!< Moving towards the positive X-axis*/ + UP, /*!< Moving towards the positive Y-axis*/ + DOWN, /*!< Moving towards the negative Y-axis*/ + INVALID /*!< Invalid direction*/ + }; + + NocLinkId move_to_next_router(NocRouterId& curr_router_id, + const t_physical_tile_loc& curr_router_position, + TurnModelRouting::Direction next_step_direction, + std::unordered_set& visited_routers, + const NocStorage& noc_model); + + size_t get_hash_value(NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id); + + private: + template + inline void hash_combine(std::size_t& seed, T const& v); + + virtual const std::vector& get_legal_directions(NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) = 0; + + virtual TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) = 0; +}; + +template +void TurnModelRouting::hash_combine(std::size_t& seed, const T& v) { + seed ^= std::hash()(v) + 0x9e3779b9 + (seed<<6) + (seed>>2); +} + +#endif //VTR_TURN_MODEL_ROUTING_H diff --git a/vpr/src/noc/xy_routing.cpp b/vpr/src/noc/xy_routing.cpp index 63b5ccbb2d0..660b75ba5ec 100644 --- a/vpr/src/noc/xy_routing.cpp +++ b/vpr/src/noc/xy_routing.cpp @@ -7,165 +7,71 @@ XYRouting::~XYRouting() {} -void XYRouting::route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, std::vector& flow_route, const NocStorage& noc_model) { - // keep track of whether a legal route exists between the two routers - bool route_exists = true; - - // get the source router - const NocRouter& src_router = noc_model.get_single_noc_router(src_router_id); - - // keep track of the last router in the route as we build it. Initially we are at the start router, so that will be the current router - NocRouterId curr_router_id = src_router_id; - - // get the sink router - const NocRouter& sink_router = noc_model.get_single_noc_router(sink_router_id); - - // get the position of the sink router - int sink_router_x_position = sink_router.get_router_grid_position_x(); - int sink_router_y_position = sink_router.get_router_grid_position_y(); - - /** - * Keeps track of which routers have been reached already - * while traversing the NoC. This variable will help determine - * cases where a route could not be found and the algorithm is - * stuck going back and forth between routers it has already - * visited. - * - */ - std::unordered_set visited_routers; - - // clear any previously stored route before finding the current route - flow_route.clear(); - - /* - * If we are not at the sink router then run another iteration of the XY routing algorithm - * to decide which link to take and also what the next router will be in the path. - * - * */ - while (curr_router_id != sink_router_id) { - // store the router that is currently visited at each iteration of the algorithm - const NocRouter& curr_router = noc_model.get_single_noc_router(curr_router_id); - - // get the position of the current router - int curr_router_x_position = curr_router.get_router_grid_position_x(); - int curr_router_y_position = curr_router.get_router_grid_position_y(); - - RouteDirection next_step_direction = get_direction_to_travel(sink_router_x_position, sink_router_y_position, curr_router_x_position, curr_router_y_position); - - // Move to the next router based on the previously determined direction - route_exists = move_to_next_router(curr_router_id, curr_router_x_position, curr_router_y_position, next_step_direction, flow_route, visited_routers, noc_model); - - // if we didn't find a legal router to move to then throw an error that there is no path between the source and destination routers - if (!route_exists) { - VPR_FATAL_ERROR(VPR_ERROR_OTHER, "No route could be found from starting router with ID:'%d' and the destination router with ID:'%d' using the XY-Routing algorithm.", src_router.get_router_user_id(), sink_router.get_router_user_id()); - } +const std::vector& XYRouting::get_legal_directions(NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { + // get current and destination NoC routers + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // get the position of current and destination NoC routers + const auto curr_router_pos = curr_router.get_router_physical_location(); + const auto dst_router_pos = dst_router.get_router_physical_location(); + + /* In XY-routing, we first move along the X-axis until + * the current router has the same x-coordinate as the + * destination. Then we start moving along the y-axis. + */ + if (curr_router_pos.x != dst_router_pos.x) { + return x_axis_directions; + } else { + return y_axis_directions; } - - return; } -// check whether x or y correspond to horizontal and vertical directions -RouteDirection XYRouting::get_direction_to_travel(int sink_router_x_position, int sink_router_y_position, int curr_router_x_position, int curr_router_y_position) { - // initialize to an arbitrary value - RouteDirection direction_to_travel = RouteDirection::DOWN; - - /** - * Check the horizontal direction first. If the current x position is greater than the destination, we need to move left. - * If the current x position is less than the destination, we need to move right. Once the current position is horizontally - * aligned with the destination, we then need to align in the vertical direction. If the current vertical position is below - * the destination, then we need to move up. Similarly, if the current y position is above the destination, we need to move - * down. - * - * */ - if (curr_router_x_position > sink_router_x_position) { - direction_to_travel = RouteDirection::LEFT; - } else if (curr_router_x_position < sink_router_x_position) { - direction_to_travel = RouteDirection::RIGHT; - } else if (curr_router_y_position < sink_router_y_position) { - direction_to_travel = RouteDirection::UP; - } else if (curr_router_y_position > sink_router_y_position) { - direction_to_travel = RouteDirection::DOWN; - } else { //case where the current router and the destination router are on the same location - VPR_FATAL_ERROR(VPR_ERROR_OTHER, "XY router cannot determine direction to travel since the current router is already at the destination."); - } - - return direction_to_travel; -} - -bool XYRouting::move_to_next_router(NocRouterId& curr_router_id, int curr_router_x_position, int curr_router_y_position, RouteDirection next_step_direction, std::vector& flow_route, std::unordered_set& visited_routers, const NocStorage& noc_model) { - // represents the router that will be visited when taking an outgoing link - NocRouterId next_router_id(-1); - - // keeps track of whether a router was found that we can move to - bool found_next_router = false; - - // When an acceptable link is found, this variable keeps track of whether the next router visited using the link was already visited or not. - bool visited_next_router = false; - - // get all the outgoing links for the current router - const std::vector& router_connections = noc_model.get_noc_router_connections(curr_router_id); - - // go through each outgoing link and determine whether the link leads towards the intended route direction - for (auto connecting_link : router_connections) { - // get the current outgoing link which is being processed - const NocLink& curr_outgoing_link = noc_model.get_single_noc_link(connecting_link); - - // get the next router that we will visit if we travel across the current link - next_router_id = curr_outgoing_link.get_sink_router(); - const NocRouter& next_router = noc_model.get_single_noc_router(next_router_id); - - // get the coordinates of the next router - int next_router_x_position = next_router.get_router_grid_position_x(); - int next_router_y_position = next_router.get_router_grid_position_y(); - - /* - * Using the position of the next router we will visit if we take the current link, determine if the travel direction through the link matches the direction the algorithm determined we must travel in. If the directions do not match, then this link is not valid. - */ - switch (next_step_direction) { - case RouteDirection::LEFT: - if (next_router_x_position < curr_router_x_position) { - found_next_router = true; +TurnModelRouting::Direction XYRouting::select_next_direction(const std::vector& legal_directions, NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model) { + // get current and destination NoC routers + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // get the position of current and destination NoC routers + const auto curr_router_pos = curr_router.get_router_physical_location(); + const auto dst_router_pos = dst_router.get_router_physical_location(); + + // indicated whether the next direction and moves us + // closer to the destination router is found among + // legal directions + bool found_next_direction = false; + + // Iterate over legal directions and find the one that moves us closer to the destination + for (const auto& direction : legal_directions) { + switch (direction) { + case TurnModelRouting::Direction::LEFT: + if (dst_router_pos.x < curr_router_pos.x) { + found_next_direction = true; } break; - case RouteDirection::RIGHT: - if (next_router_x_position > curr_router_x_position) { - found_next_router = true; + case TurnModelRouting::Direction::RIGHT: + if (dst_router_pos.x > curr_router_pos.x) { + found_next_direction = true; } break; - case RouteDirection::UP: - if (next_router_y_position > curr_router_y_position) { - found_next_router = true; + case TurnModelRouting::Direction::UP: + if (dst_router_pos.y > curr_router_pos.y) { + found_next_direction = true; } break; - case RouteDirection::DOWN: - if (next_router_y_position < curr_router_y_position) { - found_next_router = true; + case TurnModelRouting::Direction::DOWN: + if (dst_router_pos.y < curr_router_pos.y) { + found_next_direction = true; } break; default: break; } - // check whether the next router we will visit was already visited - if (visited_routers.find(next_router_id) != visited_routers.end()) { - visited_next_router = true; - } - // check if the current link was acceptable. If it is, then make sure that the next router was not previously visited. - // If the next router was already visited, then this link is not valid, so indicate this and move onto processing the next link. - if (found_next_router && !visited_next_router) { - // if we are here then the link is legal to traverse, so add it to the found route and traverse the link by moving to the router connected by this link - flow_route.push_back(connecting_link); - curr_router_id = next_router_id; - - // we found a suitable router to visit next, so add it to the set of visited routers - visited_routers.insert(next_router_id); - - break; - } else { - found_next_router = false; - visited_next_router = false; + if (found_next_direction) { + return direction; } } - return found_next_router; -} + return TurnModelRouting::Direction::INVALID; +} \ No newline at end of file diff --git a/vpr/src/noc/xy_routing.h b/vpr/src/noc/xy_routing.h index 50e06891d8c..6ffb2790cd4 100644 --- a/vpr/src/noc/xy_routing.h +++ b/vpr/src/noc/xy_routing.h @@ -82,21 +82,10 @@ * */ -#include "noc_routing.h" +#include "turn_model_routing.h" -/** - * @brief This enum describes the all the possible - * directions the XY routing algorithm can choose - * to travel. - */ -enum class RouteDirection { - LEFT, /*!< Moving towards the negative X-axis*/ - RIGHT, /*!< Moving towards the positive X-axis*/ - UP, /*!< Moving towards the positive Y-axis*/ - DOWN /*!< Moving towards the negative Y-axis*/ -}; -class XYRouting : public NocRouting { +class XYRouting : public TurnModelRouting { public: ~XYRouting() override; @@ -113,6 +102,7 @@ class XYRouting : public NocRouting { * @param sink_router_id The destination router of a traffic flow. * Identifies the ending point of the route within the NoC.This represents a * physical router on the FPGA. + * @param traffic_flow_id The unique ID for the traffic flow being routed. * @param flow_route Stores the path returned by this function * as a series of NoC links found by * a NoC routing algorithm between two routers in a traffic flow. @@ -122,10 +112,20 @@ class XYRouting : public NocRouting { * @param noc_model A model of the NoC. This is used to traverse the * NoC and find a route between the two routers. */ - void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, std::vector& flow_route, const NocStorage& noc_model) override; +// void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) override; // internally used helper functions private: + const std::vector& get_legal_directions(NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) override; + + TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) override; /** * @brief Based on the position of the current router the algorithm is * visiting, this function determines the next direction to travel. @@ -140,7 +140,7 @@ class XYRouting : public NocRouting { * that is currently being visited on the FPGA * @return RouteDirection The direction to travel next */ - RouteDirection get_direction_to_travel(int sink_router_x_position, int sink_router_y_position, int curr_router_x_position, int curr_router_y_position); +// RouteDirection get_direction_to_travel(int sink_router_x_position, int sink_router_y_position, int curr_router_x_position, int curr_router_y_position); /** * @brief Given the direction to travel next, this function determines @@ -166,7 +166,11 @@ class XYRouting : public NocRouting { * @return true A suitable link was found that we can traverse next * @return false No suitable link was found that could be traversed */ - bool move_to_next_router(NocRouterId& curr_router_id, int curr_router_x_position, int curr_router_y_position, RouteDirection next_step_direction, std::vector& flow_route, std::unordered_set& visited_routers, const NocStorage& noc_model); +// bool move_to_next_router(NocRouterId& curr_router_id, int curr_router_x_position, int curr_router_y_position, RouteDirection next_step_direction, std::vector& flow_route, std::unordered_set& visited_routers, const NocStorage& noc_model); + + private: + const std::vector x_axis_directions {TurnModelRouting::Direction::LEFT, TurnModelRouting::Direction::RIGHT}; + const std::vector y_axis_directions {TurnModelRouting::Direction::UP, TurnModelRouting::Direction::DOWN}; }; -#endif +#endif \ No newline at end of file diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 0afc87e57f8..6d40855b0bc 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -194,7 +194,7 @@ std::vector& route_traffic_flow(NocTrafficFlowId traffic_flow_id, // route the current traffic flow std::vector& curr_traffic_flow_route = noc_traffic_flows_storage.get_mutable_traffic_flow_route(traffic_flow_id); - noc_flows_router.route_flow(source_router_block_id, sink_router_block_id, curr_traffic_flow_route, noc_model); + noc_flows_router.route_flow(source_router_block_id, sink_router_block_id, traffic_flow_id, curr_traffic_flow_route, noc_model); return curr_traffic_flow_route; } @@ -477,7 +477,7 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc NocRouterId sink_router_block_id = noc_model.get_router_at_grid_location(placed_cluster_block_locations[logical_sink_router_block_id].loc); // route the current traffic flow - temp_noc_routing_algorithm->route_flow(source_router_block_id, sink_router_block_id, temp_found_noc_route, noc_model); + temp_noc_routing_algorithm->route_flow(source_router_block_id, sink_router_block_id, traffic_flow_id, temp_found_noc_route, noc_model); // now calculate the costs associated to the current traffic flow and accumulate it to find the total cost of the NoC placement double current_flow_aggregate_bandwidth_cost = calculate_traffic_flow_aggregate_bandwidth_cost(temp_found_noc_route, curr_traffic_flow); From 6b11c8e77fb9a577517ba2b5e47b8658fef3916d Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 14:49:58 -0500 Subject: [PATCH 210/608] Add west-first algorithm --- vpr/src/noc/turn_model_routing.h | 18 ++++-- vpr/src/noc/westfirst_routing.cpp | 96 +++++++++++++++++++++++++++++++ vpr/src/noc/westfirst_routing.h | 25 ++++++++ vpr/src/noc/xy_routing.cpp | 2 +- 4 files changed, 135 insertions(+), 6 deletions(-) create mode 100644 vpr/src/noc/westfirst_routing.cpp create mode 100644 vpr/src/noc/westfirst_routing.h diff --git a/vpr/src/noc/turn_model_routing.h b/vpr/src/noc/turn_model_routing.h index 955806ccfb6..a06cb3ebd71 100644 --- a/vpr/src/noc/turn_model_routing.h +++ b/vpr/src/noc/turn_model_routing.h @@ -2,6 +2,7 @@ #define VTR_TURN_MODEL_ROUTING_H #include "noc_routing.h" +#include class TurnModelRouting : public NocRouting { public: @@ -38,11 +39,18 @@ class TurnModelRouting : public NocRouting { const NocStorage& noc_model) = 0; virtual TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, - NocRouterId src_router_id, - NocRouterId dst_router_id, - NocRouterId curr_router_id, - NocTrafficFlowId traffic_flow_id, - const NocStorage& noc_model) = 0; + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) + = 0; + + protected: + // get_legal_directions() return a reference to this vector to avoid allocating a new vector + // each time it is called + std::vector returned_legal_direction{4}; + }; template diff --git a/vpr/src/noc/westfirst_routing.cpp b/vpr/src/noc/westfirst_routing.cpp new file mode 100644 index 00000000000..14ace904d65 --- /dev/null +++ b/vpr/src/noc/westfirst_routing.cpp @@ -0,0 +1,96 @@ +#include "westfirst_routing.h" + +const std::vector& WestFirstRouting::get_legal_directions(NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) { + // get current and destination NoC routers + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // get the position of current and destination NoC routers + const auto curr_router_pos = curr_router.get_router_physical_location(); + const auto dst_router_pos = dst_router.get_router_physical_location(); + + // clear returned legal directions from the previous call + returned_legal_direction.clear(); + + /* In west-first routing, the two turns to the west are prohibited. + * Therefore, if the destination is at the west of the current router, + * we must travel in that direction until we reach the same x-coordinate + * as the destination router. Otherwise, we can move south, north, + * and east adaptively. + */ + if (dst_router_pos.x < curr_router_pos.x) { + returned_legal_direction.push_back(TurnModelRouting::Direction::LEFT); + } else { // to the east or the same column + if (dst_router_pos.x > curr_router_pos.x) { // not the same column + returned_legal_direction.push_back(TurnModelRouting::Direction::RIGHT); + } + + if (dst_router_pos.y > curr_router_pos.y) { + returned_legal_direction.push_back(TurnModelRouting::Direction::UP); + } else if (dst_router_pos.y < curr_router_pos.y) { + returned_legal_direction.push_back(TurnModelRouting::Direction::DOWN); + } + } + + return returned_legal_direction; +} + +TurnModelRouting::Direction WestFirstRouting::select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) { + // get current and destination NoC routers + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // get the position of current and destination NoC routers + const auto curr_router_pos = curr_router.get_router_physical_location(); + const auto dst_router_pos = dst_router.get_router_physical_location(); + + // if there is only one legal direction, take it + if (legal_directions.size() == 1) { + return legal_directions[0]; + } + + /* + * If the function has not already returned, + * the destination router is either to NE or SE of + * the current router. Therefore, we have two + * directions to choose from. A hash value is generated + * based on the source, current, and destination router IDs + * along with the traffic flow ID. Then, we select one direction + * by flipping a biased coin. For example if + * 1) dst_router_pos.x - curr_router_pos.x = 2 + * 2) dst_router_pos.y - curr_router_pos.y = 8 + * We take the UP direction with 80% chance, and RIGHT with 20%. + */ + + // compute the hash value + size_t hash_val = get_hash_value(src_router_id, dst_router_id, curr_router_id, traffic_flow_id); + // get the maximum value that can be represented by size_t + const size_t max_size_t_val = std::numeric_limits::max(); + + // get the distance from the current router to the destination in each coordination + int delta_x = abs(dst_router_pos.x - curr_router_pos.x); + int delta_y = abs(dst_router_pos.y - curr_router_pos.y); + + // compute the probability of going to the right direction + size_t east_probability = delta_x * (max_size_t_val / (delta_x + delta_y)); + + if (hash_val < east_probability) { // sometimes turn right + return TurnModelRouting::Direction::RIGHT; + } + else { // if turning right was rejected, take the other option (north or south) + for (const auto& direction : legal_directions) { + if (direction != TurnModelRouting::Direction::RIGHT) { + return direction; + } + } + } + + return TurnModelRouting::Direction::INVALID; +} \ No newline at end of file diff --git a/vpr/src/noc/westfirst_routing.h b/vpr/src/noc/westfirst_routing.h new file mode 100644 index 00000000000..93ad0886342 --- /dev/null +++ b/vpr/src/noc/westfirst_routing.h @@ -0,0 +1,25 @@ +#ifndef VTR_WESTFIRST_ROUTING_H +#define VTR_WESTFIRST_ROUTING_H + +#include "turn_model_routing.h" + +class WestFirstRouting : public TurnModelRouting { + private: + const std::vector& get_legal_directions(NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) override; + + TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) override; + + private: + const std::vector other_directions{TurnModelRouting::Direction::UP, + TurnModelRouting::Direction::DOWN, + TurnModelRouting::Direction::RIGHT}; +}; + +#endif //VTR_WESTFIRST_ROUTING_H diff --git a/vpr/src/noc/xy_routing.cpp b/vpr/src/noc/xy_routing.cpp index 660b75ba5ec..e0e8cc86046 100644 --- a/vpr/src/noc/xy_routing.cpp +++ b/vpr/src/noc/xy_routing.cpp @@ -36,7 +36,7 @@ TurnModelRouting::Direction XYRouting::select_next_direction(const std::vector Date: Wed, 7 Feb 2024 15:08:41 -0500 Subject: [PATCH 211/608] Add west_first_routing to command line --- vpr/src/base/read_options.cpp | 2 +- vpr/src/noc/noc_routing_algorithm_creator.cpp | 3 +++ vpr/src/noc/noc_routing_algorithm_creator.h | 1 + vpr/src/noc/turn_model_routing.cpp | 2 ++ vpr/src/noc/turn_model_routing.h | 2 ++ vpr/src/noc/westfirst_routing.cpp | 2 ++ vpr/src/noc/westfirst_routing.h | 8 +++----- 7 files changed, 14 insertions(+), 6 deletions(-) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index fe389a66a31..77817290647 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2795,7 +2795,7 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg "* bfs_routing: Uses the breadth first search algorithm. The objective is to find a route that uses a minimum number of links.\n" "This can be used with any NoC topology\n") .default_value("bfs_routing") - .choices({"xy_routing", "bfs_routing"}) + .choices({"xy_routing", "bfs_routing", "west_first_routing"}) .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_placement_weighting, "--noc_placement_weighting") diff --git a/vpr/src/noc/noc_routing_algorithm_creator.cpp b/vpr/src/noc/noc_routing_algorithm_creator.cpp index ddbd0ebb9d7..76651872fae 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.cpp +++ b/vpr/src/noc/noc_routing_algorithm_creator.cpp @@ -9,6 +9,9 @@ std::unique_ptr NocRoutingAlgorithmCreator::create_routing_algorithm noc_routing_algorithm = std::make_unique(); } else if (routing_algorithm_name == "bfs_routing") { noc_routing_algorithm = std::make_unique(); + } else if (routing_algorithm_name == "west_first_routing") { + noc_routing_algorithm = std::make_unique(); + std::cout << "Creating west_first algorithm" << std::endl; } else { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "The provided NoC routing algorithm '%s' is not supported.", routing_algorithm_name.c_str()); } diff --git a/vpr/src/noc/noc_routing_algorithm_creator.h b/vpr/src/noc/noc_routing_algorithm_creator.h index b4361d95d33..6cd67ce7758 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.h +++ b/vpr/src/noc/noc_routing_algorithm_creator.h @@ -23,6 +23,7 @@ #include "noc_routing.h" #include "xy_routing.h" #include "bfs_routing.h" +#include "westfirst_routing.h" class NocRoutingAlgorithmCreator { public: diff --git a/vpr/src/noc/turn_model_routing.cpp b/vpr/src/noc/turn_model_routing.cpp index f787c31f3da..8d57f43fcc4 100644 --- a/vpr/src/noc/turn_model_routing.cpp +++ b/vpr/src/noc/turn_model_routing.cpp @@ -1,5 +1,7 @@ #include "turn_model_routing.h" +TurnModelRouting::~TurnModelRouting() = default; + size_t TurnModelRouting::get_hash_value(NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id) { std::size_t seed = 0; diff --git a/vpr/src/noc/turn_model_routing.h b/vpr/src/noc/turn_model_routing.h index a06cb3ebd71..d5bc7d9b46f 100644 --- a/vpr/src/noc/turn_model_routing.h +++ b/vpr/src/noc/turn_model_routing.h @@ -6,6 +6,8 @@ class TurnModelRouting : public NocRouting { public: + ~TurnModelRouting() override; + void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) override; protected: diff --git a/vpr/src/noc/westfirst_routing.cpp b/vpr/src/noc/westfirst_routing.cpp index 14ace904d65..d3a4e406c7f 100644 --- a/vpr/src/noc/westfirst_routing.cpp +++ b/vpr/src/noc/westfirst_routing.cpp @@ -1,5 +1,7 @@ #include "westfirst_routing.h" +WestFirstRouting::~WestFirstRouting() = default; + const std::vector& WestFirstRouting::get_legal_directions(NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { diff --git a/vpr/src/noc/westfirst_routing.h b/vpr/src/noc/westfirst_routing.h index 93ad0886342..ee8a0802c00 100644 --- a/vpr/src/noc/westfirst_routing.h +++ b/vpr/src/noc/westfirst_routing.h @@ -4,6 +4,9 @@ #include "turn_model_routing.h" class WestFirstRouting : public TurnModelRouting { + public: + ~WestFirstRouting() override; + private: const std::vector& get_legal_directions(NocRouterId curr_router_id, NocRouterId dst_router_id, @@ -15,11 +18,6 @@ class WestFirstRouting : public TurnModelRouting { NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model) override; - - private: - const std::vector other_directions{TurnModelRouting::Direction::UP, - TurnModelRouting::Direction::DOWN, - TurnModelRouting::Direction::RIGHT}; }; #endif //VTR_WESTFIRST_ROUTING_H From aea00cf0140f9addca2aa05b8cda4d387cf9f1a9 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 16:00:47 -0500 Subject: [PATCH 212/608] Add murmur3_32 to TurnModelRouting std::hash() was implemented as the identity function. The generated hash values were very small, causing west-first algorithm to almost choose the right direction when down/up was also available. --- vpr/src/noc/turn_model_routing.cpp | 57 ++++++++++++++++++++++++++---- vpr/src/noc/turn_model_routing.h | 9 ++++- 2 files changed, 59 insertions(+), 7 deletions(-) diff --git a/vpr/src/noc/turn_model_routing.cpp b/vpr/src/noc/turn_model_routing.cpp index 8d57f43fcc4..1b0a62ffd57 100644 --- a/vpr/src/noc/turn_model_routing.cpp +++ b/vpr/src/noc/turn_model_routing.cpp @@ -3,14 +3,23 @@ TurnModelRouting::~TurnModelRouting() = default; size_t TurnModelRouting::get_hash_value(NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id) { - std::size_t seed = 0; + // clear inputs from the last time this function was called + inputs_to_murmur3_hahser.clear(); - hash_combine(seed, src_router_id); - hash_combine(seed, dst_router_id); - hash_combine(seed, curr_router_id); - hash_combine(seed, traffic_flow_id); + // used to cast vtr::StrongId types to uint32_t + auto cast_to_uint32 = [](const auto& input) { + return static_cast(static_cast(input)); + }; - return seed; + // insert IDs into the vector + inputs_to_murmur3_hahser.push_back(cast_to_uint32(src_router_id)); + inputs_to_murmur3_hahser.push_back(cast_to_uint32(dst_router_id)); + inputs_to_murmur3_hahser.push_back(cast_to_uint32(curr_router_id)); + inputs_to_murmur3_hahser.push_back(cast_to_uint32(traffic_flow_id)); + + uint32_t hash_val = murmur3_32(inputs_to_murmur3_hahser, 0); + + return hash_val; } void TurnModelRouting::route_flow(NocRouterId src_router_id, NocRouterId dst_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) { @@ -36,6 +45,9 @@ void TurnModelRouting::route_flow(NocRouterId src_router_id, NocRouterId dst_rou */ std::unordered_set visited_routers; + std::cout << "Source: (" << src_router.get_router_grid_position_x() << ", " << src_router.get_router_grid_position_y() << ")" << + "Dest: (" << dst_router.get_router_grid_position_x() << ", " << dst_router.get_router_grid_position_y() << ")" << std::endl; + // The route is terminated when we reach at the destination router while (curr_router_id != dst_router_id) { // get the current router (the last one added to the route) @@ -67,6 +79,8 @@ void TurnModelRouting::route_flow(NocRouterId src_router_id, NocRouterId dst_rou } } + + std::cout << std::endl; } NocLinkId TurnModelRouting::move_to_next_router(NocRouterId& curr_router_id, const t_physical_tile_loc& curr_router_position, TurnModelRouting::Direction next_step_direction, std::unordered_set& visited_routers, const NocStorage& noc_model) { @@ -147,3 +161,34 @@ NocLinkId TurnModelRouting::move_to_next_router(NocRouterId& curr_router_id, con return next_link; } + +uint32_t TurnModelRouting::murmur_32_scramble(uint32_t k) { + k *= 0xcc9e2d51; + k = (k << 15) | (k >> 17); + k *= 0x1b873593; + return k; +} + +uint32_t TurnModelRouting::murmur3_32(const std::vector& key, uint32_t seed) { + uint32_t h = seed; + + for (uint32_t k : key) { + + h ^= murmur_32_scramble(k); + h = (h << 13) | (h >> 19); + h = h * 5 + 0xe6546b64; + } + + // A swap is *not* necessary here because the preceding loop already + // places the low bytes in the low places according to whatever endianness + // we use. Swaps only apply when the memory is copied in a chunk. +// h ^= murmur_32_scramble(0); + /* Finalize. */ + h ^= key.size() * 4; + h ^= h >> 16; + h *= 0x85ebca6b; + h ^= h >> 13; + h *= 0xc2b2ae35; + h ^= h >> 16; + return h; +} diff --git a/vpr/src/noc/turn_model_routing.h b/vpr/src/noc/turn_model_routing.h index d5bc7d9b46f..a772f87cae2 100644 --- a/vpr/src/noc/turn_model_routing.h +++ b/vpr/src/noc/turn_model_routing.h @@ -33,7 +33,11 @@ class TurnModelRouting : public NocRouting { size_t get_hash_value(NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id); private: - template + inline uint32_t murmur_32_scramble(uint32_t k); + + uint32_t murmur3_32(const std::vector& key, uint32_t seed); + + template inline void hash_combine(std::size_t& seed, T const& v); virtual const std::vector& get_legal_directions(NocRouterId curr_router_id, @@ -53,6 +57,9 @@ class TurnModelRouting : public NocRouting { // each time it is called std::vector returned_legal_direction{4}; + private: + std::vector inputs_to_murmur3_hahser{4}; + }; template From eff4bf18eac70f28b5b517a34ac4880be3a49d7d Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 16:08:07 -0500 Subject: [PATCH 213/608] Change hash value type from size_t to uint32_t in WestFirstRouting::select_next_direction. The size of size_t is machine and implementation dependent. --- vpr/src/noc/turn_model_routing.cpp | 5 ----- vpr/src/noc/westfirst_routing.cpp | 6 +++--- 2 files changed, 3 insertions(+), 8 deletions(-) diff --git a/vpr/src/noc/turn_model_routing.cpp b/vpr/src/noc/turn_model_routing.cpp index 1b0a62ffd57..fbd5800ccbe 100644 --- a/vpr/src/noc/turn_model_routing.cpp +++ b/vpr/src/noc/turn_model_routing.cpp @@ -45,9 +45,6 @@ void TurnModelRouting::route_flow(NocRouterId src_router_id, NocRouterId dst_rou */ std::unordered_set visited_routers; - std::cout << "Source: (" << src_router.get_router_grid_position_x() << ", " << src_router.get_router_grid_position_y() << ")" << - "Dest: (" << dst_router.get_router_grid_position_x() << ", " << dst_router.get_router_grid_position_y() << ")" << std::endl; - // The route is terminated when we reach at the destination router while (curr_router_id != dst_router_id) { // get the current router (the last one added to the route) @@ -79,8 +76,6 @@ void TurnModelRouting::route_flow(NocRouterId src_router_id, NocRouterId dst_rou } } - - std::cout << std::endl; } NocLinkId TurnModelRouting::move_to_next_router(NocRouterId& curr_router_id, const t_physical_tile_loc& curr_router_position, TurnModelRouting::Direction next_step_direction, std::unordered_set& visited_routers, const NocStorage& noc_model) { diff --git a/vpr/src/noc/westfirst_routing.cpp b/vpr/src/noc/westfirst_routing.cpp index d3a4e406c7f..cad6b2ef7d5 100644 --- a/vpr/src/noc/westfirst_routing.cpp +++ b/vpr/src/noc/westfirst_routing.cpp @@ -72,16 +72,16 @@ TurnModelRouting::Direction WestFirstRouting::select_next_direction(const std::v */ // compute the hash value - size_t hash_val = get_hash_value(src_router_id, dst_router_id, curr_router_id, traffic_flow_id); + uint32_t hash_val = get_hash_value(src_router_id, dst_router_id, curr_router_id, traffic_flow_id); // get the maximum value that can be represented by size_t - const size_t max_size_t_val = std::numeric_limits::max(); + const size_t max_size_t_val = std::numeric_limits::max(); // get the distance from the current router to the destination in each coordination int delta_x = abs(dst_router_pos.x - curr_router_pos.x); int delta_y = abs(dst_router_pos.y - curr_router_pos.y); // compute the probability of going to the right direction - size_t east_probability = delta_x * (max_size_t_val / (delta_x + delta_y)); + uint32_t east_probability = delta_x * (max_size_t_val / (delta_x + delta_y)); if (hash_val < east_probability) { // sometimes turn right return TurnModelRouting::Direction::RIGHT; From 62580d83d4e27f6f1ff218c6c8803c267721db77 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 17:03:17 -0500 Subject: [PATCH 214/608] Add north-last routing algorithm. --- vpr/src/noc/north_last_routing.cpp | 101 +++++++++++++++++++++++++++++ vpr/src/noc/north_last_routing.h | 24 +++++++ vpr/src/noc/westfirst_routing.cpp | 4 +- 3 files changed, 127 insertions(+), 2 deletions(-) create mode 100644 vpr/src/noc/north_last_routing.cpp create mode 100644 vpr/src/noc/north_last_routing.h diff --git a/vpr/src/noc/north_last_routing.cpp b/vpr/src/noc/north_last_routing.cpp new file mode 100644 index 00000000000..d151969502f --- /dev/null +++ b/vpr/src/noc/north_last_routing.cpp @@ -0,0 +1,101 @@ + +#include "north_last_routing.h" + +NorthLastRouting::~NorthLastRouting() = default; + +const std::vector& NorthLastRouting::get_legal_directions(NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) { + // get current and destination NoC routers + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // get the position of current and destination NoC routers + const auto curr_router_pos = curr_router.get_router_physical_location(); + const auto dst_router_pos = dst_router.get_router_physical_location(); + + // clear returned legal directions from the previous call + returned_legal_direction.clear(); + + /* In north-last routing, when we start moving in the north direction + * we can no longer turn. Therefore, moving toward north is permissible + * only when by keeping moving northward we arrive at the destination. + * Therefore, moving north is legal only when we are at same column + * as the destination and the destination router is located above + * the current router. To find legal directions, we first check + * whether moving east, west, or south moves us closer to the + * destination (i.e. keeps us on a minimal route). If so, moving + * north is illegal. Otherwise, travelling northward is the only + * legal option. + */ + + // check if the destination is at the west/east of the current router + if (dst_router_pos.x < curr_router_pos.x) { + returned_legal_direction.push_back(TurnModelRouting::Direction::LEFT); + } else if (dst_router_pos.x > curr_router_pos.x) { + returned_legal_direction.push_back(TurnModelRouting::Direction::RIGHT); + } + + // check if the destination router is at the south of the current router + if (dst_router_pos.y < curr_router_pos.y) { + returned_legal_direction.push_back(TurnModelRouting::Direction::DOWN); + } + + // consider north only when none of other directions are legal + if (returned_legal_direction.empty() && dst_router_pos.y > curr_router_pos.y) { + returned_legal_direction.push_back(TurnModelRouting::Direction::UP); + } + + return returned_legal_direction; +} + +TurnModelRouting::Direction NorthLastRouting::select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) { + // get current and destination NoC routers + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // get the position of current and destination NoC routers + const auto curr_router_pos = curr_router.get_router_physical_location(); + const auto dst_router_pos = dst_router.get_router_physical_location(); + + // if there is only one legal direction, take it + if (legal_directions.size() == 1) { + return legal_directions[0]; + } + + /* If the function reaches this point, + * the destination routed is located at SE or SW of + * the current router. We adopt a similar approach to + * WestFirstRouting to select between south and west/east. + */ + + // compute the hash value + uint32_t hash_val = get_hash_value(src_router_id, dst_router_id, curr_router_id, traffic_flow_id); + // get the maximum value that can be represented by size_t + const uint32_t max_uint32_t_val = std::numeric_limits::max(); + + // get the distance from the current router to the destination in each coordination + int delta_x = abs(dst_router_pos.x - curr_router_pos.x); + int delta_y = abs(dst_router_pos.y - curr_router_pos.y); + + // compute the probability of going to the down (south) direction + uint32_t east_probability = delta_y * (max_uint32_t_val / (delta_x + delta_y)); + + if (hash_val < east_probability) { // sometimes turn south + return TurnModelRouting::Direction::DOWN; + } + else { // if turning south was rejected, take the other option (east/west) + for (const auto& direction : legal_directions) { + if (direction != TurnModelRouting::Direction::DOWN) { + return direction; + } + } + } + + return TurnModelRouting::Direction::INVALID; +} \ No newline at end of file diff --git a/vpr/src/noc/north_last_routing.h b/vpr/src/noc/north_last_routing.h new file mode 100644 index 00000000000..513041472ff --- /dev/null +++ b/vpr/src/noc/north_last_routing.h @@ -0,0 +1,24 @@ + +#ifndef VTR_NORTH_LAST_ROUTING_H +#define VTR_NORTH_LAST_ROUTING_H + +#include "turn_model_routing.h" + +class NorthLastRouting : public TurnModelRouting { + public: + ~NorthLastRouting() override; + + private: + const std::vector& get_legal_directions(NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) override; + + TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) override; +}; + +#endif //VTR_NORTH_LAST_ROUTING_H diff --git a/vpr/src/noc/westfirst_routing.cpp b/vpr/src/noc/westfirst_routing.cpp index cad6b2ef7d5..10f46eab89a 100644 --- a/vpr/src/noc/westfirst_routing.cpp +++ b/vpr/src/noc/westfirst_routing.cpp @@ -74,14 +74,14 @@ TurnModelRouting::Direction WestFirstRouting::select_next_direction(const std::v // compute the hash value uint32_t hash_val = get_hash_value(src_router_id, dst_router_id, curr_router_id, traffic_flow_id); // get the maximum value that can be represented by size_t - const size_t max_size_t_val = std::numeric_limits::max(); + const uint32_t max_uint32_t_val = std::numeric_limits::max(); // get the distance from the current router to the destination in each coordination int delta_x = abs(dst_router_pos.x - curr_router_pos.x); int delta_y = abs(dst_router_pos.y - curr_router_pos.y); // compute the probability of going to the right direction - uint32_t east_probability = delta_x * (max_size_t_val / (delta_x + delta_y)); + uint32_t east_probability = delta_x * (max_uint32_t_val / (delta_x + delta_y)); if (hash_val < east_probability) { // sometimes turn right return TurnModelRouting::Direction::RIGHT; From 53b30db2ebd934ceca88f776c43a3648ce85cc19 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 17:04:15 -0500 Subject: [PATCH 215/608] Add north-last algorithm to command line options --- vpr/src/base/read_options.cpp | 2 +- vpr/src/noc/noc_routing_algorithm_creator.cpp | 3 ++- vpr/src/noc/noc_routing_algorithm_creator.h | 3 ++- vpr/src/noc/north_last_routing.h | 1 - .../noc/{westfirst_routing.cpp => west_first_routing.cpp} | 2 +- vpr/src/noc/{westfirst_routing.h => west_first_routing.h} | 6 +++--- 6 files changed, 9 insertions(+), 8 deletions(-) rename vpr/src/noc/{westfirst_routing.cpp => west_first_routing.cpp} (99%) rename vpr/src/noc/{westfirst_routing.h => west_first_routing.h} (90%) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 77817290647..d03ec223bca 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2795,7 +2795,7 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg "* bfs_routing: Uses the breadth first search algorithm. The objective is to find a route that uses a minimum number of links.\n" "This can be used with any NoC topology\n") .default_value("bfs_routing") - .choices({"xy_routing", "bfs_routing", "west_first_routing"}) + .choices({"xy_routing", "bfs_routing", "west_first_routing", "north_last_routing"}) .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_placement_weighting, "--noc_placement_weighting") diff --git a/vpr/src/noc/noc_routing_algorithm_creator.cpp b/vpr/src/noc/noc_routing_algorithm_creator.cpp index 76651872fae..89306a60dbb 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.cpp +++ b/vpr/src/noc/noc_routing_algorithm_creator.cpp @@ -11,7 +11,8 @@ std::unique_ptr NocRoutingAlgorithmCreator::create_routing_algorithm noc_routing_algorithm = std::make_unique(); } else if (routing_algorithm_name == "west_first_routing") { noc_routing_algorithm = std::make_unique(); - std::cout << "Creating west_first algorithm" << std::endl; + } else if (routing_algorithm_name == "north_last_routing") { + noc_routing_algorithm = std::make_unique(); } else { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "The provided NoC routing algorithm '%s' is not supported.", routing_algorithm_name.c_str()); } diff --git a/vpr/src/noc/noc_routing_algorithm_creator.h b/vpr/src/noc/noc_routing_algorithm_creator.h index 6cd67ce7758..26a93802c57 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.h +++ b/vpr/src/noc/noc_routing_algorithm_creator.h @@ -23,7 +23,8 @@ #include "noc_routing.h" #include "xy_routing.h" #include "bfs_routing.h" -#include "westfirst_routing.h" +#include "west_first_routing.h" +#include "north_last_routing.h" class NocRoutingAlgorithmCreator { public: diff --git a/vpr/src/noc/north_last_routing.h b/vpr/src/noc/north_last_routing.h index 513041472ff..053f5f007eb 100644 --- a/vpr/src/noc/north_last_routing.h +++ b/vpr/src/noc/north_last_routing.h @@ -1,4 +1,3 @@ - #ifndef VTR_NORTH_LAST_ROUTING_H #define VTR_NORTH_LAST_ROUTING_H diff --git a/vpr/src/noc/westfirst_routing.cpp b/vpr/src/noc/west_first_routing.cpp similarity index 99% rename from vpr/src/noc/westfirst_routing.cpp rename to vpr/src/noc/west_first_routing.cpp index 10f46eab89a..fb36a096353 100644 --- a/vpr/src/noc/westfirst_routing.cpp +++ b/vpr/src/noc/west_first_routing.cpp @@ -1,4 +1,4 @@ -#include "westfirst_routing.h" +#include "west_first_routing.h" WestFirstRouting::~WestFirstRouting() = default; diff --git a/vpr/src/noc/westfirst_routing.h b/vpr/src/noc/west_first_routing.h similarity index 90% rename from vpr/src/noc/westfirst_routing.h rename to vpr/src/noc/west_first_routing.h index ee8a0802c00..bb60e177c94 100644 --- a/vpr/src/noc/westfirst_routing.h +++ b/vpr/src/noc/west_first_routing.h @@ -1,5 +1,5 @@ -#ifndef VTR_WESTFIRST_ROUTING_H -#define VTR_WESTFIRST_ROUTING_H +#ifndef VTR_WEST_FIRST_ROUTING_H +#define VTR_WEST_FIRST_ROUTING_H #include "turn_model_routing.h" @@ -20,4 +20,4 @@ class WestFirstRouting : public TurnModelRouting { const NocStorage& noc_model) override; }; -#endif //VTR_WESTFIRST_ROUTING_H +#endif //VTR_WEST_FIRST_ROUTING_H From 7e0b88ad44fbf63cbda0db284b16932b423aec4d Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 17:11:17 -0500 Subject: [PATCH 216/608] Add negative-first routing algorithm --- vpr/src/noc/negative_first_routing.cpp | 115 +++++++++++++++++++++++++ vpr/src/noc/negative_first_routing.h | 23 +++++ vpr/src/noc/north_last_routing.cpp | 4 +- 3 files changed, 140 insertions(+), 2 deletions(-) create mode 100644 vpr/src/noc/negative_first_routing.cpp create mode 100644 vpr/src/noc/negative_first_routing.h diff --git a/vpr/src/noc/negative_first_routing.cpp b/vpr/src/noc/negative_first_routing.cpp new file mode 100644 index 00000000000..969e0c0a029 --- /dev/null +++ b/vpr/src/noc/negative_first_routing.cpp @@ -0,0 +1,115 @@ + +#include "negative_first_routing.h" + +NegativeFirstRouting::~NegativeFirstRouting() = default; + +const std::vector& NegativeFirstRouting::get_legal_directions(NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) { + // get current and destination NoC routers + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // get the position of current and destination NoC routers + const auto curr_router_pos = curr_router.get_router_physical_location(); + const auto dst_router_pos = dst_router.get_router_physical_location(); + + // clear returned legal directions from the previous call + returned_legal_direction.clear(); + + /* In negative-first algorithm, the packet first moved in negative directions + * (west and south), then it moves toward positive ones (north and east). Once + * the packet took a single step toward positive directions, it can no longer + * move in negative directions. For minimal routing, this means that we first + * need to check whether moving in negative directions keeps us on a minimal route. + * If neither west nor south moves us closer to the destination, we can try moving + * toward north and east. + */ + + // check whether moving west keeps us on a minimal route + if (dst_router_pos.x < curr_router_pos.x) { + returned_legal_direction.push_back(TurnModelRouting::Direction::LEFT); + } + + // check whether moving south keeps us on a minimal route + if (dst_router_pos.y < curr_router_pos.y) { + returned_legal_direction.push_back(TurnModelRouting::Direction::DOWN); + } + + // if at least one of the negative directions is legal, + // we don't need to check the positive ones and can return + if (!returned_legal_direction.empty()) { + return returned_legal_direction; + } + + /* If we reach this point in the function, it means that + * none of negative directions were legal, therefore we need to + * check the positive ones. + */ + + // check whether moving east keeps us on a minimal route + if (dst_router_pos.x > curr_router_pos.x) { + returned_legal_direction.push_back(TurnModelRouting::Direction::RIGHT); + } + + // check whether moving north keeps us on a minimal route + if (dst_router_pos.y > curr_router_pos.y) { + returned_legal_direction.push_back(TurnModelRouting::Direction::UP); + } + + return returned_legal_direction; +} + +TurnModelRouting::Direction NegativeFirstRouting::select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) { + // get current and destination NoC routers + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // get the position of current and destination NoC routers + const auto curr_router_pos = curr_router.get_router_physical_location(); + const auto dst_router_pos = dst_router.get_router_physical_location(); + + // if there is only one legal direction, take it + if (legal_directions.size() == 1) { + return legal_directions[0]; + } + + /* If the function reaches this point, + * the destination routed is located at SW or NE of + * the current router. We adopt a similar approach to + * WestFirstRouting to select between south/north and west/east. + */ + + // compute the hash value + uint32_t hash_val = get_hash_value(src_router_id, dst_router_id, curr_router_id, traffic_flow_id); + // get the maximum value that can be represented by size_t + const uint32_t max_uint32_t_val = std::numeric_limits::max(); + + // get the distance from the current router to the destination in each coordination + int delta_x = abs(dst_router_pos.x - curr_router_pos.x); + int delta_y = abs(dst_router_pos.y - curr_router_pos.y); + + // compute the probability of going to north/south direction + uint32_t ns_probability = delta_y * (max_uint32_t_val / (delta_x + delta_y)); + + if (hash_val < ns_probability) { + for (const auto& direction : legal_directions) { + if (direction == TurnModelRouting::Direction::DOWN || direction == TurnModelRouting::Direction::UP) { + return direction; + } + } + } else { + for (const auto& direction : legal_directions) { + if (direction == TurnModelRouting::Direction::RIGHT || direction == TurnModelRouting::Direction::LEFT) { + return direction; + } + } + } + + return TurnModelRouting::Direction::INVALID; +} \ No newline at end of file diff --git a/vpr/src/noc/negative_first_routing.h b/vpr/src/noc/negative_first_routing.h new file mode 100644 index 00000000000..41c26c8d5bf --- /dev/null +++ b/vpr/src/noc/negative_first_routing.h @@ -0,0 +1,23 @@ +#ifndef VTR_NEGATIVE_FIRST_ROUTING_H +#define VTR_NEGATIVE_FIRST_ROUTING_H + +#include "turn_model_routing.h" + +class NegativeFirstRouting : TurnModelRouting { + public: + ~NegativeFirstRouting() override; + + private: + const std::vector& get_legal_directions(NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) override; + + TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) override; +}; + +#endif //VTR_NEGATIVE_FIRST_ROUTING_H diff --git a/vpr/src/noc/north_last_routing.cpp b/vpr/src/noc/north_last_routing.cpp index d151969502f..d6b86569fae 100644 --- a/vpr/src/noc/north_last_routing.cpp +++ b/vpr/src/noc/north_last_routing.cpp @@ -84,9 +84,9 @@ TurnModelRouting::Direction NorthLastRouting::select_next_direction(const std::v int delta_y = abs(dst_router_pos.y - curr_router_pos.y); // compute the probability of going to the down (south) direction - uint32_t east_probability = delta_y * (max_uint32_t_val / (delta_x + delta_y)); + uint32_t south_probability = delta_y * (max_uint32_t_val / (delta_x + delta_y)); - if (hash_val < east_probability) { // sometimes turn south + if (hash_val < south_probability) { // sometimes turn south return TurnModelRouting::Direction::DOWN; } else { // if turning south was rejected, take the other option (east/west) From ec6645458bf84cd09fd75adc3bbefcca1385969d Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 17:33:19 -0500 Subject: [PATCH 217/608] Check if NoC routing has cycles A cycle in NoC routing configuration may cause deadlock when packets wait on each other in a cycle. --- vpr/src/noc/negative_first_routing.cpp | 2 +- vpr/src/place/initial_placement.cpp | 17 +++++-- vpr/src/place/noc_place_utils.cpp | 68 ++++++++++++++++++++++++++ vpr/src/place/noc_place_utils.h | 17 +++++++ vpr/src/place/place.cpp | 4 +- 5 files changed, 102 insertions(+), 6 deletions(-) diff --git a/vpr/src/noc/negative_first_routing.cpp b/vpr/src/noc/negative_first_routing.cpp index 969e0c0a029..1b40c3f00a5 100644 --- a/vpr/src/noc/negative_first_routing.cpp +++ b/vpr/src/noc/negative_first_routing.cpp @@ -2,7 +2,7 @@ #include "negative_first_routing.h" NegativeFirstRouting::~NegativeFirstRouting() = default; - + const std::vector& NegativeFirstRouting::get_legal_directions(NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { diff --git a/vpr/src/place/initial_placement.cpp b/vpr/src/place/initial_placement.cpp index 7e67f169ef2..2a22505cdc7 100644 --- a/vpr/src/place/initial_placement.cpp +++ b/vpr/src/place/initial_placement.cpp @@ -202,9 +202,9 @@ static void place_all_blocks(const t_placer_opts& placer_opts, vtr::vector + /********************** Variables local to noc_place_utils.c pp***************************/ /* Proposed and actual cost of a noc traffic flow used for each move assessment */ static vtr::vector traffic_flow_costs, proposed_traffic_flow_costs; @@ -867,6 +869,72 @@ void write_noc_placement_file(const std::string& file_name) { return; } +bool noc_routing_has_cycle() { + // used to access NoC routers and links + auto& noc_model = g_vpr_ctx.noc().noc_model; + + // get the total number of NoC routers + const int num_noc_routers = noc_model.get_number_of_noc_routers(); + + // indicates whether a node (NoC router) is visited in DFS traversal + vtr::vector visited(num_noc_routers, false); + // indicates whether a node (NoC router) is currently in stack + vtr::vector on_stack(num_noc_routers, false); + // the stack used to perform graph traversal (DFS). Contains to-be-visited nodes + std::stack stack; + + // get all NoC router IDs + const auto& noc_router_ids = noc_model.get_noc_routers().keys(); + + // iterate over all nodes (NoC routers) + for (auto& noc_router_id : noc_router_ids) { + + // the node (NoC router) has already been visited + if (visited[noc_router_id]) { + continue; + } + + // An un-visited node is found. Add to the stack + stack.push(noc_router_id); + + // continue the traversal until the stack is empty + while (!stack.empty()) { + auto current_node_id = stack.top(); + + if (!visited[current_node_id]) { + on_stack[current_node_id] = true; + visited[current_node_id] = true; + } else { // the neighboring nodes have already been processed + // remove it from the stack + stack.pop(); + on_stack[current_node_id] = false; + } + + // get the outgoing links of the current router + const auto& outgoing_link_ids = noc_model.get_noc_router_connections(current_node_id); + + // iterate over all outgoing neighbors + for (auto& outgoing_link_id : outgoing_link_ids) { + const auto& outgoing_link = noc_model.get_single_noc_link(outgoing_link_id); + double link_bw_usage = outgoing_link.get_bandwidth_usage(); + + // only used links represent an edge in the graph + if (link_bw_usage > 0.0) { + auto sink_router_id = outgoing_link.get_sink_router(); + if (!visited[sink_router_id]) { + stack.push(sink_router_id); + } else if (on_stack[sink_router_id]) { // the current node is pointing to one of its ancestors + return true; + } + } + } + } + } + + // if no node in the graph points to at least one of its ancestors, the graph does not have any cycles + return false; +} + static std::vector find_affected_links_by_flow_reroute(std::vector& prev_links, std::vector& curr_links) { // Sort both link containers diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index db041b59cb0..9e82742e8c2 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -524,5 +524,22 @@ e_create_move propose_router_swap(t_pl_blocks_to_be_moved& blocks_affected, floa * information. * */ + void write_noc_placement_file(const std::string& file_name); + +/** + * @brief This function checks whether the routing configuration for NoC traffic flows + * can cause a deadlock in NoC. Assume we create a graph where NoC routers are vertices, + * and traffic flow routes represent edges. This graph is a sub-graph of the NoC topology + * as it contain a subset of its edges. If such a graph contains a cycle, we can argue + * that deadlock is possible. + * + * This functions performs a DFS over the mentioned graph and tries to find out whether + * the graph has any back edges, i.e. whether a node points to one of its ancestors + * during depth-first search traversal. + * + * @return bool Indicates whether NoC traffic flow routes form a cycle. + */ +bool noc_routing_has_cycle(); + #endif \ No newline at end of file diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 93287555b5f..14169103e52 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -3989,9 +3989,11 @@ static void check_place(const t_placer_costs& costs, place_algorithm); error += check_placement_floorplanning(); - // check the NoC costs during placement if the user is using the NoC supported flow if (noc_opts.noc) { + // check the NoC costs during placement if the user is using the NoC supported flow error += check_noc_placement_costs(costs, ERROR_TOL, noc_opts); + // make sure NoC routing configuration does not have any cycles + error += (int)noc_routing_has_cycle(); } if (error == 0) { From b4706e9e195837b7a7f0cfd507d9e4182960d74f Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 7 Feb 2024 17:40:18 -0500 Subject: [PATCH 218/608] vpr: to get the minimum delay among inter-layer connections, iterate over rr graph edges --- vpr/src/util/vpr_utils.cpp | 24 +++++++++++------------- vpr/src/util/vpr_utils.h | 4 +--- 2 files changed, 12 insertions(+), 16 deletions(-) diff --git a/vpr/src/util/vpr_utils.cpp b/vpr/src/util/vpr_utils.cpp index b200a06ba7f..aa74e71430c 100644 --- a/vpr/src/util/vpr_utils.cpp +++ b/vpr/src/util/vpr_utils.cpp @@ -2509,22 +2509,20 @@ void add_pb_child_to_list(std::list& pb_list, const t_pb* parent_pb } } -float get_min_cross_layer_delay(const std::vector& arch_switch_inf, - const std::vector& segment_inf, - const int wire_to_ipin_arch_sw_id) { +float get_min_cross_layer_delay() { + const auto& rr_graph = g_vpr_ctx.device().rr_graph; + const auto& rr_switch_inf = g_vpr_ctx.device().rr_graph.rr_switch(); float min_delay = std::numeric_limits::max(); - // Check whether the inter-layer switch type for connection block is defined. If it is, - // get the delay of it. - if (wire_to_ipin_arch_sw_id != OPEN) { - min_delay = arch_switch_inf[wire_to_ipin_arch_sw_id].Tdel(); - } - // Iterate over inter-layer switch types of segments to find the minimum delay - for (const auto& seg_inf : segment_inf) { - int cross_layer_sw_arch_id = seg_inf.arch_opin_between_dice_switch; - if (cross_layer_sw_arch_id != OPEN) { - min_delay = std::min(min_delay, arch_switch_inf[cross_layer_sw_arch_id].Tdel()); + for (const auto& driver_node: rr_graph.nodes()) { + for (size_t edge_id = 0; edge_id < rr_graph.num_edges(driver_node); edge_id++) { + const auto& sink_node = rr_graph.edge_sink_node(driver_node, edge_id); + if (rr_graph.node_layer(driver_node) != rr_graph.node_layer(sink_node)) { + int i_switch = rr_graph.edge_switch(driver_node, edge_id); + float edge_delay = rr_graph.rr_switch_inf(RRSwitchId(i_switch)).Tdel; + min_delay = std::min(min_delay, edge_delay); + } } } diff --git a/vpr/src/util/vpr_utils.h b/vpr/src/util/vpr_utils.h index 75842967cd1..2916506522b 100644 --- a/vpr/src/util/vpr_utils.h +++ b/vpr/src/util/vpr_utils.h @@ -319,8 +319,6 @@ void add_pb_child_to_list(std::list& pb_list, const t_pb* parent_pb * @param wire_to_ipin_arch_sw_id * @return */ -float get_min_cross_layer_delay(const std::vector& arch_switch_inf, - const std::vector& segment_inf, - const int wire_to_ipin_arch_sw_id); +float get_min_cross_layer_delay(); #endif From b50e874415c1551ce1615fb35053563aa0fc16b1 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 17:40:51 -0500 Subject: [PATCH 219/608] Add negative-first algorithm to command line --- vpr/src/base/read_options.cpp | 2 +- vpr/src/noc/negative_first_routing.h | 2 +- vpr/src/noc/noc_routing_algorithm_creator.cpp | 2 ++ vpr/src/noc/noc_routing_algorithm_creator.h | 5 +++-- 4 files changed, 7 insertions(+), 4 deletions(-) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index d03ec223bca..5eeaac5ee2a 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2795,7 +2795,7 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg "* bfs_routing: Uses the breadth first search algorithm. The objective is to find a route that uses a minimum number of links.\n" "This can be used with any NoC topology\n") .default_value("bfs_routing") - .choices({"xy_routing", "bfs_routing", "west_first_routing", "north_last_routing"}) + .choices({"xy_routing", "bfs_routing", "west_first_routing", "north_last_routing", "negative_first_routing"}) .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_placement_weighting, "--noc_placement_weighting") diff --git a/vpr/src/noc/negative_first_routing.h b/vpr/src/noc/negative_first_routing.h index 41c26c8d5bf..6ea0834fd82 100644 --- a/vpr/src/noc/negative_first_routing.h +++ b/vpr/src/noc/negative_first_routing.h @@ -3,7 +3,7 @@ #include "turn_model_routing.h" -class NegativeFirstRouting : TurnModelRouting { +class NegativeFirstRouting : public TurnModelRouting { public: ~NegativeFirstRouting() override; diff --git a/vpr/src/noc/noc_routing_algorithm_creator.cpp b/vpr/src/noc/noc_routing_algorithm_creator.cpp index 89306a60dbb..c91e3658247 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.cpp +++ b/vpr/src/noc/noc_routing_algorithm_creator.cpp @@ -13,6 +13,8 @@ std::unique_ptr NocRoutingAlgorithmCreator::create_routing_algorithm noc_routing_algorithm = std::make_unique(); } else if (routing_algorithm_name == "north_last_routing") { noc_routing_algorithm = std::make_unique(); + } else if (routing_algorithm_name == "negative_first_routing") { + noc_routing_algorithm = std::make_unique(); } else { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "The provided NoC routing algorithm '%s' is not supported.", routing_algorithm_name.c_str()); } diff --git a/vpr/src/noc/noc_routing_algorithm_creator.h b/vpr/src/noc/noc_routing_algorithm_creator.h index 26a93802c57..457f392c3ae 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.h +++ b/vpr/src/noc/noc_routing_algorithm_creator.h @@ -25,12 +25,13 @@ #include "bfs_routing.h" #include "west_first_routing.h" #include "north_last_routing.h" +#include "negative_first_routing.h" class NocRoutingAlgorithmCreator { public: // nothing to do in the constructor and destructor - NocRoutingAlgorithmCreator() {} - ~NocRoutingAlgorithmCreator() {} + NocRoutingAlgorithmCreator() = default; + ~NocRoutingAlgorithmCreator() = default; /** * @brief Given a string that identifies a NoC routing algorithm, this From d65c451e32ee45fed894caa9682fd5af77e797d9 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 17:43:03 -0500 Subject: [PATCH 220/608] Add src_router_id argument to get_legal_directions. Odd-even algorithm needs to know where the source router was, so we need to add it as a new argument to get_legal_directions. --- vpr/src/noc/negative_first_routing.cpp | 3 ++- vpr/src/noc/negative_first_routing.h | 3 ++- vpr/src/noc/north_last_routing.cpp | 3 ++- vpr/src/noc/north_last_routing.h | 3 ++- vpr/src/noc/turn_model_routing.cpp | 25 ++++++++++++++++++++----- vpr/src/noc/turn_model_routing.h | 3 ++- vpr/src/noc/west_first_routing.cpp | 3 ++- vpr/src/noc/west_first_routing.h | 3 ++- 8 files changed, 34 insertions(+), 12 deletions(-) diff --git a/vpr/src/noc/negative_first_routing.cpp b/vpr/src/noc/negative_first_routing.cpp index 1b40c3f00a5..f59f0fe34e2 100644 --- a/vpr/src/noc/negative_first_routing.cpp +++ b/vpr/src/noc/negative_first_routing.cpp @@ -3,7 +3,8 @@ NegativeFirstRouting::~NegativeFirstRouting() = default; -const std::vector& NegativeFirstRouting::get_legal_directions(NocRouterId curr_router_id, +const std::vector& NegativeFirstRouting::get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { // get current and destination NoC routers diff --git a/vpr/src/noc/negative_first_routing.h b/vpr/src/noc/negative_first_routing.h index 6ea0834fd82..d55ebad109a 100644 --- a/vpr/src/noc/negative_first_routing.h +++ b/vpr/src/noc/negative_first_routing.h @@ -8,7 +8,8 @@ class NegativeFirstRouting : public TurnModelRouting { ~NegativeFirstRouting() override; private: - const std::vector& get_legal_directions(NocRouterId curr_router_id, + const std::vector& get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) override; diff --git a/vpr/src/noc/north_last_routing.cpp b/vpr/src/noc/north_last_routing.cpp index d6b86569fae..0b012520022 100644 --- a/vpr/src/noc/north_last_routing.cpp +++ b/vpr/src/noc/north_last_routing.cpp @@ -3,7 +3,8 @@ NorthLastRouting::~NorthLastRouting() = default; -const std::vector& NorthLastRouting::get_legal_directions(NocRouterId curr_router_id, +const std::vector& NorthLastRouting::get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { // get current and destination NoC routers diff --git a/vpr/src/noc/north_last_routing.h b/vpr/src/noc/north_last_routing.h index 053f5f007eb..5f643d9a1b0 100644 --- a/vpr/src/noc/north_last_routing.h +++ b/vpr/src/noc/north_last_routing.h @@ -8,7 +8,8 @@ class NorthLastRouting : public TurnModelRouting { ~NorthLastRouting() override; private: - const std::vector& get_legal_directions(NocRouterId curr_router_id, + const std::vector& get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) override; diff --git a/vpr/src/noc/turn_model_routing.cpp b/vpr/src/noc/turn_model_routing.cpp index fbd5800ccbe..4d4d7041892 100644 --- a/vpr/src/noc/turn_model_routing.cpp +++ b/vpr/src/noc/turn_model_routing.cpp @@ -2,7 +2,10 @@ TurnModelRouting::~TurnModelRouting() = default; -size_t TurnModelRouting::get_hash_value(NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id) { +size_t TurnModelRouting::get_hash_value(NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id) { // clear inputs from the last time this function was called inputs_to_murmur3_hahser.clear(); @@ -22,7 +25,11 @@ size_t TurnModelRouting::get_hash_value(NocRouterId src_router_id, NocRouterId d return hash_val; } -void TurnModelRouting::route_flow(NocRouterId src_router_id, NocRouterId dst_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) { +void TurnModelRouting::route_flow(NocRouterId src_router_id, + NocRouterId dst_router_id, + NocTrafficFlowId traffic_flow_id, + std::vector& flow_route, + const NocStorage& noc_model) { // ensure that the route container is empty flow_route.clear(); @@ -54,7 +61,7 @@ void TurnModelRouting::route_flow(NocRouterId src_router_id, NocRouterId dst_rou auto curr_router_pos = curr_router.get_router_physical_location(); // get all directions that moves us closer to the destination router - const auto legal_directions = get_legal_directions(curr_router_id, dst_router_id, noc_model); + const auto legal_directions = get_legal_directions(src_router_id, curr_router_id, dst_router_id, noc_model); // select the next direction from the available options auto next_step_direction = select_next_direction(legal_directions, @@ -78,7 +85,11 @@ void TurnModelRouting::route_flow(NocRouterId src_router_id, NocRouterId dst_rou } } -NocLinkId TurnModelRouting::move_to_next_router(NocRouterId& curr_router_id, const t_physical_tile_loc& curr_router_position, TurnModelRouting::Direction next_step_direction, std::unordered_set& visited_routers, const NocStorage& noc_model) { +NocLinkId TurnModelRouting::move_to_next_router(NocRouterId& curr_router_id, + const t_physical_tile_loc& curr_router_position, + TurnModelRouting::Direction next_step_direction, + std::unordered_set& visited_routers, + const NocStorage& noc_model) { // represents the router that will be visited when taking an outgoing link NocRouterId next_router_id(-1); @@ -106,7 +117,11 @@ NocLinkId TurnModelRouting::move_to_next_router(NocRouterId& curr_router_id, con // get the coordinates of the next router auto next_router_position = next_router.get_router_physical_location(); - // Using the position of the next router we will visit if we take the current link, determine if the travel direction through the link matches the direction the algorithm determined we must travel in. If the directions do not match, then this link is not valid. + /* Using the position of the next router we will visit if we take the current link, + * determine if the travel direction through the link matches + * the direction the algorithm determined we must travel in. + * If the directions do not match, then this link is not valid. + */ switch (next_step_direction) { case TurnModelRouting::Direction::LEFT: if (next_router_position.x < curr_router_position.x) { diff --git a/vpr/src/noc/turn_model_routing.h b/vpr/src/noc/turn_model_routing.h index a772f87cae2..75899dc851c 100644 --- a/vpr/src/noc/turn_model_routing.h +++ b/vpr/src/noc/turn_model_routing.h @@ -40,7 +40,8 @@ class TurnModelRouting : public NocRouting { template inline void hash_combine(std::size_t& seed, T const& v); - virtual const std::vector& get_legal_directions(NocRouterId curr_router_id, + virtual const std::vector& get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) = 0; diff --git a/vpr/src/noc/west_first_routing.cpp b/vpr/src/noc/west_first_routing.cpp index fb36a096353..bd0cda7ff5e 100644 --- a/vpr/src/noc/west_first_routing.cpp +++ b/vpr/src/noc/west_first_routing.cpp @@ -2,7 +2,8 @@ WestFirstRouting::~WestFirstRouting() = default; -const std::vector& WestFirstRouting::get_legal_directions(NocRouterId curr_router_id, +const std::vector& WestFirstRouting::get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { // get current and destination NoC routers diff --git a/vpr/src/noc/west_first_routing.h b/vpr/src/noc/west_first_routing.h index bb60e177c94..2d01fecbfee 100644 --- a/vpr/src/noc/west_first_routing.h +++ b/vpr/src/noc/west_first_routing.h @@ -8,7 +8,8 @@ class WestFirstRouting : public TurnModelRouting { ~WestFirstRouting() override; private: - const std::vector& get_legal_directions(NocRouterId curr_router_id, + const std::vector& get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) override; From 333281878f1a968ff19751567bbf75f310309222 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 17:43:43 -0500 Subject: [PATCH 221/608] Add helper methods to TurnModelRouting for selecting the next direction. --- vpr/src/noc/negative_first_routing.cpp | 16 ++++-------- vpr/src/noc/north_last_routing.cpp | 15 +++++------ vpr/src/noc/turn_model_routing.cpp | 36 ++++++++++++++++++++++++++ vpr/src/noc/turn_model_routing.h | 13 +++++++--- vpr/src/noc/west_first_routing.cpp | 15 +++++------ 5 files changed, 63 insertions(+), 32 deletions(-) diff --git a/vpr/src/noc/negative_first_routing.cpp b/vpr/src/noc/negative_first_routing.cpp index f59f0fe34e2..34af0219e1c 100644 --- a/vpr/src/noc/negative_first_routing.cpp +++ b/vpr/src/noc/negative_first_routing.cpp @@ -98,19 +98,13 @@ TurnModelRouting::Direction NegativeFirstRouting::select_next_direction(const st // compute the probability of going to north/south direction uint32_t ns_probability = delta_y * (max_uint32_t_val / (delta_x + delta_y)); + TurnModelRouting::Direction selected_direction = TurnModelRouting::Direction::INVALID; + if (hash_val < ns_probability) { - for (const auto& direction : legal_directions) { - if (direction == TurnModelRouting::Direction::DOWN || direction == TurnModelRouting::Direction::UP) { - return direction; - } - } + selected_direction = select_vertical_direction(legal_directions); } else { - for (const auto& direction : legal_directions) { - if (direction == TurnModelRouting::Direction::RIGHT || direction == TurnModelRouting::Direction::LEFT) { - return direction; - } - } + selected_direction = select_horizontal_direction(legal_directions); } - return TurnModelRouting::Direction::INVALID; + return selected_direction; } \ No newline at end of file diff --git a/vpr/src/noc/north_last_routing.cpp b/vpr/src/noc/north_last_routing.cpp index 0b012520022..07304090b34 100644 --- a/vpr/src/noc/north_last_routing.cpp +++ b/vpr/src/noc/north_last_routing.cpp @@ -87,16 +87,13 @@ TurnModelRouting::Direction NorthLastRouting::select_next_direction(const std::v // compute the probability of going to the down (south) direction uint32_t south_probability = delta_y * (max_uint32_t_val / (delta_x + delta_y)); + TurnModelRouting::Direction selected_direction = TurnModelRouting::Direction::INVALID; + if (hash_val < south_probability) { // sometimes turn south - return TurnModelRouting::Direction::DOWN; - } - else { // if turning south was rejected, take the other option (east/west) - for (const auto& direction : legal_directions) { - if (direction != TurnModelRouting::Direction::DOWN) { - return direction; - } - } + selected_direction = TurnModelRouting::Direction::DOWN; + } else { // if turning south was rejected, take the other option (east/west) + selected_direction = select_direction_other_than(legal_directions, TurnModelRouting::Direction::DOWN); } - return TurnModelRouting::Direction::INVALID; + return selected_direction; } \ No newline at end of file diff --git a/vpr/src/noc/turn_model_routing.cpp b/vpr/src/noc/turn_model_routing.cpp index 4d4d7041892..e7cbb0d1730 100644 --- a/vpr/src/noc/turn_model_routing.cpp +++ b/vpr/src/noc/turn_model_routing.cpp @@ -202,3 +202,39 @@ uint32_t TurnModelRouting::murmur3_32(const std::vector& key, uint32_t h ^= h >> 16; return h; } + +TurnModelRouting::Direction TurnModelRouting::select_vertical_direction(const std::vector& directions) { + // iterate over the given iterations and return the first vertical one + for (const auto& direction : directions) { + if (direction == TurnModelRouting::Direction::DOWN || direction == TurnModelRouting::Direction::UP) { + return direction; + } + } + + // if there was not any vertical directions, return INVALID + return TurnModelRouting::Direction::INVALID; +} + +TurnModelRouting::Direction TurnModelRouting::select_horizontal_direction(const std::vector& directions) { + // iterate over the given iterations and return the first horizontal one + for (const auto& direction : directions) { + if (direction == TurnModelRouting::Direction::RIGHT || direction == TurnModelRouting::Direction::LEFT) { + return direction; + } + } + + // if there was not any horizontal directions, return INVALID + return TurnModelRouting::Direction::INVALID; +} + +TurnModelRouting::Direction TurnModelRouting::select_direction_other_than(const std::vector& directions, TurnModelRouting::Direction other_than) { + // Iterate over all given directions and return the first one which is not "other_than" + for (const auto& direction : directions) { + if (direction != other_than) { + return direction; + } + } + + // if there was not any direction different from "other_than", return INVALID + return TurnModelRouting::Direction::INVALID; +} diff --git a/vpr/src/noc/turn_model_routing.h b/vpr/src/noc/turn_model_routing.h index 75899dc851c..65bc599868b 100644 --- a/vpr/src/noc/turn_model_routing.h +++ b/vpr/src/noc/turn_model_routing.h @@ -24,15 +24,22 @@ class TurnModelRouting : public NocRouting { INVALID /*!< Invalid direction*/ }; + size_t get_hash_value(NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id); + + TurnModelRouting::Direction select_vertical_direction(const std::vector& directions); + + TurnModelRouting::Direction select_horizontal_direction(const std::vector& directions); + + TurnModelRouting::Direction select_direction_other_than(const std::vector& directions, + TurnModelRouting::Direction other_than); + + private: NocLinkId move_to_next_router(NocRouterId& curr_router_id, const t_physical_tile_loc& curr_router_position, TurnModelRouting::Direction next_step_direction, std::unordered_set& visited_routers, const NocStorage& noc_model); - size_t get_hash_value(NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id); - - private: inline uint32_t murmur_32_scramble(uint32_t k); uint32_t murmur3_32(const std::vector& key, uint32_t seed); diff --git a/vpr/src/noc/west_first_routing.cpp b/vpr/src/noc/west_first_routing.cpp index bd0cda7ff5e..abab8d33374 100644 --- a/vpr/src/noc/west_first_routing.cpp +++ b/vpr/src/noc/west_first_routing.cpp @@ -84,16 +84,13 @@ TurnModelRouting::Direction WestFirstRouting::select_next_direction(const std::v // compute the probability of going to the right direction uint32_t east_probability = delta_x * (max_uint32_t_val / (delta_x + delta_y)); + TurnModelRouting::Direction selected_direction = TurnModelRouting::Direction::INVALID; + if (hash_val < east_probability) { // sometimes turn right - return TurnModelRouting::Direction::RIGHT; - } - else { // if turning right was rejected, take the other option (north or south) - for (const auto& direction : legal_directions) { - if (direction != TurnModelRouting::Direction::RIGHT) { - return direction; - } - } + selected_direction = TurnModelRouting::Direction::RIGHT; + } else { // if turning right was rejected, take the other option (north or south) + selected_direction = select_direction_other_than(legal_directions, TurnModelRouting::Direction::RIGHT); } - return TurnModelRouting::Direction::INVALID; + return selected_direction; } \ No newline at end of file From a03716096293cb12b4597b3eb3e997ebfa0ec8a3 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 17:46:26 -0500 Subject: [PATCH 222/608] Add odd even routing for NoC --- vpr/src/noc/odd_even_routing.cpp | 147 +++++++++++++++++++++++++++++++ vpr/src/noc/odd_even_routing.h | 27 ++++++ 2 files changed, 174 insertions(+) create mode 100644 vpr/src/noc/odd_even_routing.cpp create mode 100644 vpr/src/noc/odd_even_routing.h diff --git a/vpr/src/noc/odd_even_routing.cpp b/vpr/src/noc/odd_even_routing.cpp new file mode 100644 index 00000000000..82a9b4950d6 --- /dev/null +++ b/vpr/src/noc/odd_even_routing.cpp @@ -0,0 +1,147 @@ + +#include "odd_even_routing.h" +#include "globals.h" +#include "move_utils.h" + +OddEvenRouting::~OddEvenRouting() = default; + +const std::vector& OddEvenRouting::get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) { + // used to access NoC compressed grid + auto& place_ctx = g_vpr_ctx.placement(); + // used to get NoC logical block type + auto& cluster_ctx = g_vpr_ctx.clustering(); + // used to get the clustered block ID of a NoC router + auto& noc_ctx = g_vpr_ctx.noc(); + // get number of layers + const int num_layers = g_vpr_ctx.device().grid.get_num_layers(); + + // Get the logical block type for router + const auto router_block_type = cluster_ctx.clb_nlist.block_type(noc_ctx.noc_traffic_flows_storage.get_router_clusters_in_netlist()[0]); + + // Get the compressed grid for NoC + const auto& compressed_noc_grid = place_ctx.compressed_block_grids[router_block_type->index]; + + // get source, current, and destination NoC routers + const auto& src_router = noc_model.get_single_noc_router(src_router_id); + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // get the position of source, current, and destination NoC routers + const auto src_router_pos = src_router.get_router_physical_location(); + const auto curr_router_pos = curr_router.get_router_physical_location(); + const auto dst_router_pos = dst_router.get_router_physical_location(); + + // get the compressed location for source, current, and destination NoC routers + auto compressed_src_loc = get_compressed_loc_approx(compressed_noc_grid,t_pl_loc{src_router_pos, 0}, num_layers)[src_router_pos.layer_num]; + auto compressed_curr_loc = get_compressed_loc_approx(compressed_noc_grid,t_pl_loc{curr_router_pos, 0}, num_layers)[curr_router_pos.layer_num]; + auto compressed_dst_loc = get_compressed_loc_approx(compressed_noc_grid,t_pl_loc{dst_router_pos, 0}, num_layers)[dst_router_pos.layer_num]; + + // clear returned legal directions from the previous call + returned_legal_direction.clear(); + + // calculate the distance between the current router and the destination + const int diff_x = compressed_dst_loc.x - compressed_curr_loc.x; + const int diff_y = compressed_dst_loc.y - compressed_curr_loc.y; + + /* The implementation below is a carbon copy of the Fig. 2 in the following paper + * Chiu GM. The odd-even turn model for adaptive routing. + * IEEE Transactions on parallel and distributed systems. 2000 Jul;11(7):729-38. + */ + if (diff_x == 0) { // the same column as the destination. Only north or south are allowed + if (diff_y > 0) { + returned_legal_direction.push_back(TurnModelRouting::Direction::UP); + } else { + returned_legal_direction.push_back(TurnModelRouting::Direction::DOWN); + } + } else { // currently in a different column than the destination + if (diff_x > 0) { // eastbound message + if (diff_y == 0) { // already in the same row as the destination. Just move to the east + returned_legal_direction.push_back(TurnModelRouting::Direction::RIGHT); + } else { + if (is_odd(compressed_curr_loc.x) || compressed_curr_loc.x == compressed_src_loc.x) { + if (diff_y > 0) { + returned_legal_direction.push_back(TurnModelRouting::Direction::UP); + } else { + returned_legal_direction.push_back(TurnModelRouting::Direction::DOWN); + } + } + // the destination column is odd and there are more than 1 column left to destination + if (is_odd(compressed_dst_loc.x) || diff_x != 1) { + returned_legal_direction.push_back(TurnModelRouting::Direction::RIGHT); + } + } + } else { // westbound message + returned_legal_direction.push_back(TurnModelRouting::Direction::LEFT); + if (is_even(compressed_curr_loc.x)) { + if (diff_y > 0) { + returned_legal_direction.push_back(TurnModelRouting::Direction::UP); + } else { + returned_legal_direction.push_back(TurnModelRouting::Direction::DOWN); + } + } + } + } + + return returned_legal_direction; +} + +TurnModelRouting::Direction OddEvenRouting::select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) { + // if there is only one legal direction, take it + if (legal_directions.size() == 1) { + return legal_directions[0]; + } + + // get current and destination NoC routers + const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); + const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); + + // get the position of current and destination NoC routers + const auto curr_router_pos = curr_router.get_router_physical_location(); + const auto dst_router_pos = dst_router.get_router_physical_location(); + + /* get_legal_directions() proposes legal directions for minimal routing. + * Therefore, if the function reaches this point, there are two available + * directions: a vertical (N/S) and a horizontal (W/E) direction. + * The direction is chosen based on the distance to the destination + * in each co-ordinate. + */ + + // compute the hash value + uint32_t hash_val = get_hash_value(src_router_id, dst_router_id, curr_router_id, traffic_flow_id); + // get the maximum value that can be represented by size_t + const uint32_t max_uint32_t_val = std::numeric_limits::max(); + + // get the distance from the current router to the destination in each coordination + int delta_x = abs(dst_router_pos.x - curr_router_pos.x); + int delta_y = abs(dst_router_pos.y - curr_router_pos.y); + + // compute the probability of choosing the vertical direction + uint32_t vertical_probability = delta_y * (max_uint32_t_val / (delta_x + delta_y)); + + TurnModelRouting::Direction selected_direction = TurnModelRouting::Direction::INVALID; + + // choose whether move vertically or horizontally + if (hash_val < vertical_probability) { + selected_direction = select_vertical_direction(legal_directions); + } else { + selected_direction = select_horizontal_direction(legal_directions); + } + + return selected_direction; +} + +bool OddEvenRouting::is_odd(int number) { + return (number % 2) == 1; +} + +bool OddEvenRouting::is_even(int number) { + return (number % 2) == 0; +} diff --git a/vpr/src/noc/odd_even_routing.h b/vpr/src/noc/odd_even_routing.h new file mode 100644 index 00000000000..102940446ef --- /dev/null +++ b/vpr/src/noc/odd_even_routing.h @@ -0,0 +1,27 @@ +#ifndef VTR_ODD_EVEN_ROUTING_H +#define VTR_ODD_EVEN_ROUTING_H + +#include "turn_model_routing.h" + +class OddEvenRouting : public TurnModelRouting{ + public: + ~OddEvenRouting() override; + + private: + const std::vector& get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) override; + + TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) override; + + static inline bool is_odd(int number); + static inline bool is_even(int number); +}; + +#endif //VTR_ODD_EVEN_ROUTING_H From f4ee66c6f8d44b5e479c1365e30e37ca4050cce0 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 7 Feb 2024 17:47:03 -0500 Subject: [PATCH 223/608] Add odd_oven_routing option to command line. --- vpr/src/base/read_options.cpp | 3 ++- vpr/src/noc/bfs_routing.cpp | 2 +- vpr/src/noc/noc_routing_algorithm_creator.cpp | 2 ++ vpr/src/noc/noc_routing_algorithm_creator.h | 3 ++- vpr/src/noc/xy_routing.cpp | 14 +++++++++++--- vpr/src/noc/xy_routing.h | 3 ++- 6 files changed, 20 insertions(+), 7 deletions(-) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 5eeaac5ee2a..2cb19c11645 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2795,7 +2795,8 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg "* bfs_routing: Uses the breadth first search algorithm. The objective is to find a route that uses a minimum number of links.\n" "This can be used with any NoC topology\n") .default_value("bfs_routing") - .choices({"xy_routing", "bfs_routing", "west_first_routing", "north_last_routing", "negative_first_routing"}) + .choices({"xy_routing", "bfs_routing", "west_first_routing", "north_last_routing", "negative_first_routing", + "odd_even_routing"}) .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_placement_weighting, "--noc_placement_weighting") diff --git a/vpr/src/noc/bfs_routing.cpp b/vpr/src/noc/bfs_routing.cpp index 8767031639c..632d01b985f 100644 --- a/vpr/src/noc/bfs_routing.cpp +++ b/vpr/src/noc/bfs_routing.cpp @@ -2,7 +2,7 @@ #include "bfs_routing.h" -BFSRouting::~BFSRouting() {} +BFSRouting::~BFSRouting() = default; void BFSRouting::route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) { const NocRouter& src_router = noc_model.get_single_noc_router(src_router_id); diff --git a/vpr/src/noc/noc_routing_algorithm_creator.cpp b/vpr/src/noc/noc_routing_algorithm_creator.cpp index c91e3658247..2a7d2ec569a 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.cpp +++ b/vpr/src/noc/noc_routing_algorithm_creator.cpp @@ -15,6 +15,8 @@ std::unique_ptr NocRoutingAlgorithmCreator::create_routing_algorithm noc_routing_algorithm = std::make_unique(); } else if (routing_algorithm_name == "negative_first_routing") { noc_routing_algorithm = std::make_unique(); + } else if (routing_algorithm_name == "odd_even_routing") { + noc_routing_algorithm = std::make_unique(); } else { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "The provided NoC routing algorithm '%s' is not supported.", routing_algorithm_name.c_str()); } diff --git a/vpr/src/noc/noc_routing_algorithm_creator.h b/vpr/src/noc/noc_routing_algorithm_creator.h index 457f392c3ae..a784cd4ceea 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.h +++ b/vpr/src/noc/noc_routing_algorithm_creator.h @@ -26,6 +26,7 @@ #include "west_first_routing.h" #include "north_last_routing.h" #include "negative_first_routing.h" +#include "odd_even_routing.h" class NocRoutingAlgorithmCreator { public: @@ -41,7 +42,7 @@ class NocRoutingAlgorithmCreator { * * @param routing_algorithm_name A user provided string that identifies a * NoC routing algorithm - * @return NocRouting* A reference to the created NoC routing algorithm + * @return std::unique_ptr A reference to the created NoC routing algorithm */ static std::unique_ptr create_routing_algorithm(const std::string& routing_algorithm_name); }; diff --git a/vpr/src/noc/xy_routing.cpp b/vpr/src/noc/xy_routing.cpp index e0e8cc86046..45c540543c8 100644 --- a/vpr/src/noc/xy_routing.cpp +++ b/vpr/src/noc/xy_routing.cpp @@ -5,9 +5,12 @@ #include "globals.h" #include "vpr_error.h" -XYRouting::~XYRouting() {} +XYRouting::~XYRouting() = default; -const std::vector& XYRouting::get_legal_directions(NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { +const std::vector& XYRouting::get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, + NocRouterId dst_router_id, + const NocStorage& noc_model) { // get current and destination NoC routers const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); @@ -27,7 +30,12 @@ const std::vector& XYRouting::get_legal_directions( } } -TurnModelRouting::Direction XYRouting::select_next_direction(const std::vector& legal_directions, NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model) { +TurnModelRouting::Direction XYRouting::select_next_direction(const std::vector& legal_directions, + NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id, + const NocStorage& noc_model) { // get current and destination NoC routers const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); const auto& dst_router = noc_model.get_single_noc_router(dst_router_id); diff --git a/vpr/src/noc/xy_routing.h b/vpr/src/noc/xy_routing.h index 6ffb2790cd4..9f3aade835e 100644 --- a/vpr/src/noc/xy_routing.h +++ b/vpr/src/noc/xy_routing.h @@ -116,7 +116,8 @@ class XYRouting : public TurnModelRouting { // internally used helper functions private: - const std::vector& get_legal_directions(NocRouterId curr_router_id, + const std::vector& get_legal_directions(NocRouterId src_router_id, + NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) override; From c5764bc234ff078077b572a87100ae04e67067bf Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 7 Feb 2024 17:47:54 -0500 Subject: [PATCH 224/608] vpr: place_delay_model: remove redundant parameters from simple place delay model --- vpr/src/place/place_delay_model.h | 17 ++++++++++------- vpr/src/place/timing_place_lookup.cpp | 7 +++---- 2 files changed, 13 insertions(+), 11 deletions(-) diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h index 464b2709b2e..7f5a916db1f 100644 --- a/vpr/src/place/place_delay_model.h +++ b/vpr/src/place/place_delay_model.h @@ -222,10 +222,7 @@ class OverrideDelayModel : public PlaceDelayModel { /// This is in contrast to other placement delay models that get the cost of getting from one location to another by running the router class SimpleDelayModel : public PlaceDelayModel { public: - SimpleDelayModel(float min_cross_layer_delay, - bool is_flat) - : cross_layer_delay_(min_cross_layer_delay) - , is_flat_(is_flat) {} + SimpleDelayModel() {} void compute( RouterDelayProfiler& router, @@ -239,8 +236,14 @@ class SimpleDelayModel : public PlaceDelayModel { void write(const std::string& /*file*/) const override {} private: - // [physical_type_idx][from_layer_num][to_layer_num][dx][dy] + /** + * @brief The matrix to store the minimum delay between different points on different layers. + * + *The matrix used to store delay information is a 5D matrix. This data structure stores the minimum delay for each tile type on each layer to other layers + *for each dx and dy. We decided to separate the delay for each physical type on each die to accommodate cases where the connectivity of a physical type differs + *on each layer. Additionally, instead of using d_layer, we distinguish between the destination layer to handle scenarios where connectivity between layers + *is not uniform. For example, if the number of inter-layer connections between layer 1 and 2 differs from the number of connections between layer 0 and 1. + *One might argue that this variability could also occur for dx and dy. However, we are operating under the assumption that the FPGA fabric architecture is regular. + */ vtr::NdMatrix delays_; // [0..num_physical_type-1][0..num_layers-1][0..num_layers-1][0..max_dx][0..max_dy] - float cross_layer_delay_; - bool is_flat_; }; diff --git a/vpr/src/place/timing_place_lookup.cpp b/vpr/src/place/timing_place_lookup.cpp index a5cb3b5cf08..dbffdac5b61 100644 --- a/vpr/src/place/timing_place_lookup.cpp +++ b/vpr/src/place/timing_place_lookup.cpp @@ -202,11 +202,10 @@ std::unique_ptr compute_place_delay_model(const t_placer_opts& /*now setup and compute the actual arrays */ std::unique_ptr place_delay_model; - float min_cross_layer_delay = get_min_cross_layer_delay(arch_switch_inf, - segment_inf, - det_routing_arch->wire_to_arch_ipin_switch_between_dice); + float min_cross_layer_delay = get_min_cross_layer_delay(); + if (placer_opts.delay_model_type == PlaceDelayModelType::SIMPLE) { - place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); + place_delay_model = std::make_unique(); } else if(placer_opts.delay_model_type == PlaceDelayModelType::DELTA) { place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); } else if (placer_opts.delay_model_type == PlaceDelayModelType::DELTA_OVERRIDE) { From 4e1ec4acb7e3de36ab341baf6735f80701b420f3 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 7 Feb 2024 18:29:33 -0500 Subject: [PATCH 225/608] vpr: place delay model: remove unused var --- vpr/src/place/place.cpp | 1 - vpr/src/place/place_delay_model.cpp | 2 -- vpr/src/place/place_delay_model.h | 8 ++++++-- vpr/src/place/timing_place_lookup.cpp | 1 - vpr/src/place/timing_place_lookup.h | 1 - vpr/src/util/vpr_utils.cpp | 1 - 6 files changed, 6 insertions(+), 8 deletions(-) diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 203d5d6cac8..25894fa952b 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -619,7 +619,6 @@ void try_place(const Netlist<>& net_list, if (placer_opts.place_algorithm.is_timing_driven()) { /*do this before the initial placement to avoid messing up the initial placement */ place_delay_model = alloc_lookups_and_delay_model(net_list, - device_ctx.arch_switch_inf, chan_width_dist, placer_opts, router_opts, diff --git a/vpr/src/place/place_delay_model.cpp b/vpr/src/place/place_delay_model.cpp index 8c3a1f1aeed..8f9e440d621 100644 --- a/vpr/src/place/place_delay_model.cpp +++ b/vpr/src/place/place_delay_model.cpp @@ -327,7 +327,6 @@ void OverrideDelayModel::write(const std::string& file) const { ///@brief Initialize the placer delay model. std::unique_ptr alloc_lookups_and_delay_model(const Netlist<>& net_list, - const std::vector& arch_switch_inf, t_chan_width_dist chan_width_dist, const t_placer_opts& placer_opts, const t_router_opts& router_opts, @@ -339,7 +338,6 @@ std::unique_ptr alloc_lookups_and_delay_model(const Netlist<>& return compute_place_delay_model(placer_opts, router_opts, net_list, - arch_switch_inf, det_routing_arch, segment_inf, chan_width_dist, diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h index 7f5a916db1f..0767e912ced 100644 --- a/vpr/src/place/place_delay_model.h +++ b/vpr/src/place/place_delay_model.h @@ -29,7 +29,6 @@ class PlaceDelayModel; ///@brief Initialize the placer delay model. std::unique_ptr alloc_lookups_and_delay_model(const Netlist<>& net_list, - const std::vector& arch_switch_inf, t_chan_width_dist chan_width_dist, const t_placer_opts& place_opts, const t_router_opts& router_opts, @@ -144,8 +143,13 @@ class OverrideDelayModel : public PlaceDelayModel { private: std::unique_ptr base_delay_model_; - /* Minimum delay of cross-layer connections */ + /** + * @brief Minimum delay of cross-layer connections + */ float cross_layer_delay_; + /** + * @brief + */ bool is_flat_; void compute_override_delay_model(RouterDelayProfiler& router, diff --git a/vpr/src/place/timing_place_lookup.cpp b/vpr/src/place/timing_place_lookup.cpp index dbffdac5b61..6872b52bd9c 100644 --- a/vpr/src/place/timing_place_lookup.cpp +++ b/vpr/src/place/timing_place_lookup.cpp @@ -174,7 +174,6 @@ static float find_neightboring_average(vtr::NdMatrix& matrix, t_physic std::unique_ptr compute_place_delay_model(const t_placer_opts& placer_opts, const t_router_opts& router_opts, const Netlist<>& net_list, - const std::vector& arch_switch_inf, t_det_routing_arch* det_routing_arch, std::vector& segment_inf, t_chan_width_dist chan_width_dist, diff --git a/vpr/src/place/timing_place_lookup.h b/vpr/src/place/timing_place_lookup.h index f9efe7fc933..30e1a8ae01a 100644 --- a/vpr/src/place/timing_place_lookup.h +++ b/vpr/src/place/timing_place_lookup.h @@ -5,7 +5,6 @@ std::unique_ptr compute_place_delay_model(const t_placer_opts& placer_opts, const t_router_opts& router_opts, const Netlist<>& net_list, - const std::vector& arch_switch_inf, t_det_routing_arch* det_routing_arch, std::vector& segment_inf, t_chan_width_dist chan_width_dist, diff --git a/vpr/src/util/vpr_utils.cpp b/vpr/src/util/vpr_utils.cpp index aa74e71430c..16dd1cf3c81 100644 --- a/vpr/src/util/vpr_utils.cpp +++ b/vpr/src/util/vpr_utils.cpp @@ -2511,7 +2511,6 @@ void add_pb_child_to_list(std::list& pb_list, const t_pb* parent_pb float get_min_cross_layer_delay() { const auto& rr_graph = g_vpr_ctx.device().rr_graph; - const auto& rr_switch_inf = g_vpr_ctx.device().rr_graph.rr_switch(); float min_delay = std::numeric_limits::max(); From addac77d29012c2dbaae363c963d4b1358104695 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Wed, 7 Feb 2024 18:30:40 -0500 Subject: [PATCH 226/608] vpr: make formate --- vpr/src/base/read_options.cpp | 4 +-- vpr/src/base/vpr_types.h | 8 ++--- vpr/src/place/timing_place_lookup.cpp | 2 +- vpr/src/route/router_lookahead.cpp | 2 +- .../route/router_lookahead_compressed_map.cpp | 30 ++++++++----------- .../route/router_lookahead_compressed_map.h | 6 ++-- vpr/src/route/router_lookahead_map.cpp | 19 +++++------- vpr/src/route/router_lookahead_map.h | 8 ++--- vpr/src/route/router_lookahead_map_utils.cpp | 23 ++++++-------- vpr/src/util/vpr_utils.cpp | 3 +- 10 files changed, 46 insertions(+), 59 deletions(-) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 824f0eacc2b..e75780e51e5 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -932,8 +932,8 @@ struct ParseRouterLookahead { } else if (val == e_router_lookahead::COMPRESSED_MAP) { conv_value.set_value("compressed_map"); } else { - VTR_ASSERT(val == e_router_lookahead::EXTENDED_MAP); - conv_value.set_value("extended_map"); + VTR_ASSERT(val == e_router_lookahead::EXTENDED_MAP); + conv_value.set_value("extended_map"); } return conv_value; } diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index 3fd9f7d5159..94c0502a836 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -119,11 +119,11 @@ constexpr auto INVALID_BLOCK_ID = ClusterBlockId(-2); #endif enum class e_router_lookahead { - CLASSIC, /// compute_place_delay_model(const t_placer_opts& if (placer_opts.delay_model_type == PlaceDelayModelType::SIMPLE) { place_delay_model = std::make_unique(); - } else if(placer_opts.delay_model_type == PlaceDelayModelType::DELTA) { + } else if (placer_opts.delay_model_type == PlaceDelayModelType::DELTA) { place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); } else if (placer_opts.delay_model_type == PlaceDelayModelType::DELTA_OVERRIDE) { place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); diff --git a/vpr/src/route/router_lookahead.cpp b/vpr/src/route/router_lookahead.cpp index d0ab4271fb1..10e422d675f 100644 --- a/vpr/src/route/router_lookahead.cpp +++ b/vpr/src/route/router_lookahead.cpp @@ -18,7 +18,7 @@ static std::unique_ptr make_router_lookahead_object(const t_det } else if (router_lookahead_type == e_router_lookahead::MAP) { return std::make_unique(det_routing_arch, is_flat); } else if (router_lookahead_type == e_router_lookahead::COMPRESSED_MAP) { - return std::make_unique(det_routing_arch, is_flat); + return std::make_unique(det_routing_arch, is_flat); } else if (router_lookahead_type == e_router_lookahead::EXTENDED_MAP) { return std::make_unique(is_flat); } else if (router_lookahead_type == e_router_lookahead::NO_OP) { diff --git a/vpr/src/route/router_lookahead_compressed_map.cpp b/vpr/src/route/router_lookahead_compressed_map.cpp index 891a02a5faa..29a39bf7af6 100644 --- a/vpr/src/route/router_lookahead_compressed_map.cpp +++ b/vpr/src/route/router_lookahead_compressed_map.cpp @@ -75,22 +75,22 @@ static int initialize_compressed_loc_structs(const std::vector& s int sample_point_num = 0; for (int x = 0; x < grid_width;) { int x_step = -1; - if (x < 2*max_seg_lenght) { + if (x < 2 * max_seg_lenght) { x_step = 1; - } else if (x < 4*max_seg_lenght) { + } else if (x < 4 * max_seg_lenght) { x_step = 2; - } else if (x < 8*max_seg_lenght) { + } else if (x < 8 * max_seg_lenght) { x_step = 4; } else { x_step = 8; } for (int y = 0; y < grid_height;) { int y_step = -1; - if (y < 2*max_seg_lenght) { + if (y < 2 * max_seg_lenght) { y_step = 1; - } else if (y < 4*max_seg_lenght) { + } else if (y < 4 * max_seg_lenght) { y_step = 2; - } else if (y < 8*max_seg_lenght) { + } else if (y < 8 * max_seg_lenght) { y_step = 4; } else { y_step = 8; @@ -120,7 +120,7 @@ static int initialize_compressed_loc_structs(const std::vector& s return sample_point_num; } -static void compute_router_wire_compressed_lookahead(const std::vector& segment_inf_vec) { +static void compute_router_wire_compressed_lookahead(const std::vector& segment_inf_vec) { vtr::ScopedStartFinishTimer timer("Computing wire lookahead"); const auto& device_ctx = g_vpr_ctx.device(); @@ -167,11 +167,11 @@ static void compute_router_wire_compressed_lookahead(const std::vector (0,0) so some entries that represent a cross-chip distance have not been computed) */ + * a reference coordinate > (0,0) so some entries that represent a cross-chip distance have not been computed) */ fill_in_missing_compressed_lookahead_entries(sorted_sample_loc, segment_inf.seg_index, chan_type); } } @@ -198,8 +198,7 @@ static void set_compressed_lookahead_map_costs(int from_layer_num, int segment_i int compressed_idx = compressed_loc_index_map[ix][iy]; VTR_ASSERT(compressed_idx != OPEN); - f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer][compressed_idx] = - expansion_cost_entry.get_representative_cost_entry(util::e_representative_entry_method::SMALLEST); + f_compressed_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer][compressed_idx] = expansion_cost_entry.get_representative_cost_entry(util::e_representative_entry_method::SMALLEST); } } } @@ -397,8 +396,6 @@ static util::Cost_Entry get_wire_cost_entry_compressed_lookahead(e_rr_type rr_ty return f_compressed_wire_cost_map[from_layer_num][chan_index][seg_index][to_layer_num][compressed_idx]; } - - /******** Interface class member function definitions ********/ CompressedMapLookahead::CompressedMapLookahead(const t_det_routing_arch& det_routing_arch, bool is_flat) : det_routing_arch_(det_routing_arch) @@ -425,9 +422,9 @@ float CompressedMapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId } std::pair CompressedMapLookahead::get_expected_delay_and_cong(RRNodeId from_node, - RRNodeId to_node, - const t_conn_cost_params& params, - float /* R_upstream */) const { + RRNodeId to_node, + const t_conn_cost_params& params, + float /* R_upstream */) const { auto& device_ctx = g_vpr_ctx.device(); auto& rr_graph = device_ctx.rr_graph; @@ -513,7 +510,6 @@ std::pair CompressedMapLookahead::get_expected_delay_and_cong(RRNo } return std::make_pair(expected_delay_cost, expected_cong_cost); - } void CompressedMapLookahead::compute(const std::vector& segment_inf) { diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index 11b6d52b5ff..53360b86b0f 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -68,8 +68,8 @@ class CompressedMapLookahead : public RouterLookahead { // an index. That index should be used here. typedef vtr::NdMatrix t_compressed_wire_cost_map; //[0..num_layers][0..1][[0..num_seg_types-1][0..num_layers][compressed_idx] - //[0..1] entry distinguish between CHANX/CHANY start nodes respectively - // The first index is the layer number that the node under consideration is on, and the forth index - // is the layer number that the target node is on. + //[0..1] entry distinguish between CHANX/CHANY start nodes respectively + // The first index is the layer number that the node under consideration is on, and the forth index + // is the layer number that the target node is on. #endif //VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 65d9cfccb2d..7ba6874d4b8 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -181,7 +181,6 @@ float MapLookahead::get_expected_cost(RRNodeId current_node, RRNodeId target_nod } float MapLookahead::get_expected_cost_flat_router(RRNodeId current_node, RRNodeId target_node, const t_conn_cost_params& params, float R_upstream) const { - auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; @@ -526,16 +525,15 @@ static void compute_router_wire_lookahead(const std::vector& segm } /* boil down the cost list in routing_cost_map at each coordinate to a representative cost entry and store it in the lookahead - * cost map */ + * cost map */ set_lookahead_map_costs(from_layer_num, segment_inf.seg_index, chan_type, routing_cost_map); /* fill in missing entries in the lookahead cost map by copying the closest cost entries (cost map was computed based on - * a reference coordinate > (0,0) so some entries that represent a cross-chip distance have not been computed) */ + * a reference coordinate > (0,0) so some entries that represent a cross-chip distance have not been computed) */ fill_in_missing_lookahead_entries(segment_inf.seg_index, chan_type); } } } - } /* sets the lookahead cost map entries based on representative cost entries from routing_cost_map */ @@ -551,8 +549,7 @@ static void set_lookahead_map_costs(int from_layer_num, int segment_index, e_rr_ for (unsigned iy = 0; iy < routing_cost_map.dim_size(2); iy++) { util::Expansion_Cost_Entry& expansion_cost_entry = routing_cost_map[to_layer][ix][iy]; - f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer][ix][iy] = - expansion_cost_entry.get_representative_cost_entry(util::e_representative_entry_method::SMALLEST); + f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer][ix][iy] = expansion_cost_entry.get_representative_cost_entry(util::e_representative_entry_method::SMALLEST); } } } @@ -651,12 +648,12 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(int from_layer_n float neighbour_delay_sum = 0; float neighbour_cong_sum = 0; std::array window = {-1, 0, 1}; - for (int dx: window) { + for (int dx : window) { int neighbour_x = missing_dx + dx; if (neighbour_x < 0 || neighbour_x >= (int)f_wire_cost_map.dim_size(4)) { continue; } - for (int dy: window) { + for (int dy : window) { int neighbour_y = missing_dy + dy; if (neighbour_y < 0 || neighbour_y >= (int)f_wire_cost_map.dim_size(5)) { continue; @@ -772,9 +769,9 @@ static void min_chann_global_cost_map(vtr::NdMatrix& distan int width = (int)g_vpr_ctx.device().grid.width(); int height = (int)g_vpr_ctx.device().grid.height(); distance_min_cost.resize({static_cast(num_layers), - static_cast(num_layers), - static_cast(width), - static_cast(height)}); + static_cast(num_layers), + static_cast(width), + static_cast(height)}); for (int from_layer_num = 0; from_layer_num < num_layers; from_layer_num++) { for (int to_layer_num = 0; to_layer_num < num_layers; to_layer_num++) { diff --git a/vpr/src/route/router_lookahead_map.h b/vpr/src/route/router_lookahead_map.h index 48c960fac69..de48d99e37b 100644 --- a/vpr/src/route/router_lookahead_map.h +++ b/vpr/src/route/router_lookahead_map.h @@ -20,7 +20,7 @@ class MapLookahead : public RouterLookahead { std::unordered_map> tile_min_cost; // [physical_tile_type][sink_physical_num] -> cost // Lookup table to store the minimum cost for each dx and dy vtr::NdMatrix chann_distance_based_min_cost; // [from_layer_num][to_layer_num][dx][dy] -> cost - vtr::NdMatrix opin_distance_based_min_cost; // [physical_tile_idx][from_layer_num][to_layer_num][dx][dy] -> cost + vtr::NdMatrix opin_distance_based_min_cost; // [physical_tile_idx][from_layer_num][to_layer_num][dx][dy] -> cost const t_det_routing_arch& det_routing_arch_; bool is_flat_; @@ -45,9 +45,9 @@ class MapLookahead : public RouterLookahead { // that the node under consideration belongs to, the third is the segment type (specified in the architecture file under the "segmentlist" tag), the fourth is the // target "layer_num" mentioned above, the fifth is dx, and the last one is dy. typedef vtr::NdMatrix t_wire_cost_map; //[0..num_layers][0..1][[0..num_seg_types-1][0..num_layers][0..device_ctx.grid.width()-1][0..device_ctx.grid.height()-1] - //[0..1] entry distinguish between CHANX/CHANY start nodes respectively - // The first index is the layer number that the node under consideration is on, and the forth index - // is the layer number that the target node is on. + //[0..1] entry distinguish between CHANX/CHANY start nodes respectively + // The first index is the layer number that the node under consideration is on, and the forth index + // is the layer number that the target node is on. void read_router_lookahead(const std::string& file); void write_router_lookahead(const std::string& file); diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 232358ac527..f34b45db594 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -47,9 +47,9 @@ static void run_dijkstra(RRNodeId start_node, /* iterates over the children of the specified node and selectively pushes them onto the priority queue */ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, - vtr::vector& node_visited_costs, - vtr::vector& node_expanded, - std::priority_queue& pq); + vtr::vector& node_visited_costs, + vtr::vector& node_expanded, + std::priority_queue& pq); static void adjust_rr_position(const RRNodeId rr, int& x, int& y); @@ -59,7 +59,6 @@ static void adjust_rr_wire_position(const RRNodeId rr, int& x, int& y); static void adjust_rr_src_sink_position(const RRNodeId rr, int& x, int& y); - // Constants needed to reduce the bounding box when expanding CHAN wires to reach the IPINs. // These are used when finding all the delays to get to the IPINs of all the different tile types // of the device. @@ -658,7 +657,6 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, const auto& rr_graph = device_ctx.rr_graph; const auto& grid = device_ctx.grid; - //Start sampling at the lower left non-corner int ref_x = 1; int ref_y = 1; @@ -707,8 +705,8 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, for (int track_offset = 0; track_offset < MAX_TRACK_OFFSET; track_offset += 2) { /* get the rr node index from which to start routing */ RRNodeId start_node = get_start_node(from_layer_num, sample_x, sample_y, - target_x, target_y, //non-corner upper right - chan_type, segment_inf.seg_index, track_offset); + target_x, target_y, //non-corner upper right + chan_type, segment_inf.seg_index, track_offset); if (!start_node) { continue; @@ -746,7 +744,6 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, } } - //Finally, now that we have a list of sample locations, run a Djikstra flood from //each sample location to profile the routing network from this type @@ -850,7 +847,6 @@ std::pair get_cost_from_src_opin(const std::map& dim_sizes, WireCostCallBackFunction wire_cost_func) { VTR_ASSERT(vtr::check_file_name_extension(file_name, ".csv")); const auto& grid = g_vpr_ctx.device().grid; - int num_layers = grid.get_num_layers(); int grid_width = static_cast(grid.width()); @@ -898,7 +894,6 @@ void dump_readable_router_lookahead_map(const std::string& file_name, const std: } } - } // namespace util static void dijkstra_flood_to_wires(int itile, @@ -1383,9 +1378,9 @@ static void run_dijkstra(RRNodeId start_node, /* iterates over the children of the specified node and selectively pushes them onto the priority queue */ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, - vtr::vector& node_visited_costs, - vtr::vector& node_expanded, - std::priority_queue& pq) { + vtr::vector& node_visited_costs, + vtr::vector& node_expanded, + std::priority_queue& pq) { auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; @@ -1413,7 +1408,7 @@ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, } util::PQ_Entry child_entry(child_node, switch_ind, parent_entry.delay, - parent_entry.R_upstream, parent_entry.congestion_upstream, false); + parent_entry.R_upstream, parent_entry.congestion_upstream, false); //VTR_ASSERT(child_entry.cost >= 0); //Asertion fails in practise. TODO: debug diff --git a/vpr/src/util/vpr_utils.cpp b/vpr/src/util/vpr_utils.cpp index 16dd1cf3c81..5819485e254 100644 --- a/vpr/src/util/vpr_utils.cpp +++ b/vpr/src/util/vpr_utils.cpp @@ -2513,8 +2513,7 @@ float get_min_cross_layer_delay() { const auto& rr_graph = g_vpr_ctx.device().rr_graph; float min_delay = std::numeric_limits::max(); - - for (const auto& driver_node: rr_graph.nodes()) { + for (const auto& driver_node : rr_graph.nodes()) { for (size_t edge_id = 0; edge_id < rr_graph.num_edges(driver_node); edge_id++) { const auto& sink_node = rr_graph.edge_sink_node(driver_node, edge_id); if (rr_graph.node_layer(driver_node) != rr_graph.node_layer(sink_node)) { From b7ee5f8fdcb682a10f21cb532cd12abb827d8bd6 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 8 Feb 2024 08:24:43 -0500 Subject: [PATCH 227/608] ci_test: update min_chan_width route time golden result --- .../vtr_reg_qor_chain_predictor_off/config/golden_results.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt index 75b4e6ff120..229248c1a17 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt @@ -6,7 +6,7 @@ k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 9.78 vpr 72.69 MiB 0.6 k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 4.20 vpr 67.44 MiB 0.06 9784 -1 -1 3 0.39 -1 -1 37396 -1 -1 65 99 1 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69060 99 130 363 493 1 251 295 12 12 144 clb auto 29.2 MiB 0.24 717 71908 25870 34054 11984 67.4 MiB 0.27 0.00 1.83922 -198.941 -1.83922 1.83922 0.45 0.00107536 0.000983006 0.084569 0.0781498 40 1645 10 5.66058e+06 4.05111e+06 333335. 2314.82 1.04 0.284185 0.258873 12946 64812 -1 1532 9 620 808 72040 24269 0 0 72040 24269 808 743 0 0 3914 3738 0 0 4492 3914 0 0 867 808 0 0 29829 7624 0 0 32130 7442 0 0 808 0 0 188 245 173 1848 0 0 2.45075 2.45075 -235.916 -2.45075 0 0 419432. 2912.72 0.16 0.06 0.08 -1 -1 0.16 0.0334775 0.0315937 k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 43.62 vpr 71.27 MiB 0.05 9636 -1 -1 6 0.30 -1 -1 36860 -1 -1 32 162 0 5 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72980 162 96 1075 884 1 667 295 16 16 256 mult_36 auto 33.3 MiB 0.67 4798 79756 25666 46693 7397 71.3 MiB 0.76 0.01 15.573 -1229.29 -15.573 15.573 1.08 0.00404968 0.00376809 0.326322 0.303508 66 9962 44 1.21132e+07 3.70461e+06 1.03599e+06 4046.83 36.92 2.32322 2.13815 28848 203676 -1 8389 22 3031 5003 1662328 462996 0 0 1662328 462996 5003 3821 0 0 74447 73340 0 0 78985 74896 0 0 5423 4117 0 0 758826 152086 0 0 739644 154736 0 0 5003 0 0 1990 4631 4970 27606 0 0 17.0901 17.0901 -1355.89 -17.0901 0 0 1.28841e+06 5032.87 0.50 0.64 0.29 -1 -1 0.50 0.177776 0.166942 k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 19.92 vpr 70.00 MiB 0.03 8412 -1 -1 6 0.17 -1 -1 36716 -1 -1 20 66 0 7 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71680 66 96 866 607 1 547 189 18 18 324 mult_36 auto 32.0 MiB 0.61 4654 51137 19049 27853 4235 70.0 MiB 0.59 0.01 12.016 -717.144 -12.016 12.016 1.33 0.00288125 0.00270837 0.299383 0.281 46 11715 25 1.57076e+07 3.84988e+06 949518. 2930.61 12.33 1.06453 0.994468 33056 191736 -1 9693 19 4291 9311 4610800 1111878 0 0 4610800 1111878 9311 7047 0 0 162170 160836 0 0 175341 162904 0 0 10385 8089 0 0 2127055 392581 0 0 2126538 380421 0 0 9311 0 0 5030 13904 14865 63106 0 0 13.2812 13.2812 -865.402 -13.2812 0 0 1.22123e+06 3769.23 0.55 1.50 0.28 -1 -1 0.55 0.161177 0.152592 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 5168.34 vpr 613.42 MiB 6.03 207148 -1 -1 101 162.07 -1 -1 107064 -1 -1 2196 114 44 8 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 628144 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 367.2 MiB 109.09 234636 1915489 724304 1164028 27157 581.5 MiB 112.18 0.83 66.9135 -51945.6 -66.9135 66.9135 57.34 0.131263 0.114164 18.2276 14.4048 90 365741 48 1.92089e+08 1.45633e+08 1.94366e+07 5982.34 4000 106.508 83.4994 441623 4070163 -1 327184 24 72941 275676 44891770 9678649 0 0 44891770 9678649 266831 93636 0 0 740951 671825 0 0 917391 747345 0 0 277270 108185 0 0 21096127 3960057 0 0 21593200 4097601 0 0 266831 0 0 202110 1035110 1041645 6661945 9314 7656 76.3379 76.3379 -66111.6 -76.3379 0 0 2.43249e+07 7486.90 15.86 27.84 5.88 -1 -1 15.86 9.32536 7.81205 +k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 5168.34 vpr 613.42 MiB 6.03 207148 -1 -1 101 162.07 -1 -1 107064 -1 -1 2196 114 44 8 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 628144 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 367.2 MiB 109.09 234636 1915489 724304 1164028 27157 581.5 MiB 112.18 0.83 66.9135 -51945.6 -66.9135 66.9135 57.34 0.131263 0.114164 18.2276 14.4048 90 365741 48 1.92089e+08 1.45633e+08 1.94366e+07 5982.34 400 106.508 83.4994 441623 4070163 -1 327184 24 72941 275676 44891770 9678649 0 0 44891770 9678649 266831 93636 0 0 740951 671825 0 0 917391 747345 0 0 277270 108185 0 0 21096127 3960057 0 0 21593200 4097601 0 0 266831 0 0 202110 1035110 1041645 6661945 9314 7656 76.3379 76.3379 -66111.6 -76.3379 0 0 2.43249e+07 7486.90 15.86 27.84 5.88 -1 -1 15.86 9.32536 7.81205 k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 12253.51 vpr 2.06 GiB 24.80 702124 -1 -1 101 1393.87 -1 -1 324392 -1 -1 7514 114 167 32 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2159640 114 102 124851 111146 1 59132 7929 103 103 10609 clb auto 1159.2 MiB 360.78 1052983 9965835 4119074 5792066 54695 1877.1 MiB 704.52 3.21 65.2375 -338588 -65.2375 65.2375 220.28 0.329452 0.260932 61.6871 48.1144 126 1412558 40 6.46441e+08 5.09111e+08 8.73307e+07 8231.76 9221.47 183.942 146.5 1710436 19091112 -1 1339294 22 215646 900684 223339649 51896881 0 0 223339649 51896881 844018 267615 0 0 2644985 2402486 0 0 3287959 2664786 0 0 877062 319916 0 0 106407801 22685912 0 0 109277824 23556166 0 0 844018 0 0 658116 4296012 4273075 23348543 59126 221175 75.0218 75.0218 -494523 -75.0218 0 0 1.10400e+08 10406.3 49.82 82.53 17.74 -1 -1 49.82 18.8426 16.0775 k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 6915.92 vpr 2.15 GiB 58.83 890956 -1 -1 26 5002.13 -1 -1 375740 -1 -1 7038 36 159 27 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2249424 36 356 190343 166383 1 61580 7616 99 99 9801 clb auto 1355.4 MiB 258.31 722605 11167567 4330804 6423181 413582 1922.7 MiB 627.01 3.78 40.0349 -291855 -40.0349 40.0349 119.00 0.28365 0.226982 45.8958 37.3683 160 955962 23 6.00857e+08 4.77096e+08 9.11403e+07 9299.09 589.85 137.019 112.824 1700639 20154183 -1 934490 19 216687 545183 104908820 25967919 0 0 104908820 25967919 491671 261307 0 0 1548685 1384870 0 0 1958090 1556136 0 0 505616 287421 0 0 50205079 11073550 0 0 50199679 11404635 0 0 491671 0 0 277731 1192268 1235559 4877321 62524 115078 43.0415 43.0415 -352040 -43.0415 0 0 1.15652e+08 11800.0 46.86 51.64 19.74 -1 -1 46.86 16.9449 14.9292 k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 126.67 vpr 317.73 MiB 1.18 69740 -1 -1 5 11.78 -1 -1 56008 -1 -1 456 506 45 0 success 3634420-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T22:36:49 gh-actions-runner-vtr-auto-spawned42 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 325356 506 553 3519 4017 1 3099 1560 50 50 2500 memory auto 62.0 MiB 7.03 15735 1150148 545151 422976 182021 317.7 MiB 6.50 0.07 6.80432 -1955.3 -6.80432 6.80432 41.74 0.0218543 0.0199401 2.87939 2.5967 40 23990 15 1.47946e+08 4.92362e+07 7.18436e+06 2873.75 28.29 8.28019 7.61139 260716 1475984 -1 22959 13 3800 4917 3473456 838921 0 0 3473456 838921 4527 4369 0 0 98810 97630 0 0 101330 99135 0 0 4798 4570 0 0 1607324 314105 0 0 1656667 319112 0 0 4527 0 0 730 5238 3996 9252 399 961 7.7292 7.7292 -2395.07 -7.7292 0 0 8.97548e+06 3590.19 5.57 1.91 1.79 -1 -1 5.57 0.875143 0.823244 From 2d5ae486322e3568f8ec6caed81b6fb75e098ee1 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 8 Feb 2024 08:28:37 -0500 Subject: [PATCH 228/608] vpr: place_delay_model: comment on uncommented members --- vpr/src/place/place_delay_model.h | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h index 0767e912ced..681cc136d87 100644 --- a/vpr/src/place/place_delay_model.h +++ b/vpr/src/place/place_delay_model.h @@ -112,7 +112,13 @@ class DeltaDelayModel : public PlaceDelayModel { private: vtr::NdMatrix delays_; // [0..num_layers-1][0..max_dx][0..max_dy] + /** + * @brief The minimum delay of inter-layer connections + */ float cross_layer_delay_; + /** + * @brief Indicates whether the router is a two-stage or run-flat + */ bool is_flat_; }; @@ -148,7 +154,7 @@ class OverrideDelayModel : public PlaceDelayModel { */ float cross_layer_delay_; /** - * @brief + * @brief Indicates whether the router is a two-stage or run-flat */ bool is_flat_; From 98d9a3057a23a058f4b62278c1f355f29f1bb530 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 8 Feb 2024 08:49:16 -0500 Subject: [PATCH 229/608] vpr: router_lookahead: make the comments on router lookahead in doxygen format --- vpr/src/route/router_lookahead.h | 100 +++++++++++++++++++++++-------- 1 file changed, 76 insertions(+), 24 deletions(-) diff --git a/vpr/src/route/router_lookahead.h b/vpr/src/route/router_lookahead.h index c3cf69edaaa..0486f2fab48 100644 --- a/vpr/src/route/router_lookahead.h +++ b/vpr/src/route/router_lookahead.h @@ -6,47 +6,90 @@ struct t_conn_cost_params; //Forward declaration +/** + * @brief The base class to define router lookahead. + * + * This class is used by different parts of VPR to get an estimation of the cost, in terms of delay and congestion, to get from one point to the other. + */ class RouterLookahead { public: - // Get expected cost from node to target_node. - // - // Either compute or read methods must be invoked before invoking - // get_expected_cost. + /** + * @brief Get expected cost from node to target_node. + * @attention Either compute or read methods must be invoked before invoking get_expected_cost. + * @param node The source node from which the cost to the target node is obtained. + * @param target_node The target node to which the cost is obtained. + * @param params Contain the router parameter such as connection criticality, etc. Used to calculate the cost based on the delay and congestion costs. + * @param R_upstream Upstream resistance to get to the "node". + * @return + */ virtual float get_expected_cost(RRNodeId node, RRNodeId target_node, const t_conn_cost_params& params, float R_upstream) const = 0; virtual std::pair get_expected_delay_and_cong(RRNodeId node, RRNodeId target_node, const t_conn_cost_params& params, float R_upstream) const = 0; - // Compute router lookahead (if needed). + /** + * @brief Compute router lookahead (if needed) + * @param segment_inf + */ virtual void compute(const std::vector& segment_inf) = 0; + /** + * @brief Initialize the data structures which store the information about intra-cluster resources. + * @attention This function is called in the routing stage. + */ virtual void compute_intra_tile() = 0; - // Read router lookahead data (if any) from specified file. - // May be unimplemented, in which case method should throw an exception. + /** + * @brief Read router lookahead data (if any) from specified file. + * @attention May be unimplemented, in which case method should throw an exception. + * @param file Name of the file that stores the router lookahead. + */ virtual void read(const std::string& file) = 0; - // Read intra-cluster router lookahead data (if any) from specified file. - // May be unimplemented, in which case method should throw an exception. + /** + * @brief Read intra-cluster router lookahead data (if any) from specified file. + * @attention May be unimplemented, in which case method should throw an exception. + * @param file Name of the file that stores the intra-cluster router lookahead. + */ virtual void read_intra_cluster(const std::string& file) = 0; - // Write router lookahead data (if any) to specified file. - // May be unimplemented, in which case method should throw an exception. + /** + * @brief Write router lookahead data (if any) to specified file. + * @attention May be unimplemented, in which case method should throw an exception. + * @param file Name of the file to write the router lookahead. + */ virtual void write(const std::string& file) const = 0; - // Write intra-cluster router lookahead data (if any) to specified file. - // May be unimplemented, in which case method should throw an exception. + /** + * @brief Write intra-cluster router lookahead data (if any) to specified file. + * @attention May be unimplemented, in which case method should throw an exception. + * @param file Name of the file to write the intra-cluster router lookahead. + */ virtual void write_intra_cluster(const std::string& file) const = 0; - // Retrieve the minimum distance to a point on the "to_layer," which is dx and dy away, across - // all the OPINs on the physical tile identified by "physical_tile_idx." + /** + * @brief Retrieve the minimum delay to a point on the "to_layer," which is dx and dy away, across all the OPINs on the physical tile identified by "physical_tile_idx." + * @param physical_tile_idx The index of the physical tile from which the cost is calculated + * @param from_layer The layer that the tile is located on + * @param to_layer The layer on which the destination is located + * @param dx Horizontal distance to the destination + * @param dy Vertical distance to the destination + * @return Minimum delay to a point which is dx and dy away from a point on the die number "from_layer" to a point on the die number "to_layer". + */ virtual float get_opin_distance_min_delay(int physical_tile_idx, int from_layer, int to_layer, int dx, int dy) const = 0; virtual ~RouterLookahead() {} }; -// Force creation of lookahead object. -// -// This may involve recomputing the lookahead, so only use if lookahead cache -// cannot be used. +/** + * @brief Force creation of lookahead object. + * @attention This may involve recomputing the lookahead, so only use if lookahead cache cannot be used. + * @param det_routing_arch + * @param router_lookahead_type + * @param write_lookahead + * @param read_lookahead + * @param segment_inf + * @param is_flat + * @return Return a unique pointer that points to the router lookahead object + */ std::unique_ptr make_router_lookahead(const t_det_routing_arch& det_routing_arch, e_router_lookahead router_lookahead_type, std::string write_lookahead, @@ -54,13 +97,22 @@ std::unique_ptr make_router_lookahead(const t_det_routing_arch& const std::vector& segment_inf, bool is_flat); -// Clear router lookahead cache (e.g. when changing or free rrgraph). +/** + * @brief Clear router lookahead cache (e.g. when changing or free rrgraph). + */ void invalidate_router_lookahead_cache(); -// Returns lookahead for given rr graph. -// -// Object is cached in RouterContext, but access to cached object should -// performed via this function. +/** + * @brief Returns lookahead for given rr graph. + * @attention Object is cached in RouterContext, but access to cached object should performed via this function. + * @param det_routing_arch + * @param router_lookahead_type + * @param write_lookahead + * @param read_lookahead + * @param segment_inf + * @param is_flat + * @return + */ const RouterLookahead* get_cached_router_lookahead(const t_det_routing_arch& det_routing_arch, e_router_lookahead router_lookahead_type, std::string write_lookahead, From 3d80a846fbde82caf539f039b1bcb31e340b8011 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 8 Feb 2024 09:28:01 -0500 Subject: [PATCH 230/608] vpr: router_lookahead: comment on how missing entries are filled - fix gaurd name --- .../route/router_lookahead_compressed_map.h | 6 ++-- vpr/src/route/router_lookahead_cost_map.cpp | 30 ++++++++++++------- vpr/src/route/router_lookahead_map.cpp | 27 +++++++++++++---- 3 files changed, 44 insertions(+), 19 deletions(-) diff --git a/vpr/src/route/router_lookahead_compressed_map.h b/vpr/src/route/router_lookahead_compressed_map.h index 53360b86b0f..a111089c826 100644 --- a/vpr/src/route/router_lookahead_compressed_map.h +++ b/vpr/src/route/router_lookahead_compressed_map.h @@ -2,8 +2,8 @@ // Created by amin on 11/27/23. // -#ifndef VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H -#define VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H +#ifndef VTR_ROUTER_LOOKAHEAD_COMPRESSED_MAP_H +#define VTR_ROUTER_LOOKAHEAD_COMPRESSED_MAP_H #include #include @@ -72,4 +72,4 @@ typedef vtr::NdMatrix t_compressed_wire_cost_map; //[0..num // The first index is the layer number that the node under consideration is on, and the forth index // is the layer number that the target node is on. -#endif //VTR_ROUTER_LOOKAHEAD_SPARSE_MAP_H +#endif //VTR_ROUTER_LOOKAHEAD_COMPRESSED_MAP_H diff --git a/vpr/src/route/router_lookahead_cost_map.cpp b/vpr/src/route/router_lookahead_cost_map.cpp index 6acae707234..ec27878b3a1 100644 --- a/vpr/src/route/router_lookahead_cost_map.cpp +++ b/vpr/src/route/router_lookahead_cost_map.cpp @@ -26,6 +26,14 @@ static constexpr float PENALTY_FACTOR = 1.f; ///@brief Minimum penalty cost that is added when penalizing a delta outside the segment bounding box. static constexpr float PENALTY_MIN = 1e-12f; +/** + * @brief Store the minimum delay and congestion between `min_cost` and `new_cost` in `min_cost`. + * @attention The cost in either min_cost or new_cost can be invalid. + * @param min_cost + * @param new_cost + */ +static void assign_min_entry(util::Cost_Entry& min_cost, const util::Cost_Entry& new_cost); + // also known as the L1 norm static int manhattan_distance(const vtr::Point& a, const vtr::Point& b) { return abs(b.x() - a.x()) + abs(b.y() - a.y()); @@ -341,21 +349,21 @@ std::vector> CostMap::list_empty() const { return results; } -static void assign_min_entry(util::Cost_Entry* dst, const util::Cost_Entry& src) { +static void assign_min_entry(util::Cost_Entry& min_cost, const util::Cost_Entry& new_cost) { // The values in src is only being assigned to dst if they are valid - if (!std::isnan(src.delay)) { - if (std::isnan(dst->delay)) { - dst->delay = src.delay; + if (!std::isnan(new_cost.delay)) { + if (std::isnan(min_cost.delay)) { + min_cost.delay = new_cost.delay; } else { - dst->delay = std::min(dst->delay, src.delay); + min_cost.delay = std::min(min_cost.delay, new_cost.delay); } } - if (!std::isnan(src.congestion)) { - if (std::isnan(dst->congestion)) { - dst->congestion = src.congestion; + if (!std::isnan(new_cost.congestion)) { + if (std::isnan(min_cost.congestion)) { + min_cost.congestion = new_cost.congestion; } else { - dst->congestion = std::min(dst->congestion, src.congestion); + min_cost.congestion = std::min(min_cost.congestion, new_cost.congestion); } } } @@ -384,11 +392,11 @@ std::pair CostMap::get_nearby_cost_entry(const vtr::NdMat int yp = cy + oy; int yn = cy - oy; if (bounds.contains(vtr::Point(x, yp))) { - assign_min_entry(&min_entry, matrix[x][yp]); + assign_min_entry(min_entry, matrix[x][yp]); in_bounds = true; } if (bounds.contains(vtr::Point(x, yn))) { - assign_min_entry(&min_entry, matrix[x][yn]); + assign_min_entry(min_entry, matrix[x][yn]); in_bounds = true; } } diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 7ba6874d4b8..d90b01b37c9 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -50,6 +50,8 @@ # include "serdes_utils.h" #endif /* VTR_ENABLE_CAPNPROTO */ +const int VALID_NEIGHBOR_NUMBER = 3; + /* when a list of delay/congestion entries at a coordinate in Cost_Entry is boiled down to a single * representative entry, this enum is passed-in to specify how that representative entry should be * calculated */ @@ -142,6 +144,18 @@ static void fill_in_missing_lookahead_entries(int segment_index, e_rr_type chan_ /* returns a cost entry in the f_wire_cost_map that is near the specified coordinates (and preferably towards (0,0)) */ static util::Cost_Entry get_nearby_cost_entry(int from_layer_num, int x, int y, int to_layer_num, int segment_index, int chan_index); +/** + * @brief Fill in the missing entry in router lookahead map + * If there is a missing entry in the router lookahead, search among its neighbors in a 3x3 window. If there are `VALID_NEIGHBOR_NUMBER` valid entries, + * take the average of them and fill in the missing entry. + * @param from_layer_num The layer num of the source node + * @param missing_dx Dx of the missing input + * @param missing_dy Dy of the missing input + * @param to_layer_num The layer num of the destination point + * @param segment_index The segment index of the source node + * @param chan_index The channel index of the source node + * @return The cost for the missing entry + */ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(int from_layer_num, int missing_dx, int missing_dy, @@ -641,13 +655,14 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(int from_layer_n int to_layer_num, int segment_index, int chan_index) { + // Make sure that the given loaction doesn't have a valid entry VTR_ASSERT(std::isnan(f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][missing_dx][missing_dy].delay)); VTR_ASSERT(std::isnan(f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][missing_dx][missing_dy].congestion)); - int neighbour_num = 0; - float neighbour_delay_sum = 0; - float neighbour_cong_sum = 0; - std::array window = {-1, 0, 1}; + int neighbour_num = 0; // Number of neighbours with valid entry + float neighbour_delay_sum = 0; // Acc of valid delay costs + float neighbour_cong_sum = 0; // Acc of valid congestion costs + std::array window = {-1, 0, 1}; // Average window size for (int dx : window) { int neighbour_x = missing_dx + dx; if (neighbour_x < 0 || neighbour_x >= (int)f_wire_cost_map.dim_size(4)) { @@ -668,10 +683,12 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(int from_layer_n } } - if (neighbour_num >= 3) { + // Store the average only if there are enough number of neighbours with valid entry + if (neighbour_num >= VALID_NEIGHBOR_NUMBER) { return {neighbour_delay_sum / static_cast(neighbour_num), neighbour_cong_sum / static_cast(neighbour_num)}; } else { + // If there are not enough neighbours with valid entry, retrieve to the previous way of getting the missing cost return get_nearby_cost_entry(from_layer_num, missing_dx, missing_dy, to_layer_num, segment_index, chan_index); } } From 66f9a1a48c9014ea237eabcf8c8425359042d3a4 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 8 Feb 2024 09:38:57 -0500 Subject: [PATCH 231/608] vpr: router_lookahead_map: comment the overall strategy on min_opin_distance_cost_map --- vpr/src/route/router_lookahead_map.cpp | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index d90b01b37c9..68275d6879a 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -813,6 +813,13 @@ static void min_chann_global_cost_map(vtr::NdMatrix& distan } static void min_opin_distance_cost_map(const util::t_src_opin_delays& src_opin_delays, vtr::NdMatrix& distance_min_cost) { + /** + * This function calculates and stores the minimum cost to reach a point on layer `n_sink`, which is `dx` and `dy` further from the current point + * on layer `n_source` and is located on physical tile type `t`. To compute this cost, the function iterates over all output pins of tile `t`, + * and for each pin, iterates over all segment types accessible by it. It then determines and stores the minimum cost to the destination point. + * "src_opin_delays" stores the routing segments accessible by each OPIN of each physical type on each layer. After getting the accessible segment types, + * "get_wire_cost_entry" is called to get the cost from that segment type to the destination point. + */ int num_tile_types = g_vpr_ctx.device().physical_tile_types.size(); int num_layers = g_vpr_ctx.device().grid.get_num_layers(); int width = (int)g_vpr_ctx.device().grid.width(); From 899b63adf4db162512618190d30a6b5b8203f4e0 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 8 Feb 2024 09:42:42 -0500 Subject: [PATCH 232/608] make format --- vpr/src/route/router_lookahead_map.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 68275d6879a..1bbbdb86b7e 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -659,9 +659,9 @@ static util::Cost_Entry get_nearby_cost_entry_average_neighbour(int from_layer_n VTR_ASSERT(std::isnan(f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][missing_dx][missing_dy].delay)); VTR_ASSERT(std::isnan(f_wire_cost_map[from_layer_num][chan_index][segment_index][to_layer_num][missing_dx][missing_dy].congestion)); - int neighbour_num = 0; // Number of neighbours with valid entry - float neighbour_delay_sum = 0; // Acc of valid delay costs - float neighbour_cong_sum = 0; // Acc of valid congestion costs + int neighbour_num = 0; // Number of neighbours with valid entry + float neighbour_delay_sum = 0; // Acc of valid delay costs + float neighbour_cong_sum = 0; // Acc of valid congestion costs std::array window = {-1, 0, 1}; // Average window size for (int dx : window) { int neighbour_x = missing_dx + dx; From 687b0c9b53c3862d5c90300395e81ca1fea56843 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 8 Feb 2024 10:02:11 -0500 Subject: [PATCH 233/608] Move noc_routing_has_cycle() to initial_noc_placement.cpp --- vpr/src/place/initial_noc_placement.cpp | 8 ++++++++ vpr/src/place/initial_placement.cpp | 15 +++------------ 2 files changed, 11 insertions(+), 12 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index f3298062886..22e33eebe7b 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -275,4 +275,12 @@ void initial_noc_placement(const t_noc_opts& noc_opts, int seed) { // Run the simulated annealing optimizer for NoC routers noc_routers_anneal(noc_opts); + + // check if there is any cycles + bool has_cycle = noc_routing_has_cycle(); + if (has_cycle) { + VPR_FATAL_ERROR(VPR_ERROR_PLACE, + "At least one cycle was found in NoC routing configuration. This may cause a deadlock" + "when packets wait on each other in a cycle.\n"); + } } \ No newline at end of file diff --git a/vpr/src/place/initial_placement.cpp b/vpr/src/place/initial_placement.cpp index 2a22505cdc7..f32de6d8596 100644 --- a/vpr/src/place/initial_placement.cpp +++ b/vpr/src/place/initial_placement.cpp @@ -202,9 +202,9 @@ static void place_all_blocks(const t_placer_opts& placer_opts, vtr::vector Date: Thu, 8 Feb 2024 10:06:32 -0500 Subject: [PATCH 234/608] Add channel dependency graph --- vpr/src/noc/channel_dependency_graph.cpp | 86 ++++++++++++++++++++++++ vpr/src/noc/channel_dependency_graph.h | 19 ++++++ vpr/src/noc/noc_traffic_flows.cpp | 4 ++ vpr/src/noc/noc_traffic_flows.h | 9 +++ vpr/src/place/initial_noc_placement.cpp | 2 +- vpr/src/place/noc_place_utils.cpp | 71 +++---------------- vpr/src/place/noc_place_utils.h | 1 + vpr/src/place/place.cpp | 2 +- 8 files changed, 130 insertions(+), 64 deletions(-) create mode 100644 vpr/src/noc/channel_dependency_graph.cpp create mode 100644 vpr/src/noc/channel_dependency_graph.h diff --git a/vpr/src/noc/channel_dependency_graph.cpp b/vpr/src/noc/channel_dependency_graph.cpp new file mode 100644 index 00000000000..ee80d8c4ef4 --- /dev/null +++ b/vpr/src/noc/channel_dependency_graph.cpp @@ -0,0 +1,86 @@ + +#include "channel_dependency_graph.h" +#include "vtr_assert.h" + +#include + +ChannelDependencyGraph::ChannelDependencyGraph(size_t n_links, + const vtr::vector>& traffic_flow_routes) { + adjacency_list_.clear(); + // In channel dependency graph, vertices represent NoC links. + // reserve enough space so that all vertices can store their outgoing neighbors + adjacency_list_.resize(n_links); + + /* + * A traffic flow travels through some NoC links. In channel dependency graph (CDG), + * consecutive NoC links travelled by the flow are connected using an edge. + * More specifically, for each pair of consecutive NoC links in a traffic flow route, + * there exists a directed edge from the NoC link travelled first to the other one. + * For example, if traffic flow T travels NoC links L0, L2, and L5 to reach its + * destination, we need to add (L0, L2) and (L2, L5) edges to CDG. + */ + + // iterate over all traffic flows and populate the channel dependency graph + for (const auto& traffic_flow_route : traffic_flow_routes) { + auto prev_link_id = NocLinkId::INVALID(); + for (auto cur_link_id : traffic_flow_route) { + VTR_ASSERT(prev_link_id != cur_link_id); + if (prev_link_id != NocLinkId::INVALID()) { + adjacency_list_[prev_link_id].push_back(cur_link_id); + } + prev_link_id = cur_link_id; + } + } +} + +bool ChannelDependencyGraph::has_cycles() { + // get the number vertices in CDG + const size_t n_vertices = adjacency_list_.size(); + + // indicates whether a node (NoC link) in CDG is visited during DFS + vtr::vector visited(n_vertices, false); + // indicates whether a node (NoC links) is currently in stack + vtr::vector on_stack(n_vertices, false); + // the stack used to perform graph traversal (DFS). Contains to-be-visited vertices + std::stack stack; + + // iterate over all vertices (NoC links) + for (NocLinkId noc_link_id : adjacency_list_.keys()) { + // the node (NoC link) has already been visited + if (visited[noc_link_id]) { + continue; + } + + // An un-visited node is found. Add to the stack + stack.push(noc_link_id); + + // continue the traversal until the stack is empty + while (!stack.empty()) { + auto current_vertex_id = stack.top(); + + if (!visited[current_vertex_id]) { + on_stack[current_vertex_id] = true; + visited[current_vertex_id] = true; + } else { // the neighboring vertices have already been processed + // remove it from the stack + stack.pop(); + on_stack[current_vertex_id] = false; + } + + // get the outgoing edges of the current vertex + const auto& neighbor_ids = adjacency_list_[current_vertex_id]; + + // iterate over all outgoing neighbors + for (auto& neighbor_id : neighbor_ids) { + if (!visited[neighbor_id]) { + stack.push(neighbor_id); + } else if (on_stack[neighbor_id]) { // the current vertex is pointing to one of its ancestors + return true; + } + } + } + } + + // if no vertex in the graph points to at least one of its ancestors, the graph does not have any cycles + return false; +} \ No newline at end of file diff --git a/vpr/src/noc/channel_dependency_graph.h b/vpr/src/noc/channel_dependency_graph.h new file mode 100644 index 00000000000..8d8476b33a5 --- /dev/null +++ b/vpr/src/noc/channel_dependency_graph.h @@ -0,0 +1,19 @@ +#ifndef VTR_CHANNEL_DEPENDENCY_GRAPH_H +#define VTR_CHANNEL_DEPENDENCY_GRAPH_H + +#include "vtr_vector.h" +#include "noc_data_types.h" + +class ChannelDependencyGraph { + public: + ChannelDependencyGraph() = delete; + ChannelDependencyGraph(size_t n_links, + const vtr::vector>& traffic_flow_routes); + + bool has_cycles(); + + private: + vtr::vector> adjacency_list_; +}; + +#endif //VTR_CHANNEL_DEPENDENCY_GRAPH_H diff --git a/vpr/src/noc/noc_traffic_flows.cpp b/vpr/src/noc/noc_traffic_flows.cpp index 426597bd71c..714af810fbb 100644 --- a/vpr/src/noc/noc_traffic_flows.cpp +++ b/vpr/src/noc/noc_traffic_flows.cpp @@ -44,6 +44,10 @@ std::vector& NocTrafficFlows::get_mutable_traffic_flow_route(NocTraff return traffic_flow_routes[traffic_flow_id]; } +const vtr::vector>& NocTrafficFlows::get_all_traffic_flow_routes() const { + return traffic_flow_routes; +} + const std::vector& NocTrafficFlows::get_router_clusters_in_netlist(void) const { return router_cluster_in_netlist; } diff --git a/vpr/src/noc/noc_traffic_flows.h b/vpr/src/noc/noc_traffic_flows.h index 8b433ef3599..9da84b4abec 100644 --- a/vpr/src/noc/noc_traffic_flows.h +++ b/vpr/src/noc/noc_traffic_flows.h @@ -215,6 +215,15 @@ class NocTrafficFlows { */ std::vector& get_mutable_traffic_flow_route(NocTrafficFlowId traffic_flow_id); + /** + * @brief Gets all routed paths for all traffic flows. This cannot be + * modified externally. + * + * @return vtr::vector>& A reference + * to the provided container that includes all traffic flow routes. + */ + const vtr::vector>& get_all_traffic_flow_routes() const; + /** * @return a vector ([0..num_logical_router-1]) where each entry gives the clusterBlockId * of a logical NoC router. Used for fast lookups in the placer. diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 22e33eebe7b..15359b04f00 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -280,7 +280,7 @@ void initial_noc_placement(const t_noc_opts& noc_opts, int seed) { bool has_cycle = noc_routing_has_cycle(); if (has_cycle) { VPR_FATAL_ERROR(VPR_ERROR_PLACE, - "At least one cycle was found in NoC routing configuration. This may cause a deadlock" + "At least one cycle was found in NoC channel dependency graph. This may cause a deadlock" "when packets wait on each other in a cycle.\n"); } } \ No newline at end of file diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index f21e5d6f984..8574c1d350b 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -1,8 +1,6 @@ #include "noc_place_utils.h" -#include - /********************** Variables local to noc_place_utils.c pp***************************/ /* Proposed and actual cost of a noc traffic flow used for each move assessment */ static vtr::vector traffic_flow_costs, proposed_traffic_flow_costs; @@ -870,69 +868,18 @@ void write_noc_placement_file(const std::string& file_name) { } bool noc_routing_has_cycle() { - // used to access NoC routers and links - auto& noc_model = g_vpr_ctx.noc().noc_model; - - // get the total number of NoC routers - const int num_noc_routers = noc_model.get_number_of_noc_routers(); - - // indicates whether a node (NoC router) is visited in DFS traversal - vtr::vector visited(num_noc_routers, false); - // indicates whether a node (NoC router) is currently in stack - vtr::vector on_stack(num_noc_routers, false); - // the stack used to perform graph traversal (DFS). Contains to-be-visited nodes - std::stack stack; - - // get all NoC router IDs - const auto& noc_router_ids = noc_model.get_noc_routers().keys(); - - // iterate over all nodes (NoC routers) - for (auto& noc_router_id : noc_router_ids) { - - // the node (NoC router) has already been visited - if (visited[noc_router_id]) { - continue; - } - - // An un-visited node is found. Add to the stack - stack.push(noc_router_id); + // used to access traffic flow routes + const auto& noc_ctx = g_vpr_ctx.noc(); + // get all traffic flow routes + const auto& traffic_flow_routes = noc_ctx.noc_traffic_flows_storage.get_all_traffic_flow_routes(); - // continue the traversal until the stack is empty - while (!stack.empty()) { - auto current_node_id = stack.top(); + // get the total number of NoC links + const size_t num_noc_links = noc_ctx.noc_model.get_number_of_noc_links(); - if (!visited[current_node_id]) { - on_stack[current_node_id] = true; - visited[current_node_id] = true; - } else { // the neighboring nodes have already been processed - // remove it from the stack - stack.pop(); - on_stack[current_node_id] = false; - } - - // get the outgoing links of the current router - const auto& outgoing_link_ids = noc_model.get_noc_router_connections(current_node_id); - - // iterate over all outgoing neighbors - for (auto& outgoing_link_id : outgoing_link_ids) { - const auto& outgoing_link = noc_model.get_single_noc_link(outgoing_link_id); - double link_bw_usage = outgoing_link.get_bandwidth_usage(); - - // only used links represent an edge in the graph - if (link_bw_usage > 0.0) { - auto sink_router_id = outgoing_link.get_sink_router(); - if (!visited[sink_router_id]) { - stack.push(sink_router_id); - } else if (on_stack[sink_router_id]) { // the current node is pointing to one of its ancestors - return true; - } - } - } - } - } + ChannelDependencyGraph channel_dependency_graph(num_noc_links, traffic_flow_routes); + bool has_cycles = channel_dependency_graph.has_cycles(); - // if no node in the graph points to at least one of its ancestors, the graph does not have any cycles - return false; + return has_cycles; } static std::vector find_affected_links_by_flow_reroute(std::vector& prev_links, diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index 9e82742e8c2..0262664b3e1 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -8,6 +8,7 @@ #include "move_transactions.h" #include "vtr_log.h" #include "noc_routing_algorithm_creator.h" +#include "channel_dependency_graph.h" #include "move_utils.h" #include "vtr_random.h" #include "place_constraints.h" diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 14169103e52..c5ccb7023f0 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -3992,7 +3992,7 @@ static void check_place(const t_placer_costs& costs, if (noc_opts.noc) { // check the NoC costs during placement if the user is using the NoC supported flow error += check_noc_placement_costs(costs, ERROR_TOL, noc_opts); - // make sure NoC routing configuration does not have any cycles + // make sure NoC routing configuration does not create any cycles in CDG error += (int)noc_routing_has_cycle(); } From 5562875a55d6409b6075243b652653062f984c1c Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 8 Feb 2024 10:19:57 -0500 Subject: [PATCH 235/608] Return associated flows with a NoC router as a const reference instead of pointer --- vpr/src/noc/noc_traffic_flows.cpp | 29 ++++++++---- vpr/src/noc/noc_traffic_flows.h | 12 +++-- vpr/src/place/noc_place_utils.cpp | 74 +++++++++++++------------------ 3 files changed, 62 insertions(+), 53 deletions(-) diff --git a/vpr/src/noc/noc_traffic_flows.cpp b/vpr/src/noc/noc_traffic_flows.cpp index 714af810fbb..9d80f4c692f 100644 --- a/vpr/src/noc/noc_traffic_flows.cpp +++ b/vpr/src/noc/noc_traffic_flows.cpp @@ -17,8 +17,9 @@ const t_noc_traffic_flow& NocTrafficFlows::get_single_noc_traffic_flow(NocTraffi return noc_traffic_flows[traffic_flow_id]; } -const std::vector* NocTrafficFlows::get_traffic_flows_associated_to_router_block(ClusterBlockId router_block_id) const { - const std::vector* associated_traffic_flows_ref = nullptr; +const std::vector& NocTrafficFlows::get_traffic_flows_associated_to_router_block(ClusterBlockId router_block_id) const { + // to be returned in the given router does not have any associated traffic flows + static const std::vector empty_vector; // get a reference to the traffic flows that have the current router as a source or sink auto associated_traffic_flows = traffic_flows_associated_to_router_blocks.find(router_block_id); @@ -26,10 +27,10 @@ const std::vector* NocTrafficFlows::get_traffic_flows_associat // check if there are any traffic flows associated with the current router if (associated_traffic_flows != traffic_flows_associated_to_router_blocks.end()) { // if we are here then there exists at least 1 traffic flow that includes the current router as a source or sink - associated_traffic_flows_ref = &(associated_traffic_flows->second); + return associated_traffic_flows->second; + } else { + return empty_vector; } - - return associated_traffic_flows_ref; } int NocTrafficFlows::get_number_of_routers_used_in_traffic_flows(void) { @@ -58,11 +59,23 @@ const std::vector& NocTrafficFlows::get_all_traffic_flow_id(vo // setters for the traffic flows -void NocTrafficFlows::create_noc_traffic_flow(const std::string& source_router_module_name, const std::string& sink_router_module_name, ClusterBlockId source_router_cluster_id, ClusterBlockId sink_router_cluster_id, double traffic_flow_bandwidth, double traffic_flow_latency, int traffic_flow_priority) { +void NocTrafficFlows::create_noc_traffic_flow(const std::string& source_router_module_name, + const std::string& sink_router_module_name, + ClusterBlockId source_router_cluster_id, + ClusterBlockId sink_router_cluster_id, + double traffic_flow_bandwidth, + double traffic_flow_latency, + int traffic_flow_priority) { VTR_ASSERT_MSG(!built_traffic_flows, "NoC traffic flows have already been added, cannot modify further."); // create and add the new traffic flow to the vector - noc_traffic_flows.emplace_back(source_router_module_name, sink_router_module_name, source_router_cluster_id, sink_router_cluster_id, traffic_flow_bandwidth, traffic_flow_latency, traffic_flow_priority); + noc_traffic_flows.emplace_back(source_router_module_name, + sink_router_module_name, + source_router_cluster_id, + sink_router_cluster_id, + traffic_flow_bandwidth, + traffic_flow_latency, + traffic_flow_priority); //since the new traffic flow was added to the back of the vector, its id will be the index of the last element NocTrafficFlowId curr_traffic_flow_id = (NocTrafficFlowId)(noc_traffic_flows.size() - 1); @@ -114,7 +127,7 @@ bool NocTrafficFlows::check_if_cluster_block_has_traffic_flows(ClusterBlockId bl auto traffic_flows = get_traffic_flows_associated_to_router_block(block_id); // indicate whether a vector of traffic flows were found that are associated to the current cluster block - return (traffic_flows != nullptr); + return (!traffic_flows.empty()); } // private functions used internally diff --git a/vpr/src/noc/noc_traffic_flows.h b/vpr/src/noc/noc_traffic_flows.h index 9da84b4abec..92f2b20b303 100644 --- a/vpr/src/noc/noc_traffic_flows.h +++ b/vpr/src/noc/noc_traffic_flows.h @@ -177,11 +177,11 @@ class NocTrafficFlows { * @param router_block_id A unique identifier that represents the * a router block in the clustered netlist. This router block will * be the source or sink router in the retrieved traffic flows. - * @return const std::vector* A vector of traffic + * @return const std::vector& A vector of traffic * flows that have the input router block parameter as the source or sink * in the flow. */ - const std::vector* get_traffic_flows_associated_to_router_block(ClusterBlockId router_block_id) const; + const std::vector& get_traffic_flows_associated_to_router_block(ClusterBlockId router_block_id) const; /** * @brief Gets the number of unique router blocks in the @@ -264,7 +264,13 @@ class NocTrafficFlows { * at the sink router. * @param traffic_flow_priority The importance of a given traffic flow. */ - void create_noc_traffic_flow(const std::string& source_router_module_name, const std::string& sink_router_module_name, ClusterBlockId source_router_cluster_id, ClusterBlockId sink_router_cluster_id, double traffic_flow_bandwidth, double traffic_flow_latency, int traffic_flow_priority); + void create_noc_traffic_flow(const std::string& source_router_module_name, + const std::string& sink_router_module_name, + ClusterBlockId source_router_cluster_id, + ClusterBlockId sink_router_cluster_id, + double traffic_flow_bandwidth, + double traffic_flow_latency, + int traffic_flow_priority); /** * @brief Copies the passed in router_cluster_id_in_netlist vector to the diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 8574c1d350b..df3f767351c 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -221,41 +221,36 @@ void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, NocRouting& noc_flows_router, std::unordered_set& updated_traffic_flows) { // get all the associated traffic flows for the logical router cluster block - const std::vector* assoc_traffic_flows = noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(moved_block_router_id); + const auto& assoc_traffic_flows = noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(moved_block_router_id); - // now check if there are any associated traffic flows - if (assoc_traffic_flows != nullptr) { - // There are traffic flows associated to the current router block so process them - for (auto& traffic_flow_id : *assoc_traffic_flows) { - // first check to see whether we have already re-routed the current traffic flow and only re-route it if we haven't already. - if (updated_traffic_flows.find(traffic_flow_id) == updated_traffic_flows.end()) { - // get all links for this flow route before it is rerouted - // The returned const std::vector& is copied so that we can modify (sort) it - std::vector prev_traffic_flow_links = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); + // if there are traffic flows associated to the current router block, process them + for (auto traffic_flow_id : assoc_traffic_flows) { + // first check to see whether we have already re-routed the current traffic flow and only re-route it if we haven't already. + if (updated_traffic_flows.find(traffic_flow_id) == updated_traffic_flows.end()) { + // get all links for this flow route before it is rerouted + // The returned const std::vector& is copied so that we can modify (sort) it + std::vector prev_traffic_flow_links = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); - // now update the current traffic flow by re-routing it based on the new locations of its src and destination routers - re_route_traffic_flow(traffic_flow_id, noc_traffic_flows_storage, noc_model, noc_flows_router); + // now update the current traffic flow by re-routing it based on the new locations of its src and destination routers + re_route_traffic_flow(traffic_flow_id, noc_traffic_flows_storage, noc_model, noc_flows_router); - // now make sure we don't update this traffic flow a second time by adding it to the group of updated traffic flows - updated_traffic_flows.insert(traffic_flow_id); + // now make sure we don't update this traffic flow a second time by adding it to the group of updated traffic flows + updated_traffic_flows.insert(traffic_flow_id); - // get all links for this flow route after it is rerouted - std::vector curr_traffic_flow_links = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); + // get all links for this flow route after it is rerouted + std::vector curr_traffic_flow_links = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); - // find links that appear in the old route or the new one, but not both of them - // these are the links whose bandwidth utilization is affected by rerouting - auto unique_links = find_affected_links_by_flow_reroute(prev_traffic_flow_links, curr_traffic_flow_links); + // find links that appear in the old route or the new one, but not both of them + // these are the links whose bandwidth utilization is affected by rerouting + auto unique_links = find_affected_links_by_flow_reroute(prev_traffic_flow_links, curr_traffic_flow_links); - // update the static data structure to remember which links were affected by router swap - affected_noc_links.insert(unique_links.begin(), unique_links.end()); + // update the static data structure to remember which links were affected by router swap + affected_noc_links.insert(unique_links.begin(), unique_links.end()); - // update global datastructures to indicate that the current traffic flow was affected due to router cluster blocks being swapped - affected_traffic_flows.push_back(traffic_flow_id); - } + // update global datastructures to indicate that the current traffic flow was affected due to router cluster blocks being swapped + affected_traffic_flows.push_back(traffic_flow_id); } } - - return; } void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affected) { @@ -276,26 +271,21 @@ void revert_noc_traffic_flow_routes(const t_pl_blocks_to_be_moved& blocks_affect // current block is a router, so re-route all the traffic flows it is a part of // // get all the associated traffic flows for the logical router cluster block - const std::vector* assoc_traffic_flows = noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(blk); - - // now check if there are any associated traffic flows - if (assoc_traffic_flows != nullptr) { - // There are traffic flows associated to the current router block so process them - for (auto& traffic_flow_id : *assoc_traffic_flows) { - // first check to see whether we have already reverted the current traffic flow and only revert it if we haven't already. - if (reverted_traffic_flows.find(traffic_flow_id) == reverted_traffic_flows.end()) { - // Revert the traffic flow route by re-routing it - re_route_traffic_flow(traffic_flow_id, noc_traffic_flows_storage, noc_ctx.noc_model, *noc_ctx.noc_flows_router); - - // make sure we do not revert this traffic flow again - reverted_traffic_flows.insert(traffic_flow_id); - } + const std::vector& assoc_traffic_flows = noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(blk); + + // if there are traffic flows associated to the current router block, process them + for (auto traffic_flow_id : assoc_traffic_flows) { + // first check to see whether we have already reverted the current traffic flow and only revert it if we haven't already. + if (reverted_traffic_flows.find(traffic_flow_id) == reverted_traffic_flows.end()) { + // Revert the traffic flow route by re-routing it + re_route_traffic_flow(traffic_flow_id, noc_traffic_flows_storage, noc_ctx.noc_model, *noc_ctx.noc_flows_router); + + // make sure we do not revert this traffic flow again + reverted_traffic_flows.insert(traffic_flow_id); } } } } - - return; } void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, From 0b314091639f3f7b34bf3de49416dec700d2335b Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 8 Feb 2024 10:53:11 -0500 Subject: [PATCH 236/608] cleaned move_transaction functions --- vpr/src/place/move_transactions.cpp | 43 +++++++++++++++++------------ vpr/src/place/move_transactions.h | 2 +- 2 files changed, 27 insertions(+), 18 deletions(-) diff --git a/vpr/src/place/move_transactions.cpp b/vpr/src/place/move_transactions.cpp index 2c7d6dc180d..c433b63303a 100644 --- a/vpr/src/place/move_transactions.cpp +++ b/vpr/src/place/move_transactions.cpp @@ -43,13 +43,19 @@ void apply_move_blocks(const t_pl_blocks_to_be_moved& blocks_affected) { for (int iblk = 0; iblk < blocks_affected.num_moved_blocks; ++iblk) { ClusterBlockId blk = blocks_affected.moved_blocks[iblk].block_num; - place_ctx.block_locs[blk].loc = blocks_affected.moved_blocks[iblk].new_loc; + const t_pl_loc& old_loc = blocks_affected.moved_blocks[iblk].old_loc; + const t_pl_loc& new_loc = blocks_affected.moved_blocks[iblk].new_loc; + + // move the block to its new location + place_ctx.block_locs[blk].loc = new_loc; + + // get physical tile type of the old location + t_physical_tile_type_ptr old_type = device_ctx.grid.get_physical_type({old_loc.x,old_loc.y,old_loc.layer}); + // get physical tile type of the new location + t_physical_tile_type_ptr new_type = device_ctx.grid.get_physical_type({new_loc.x,new_loc.y, new_loc.layer}); //if physical tile type of old location does not equal physical tile type of new location, sync the new physical pins - if (device_ctx.grid.get_physical_type({blocks_affected.moved_blocks[iblk].old_loc.x, - blocks_affected.moved_blocks[iblk].old_loc.y, - blocks_affected.moved_blocks[iblk].old_loc.layer}) - != device_ctx.grid.get_physical_type({blocks_affected.moved_blocks[iblk].new_loc.x, blocks_affected.moved_blocks[iblk].new_loc.y, blocks_affected.moved_blocks[iblk].new_loc.layer})) { + if (old_type != new_type) { place_sync_external_block_connections(blk); } } @@ -64,9 +70,8 @@ void commit_move_blocks(const t_pl_blocks_to_be_moved& blocks_affected) { for (int iblk = 0; iblk < blocks_affected.num_moved_blocks; ++iblk) { ClusterBlockId blk = blocks_affected.moved_blocks[iblk].block_num; - t_pl_loc to = blocks_affected.moved_blocks[iblk].new_loc; - - t_pl_loc from = blocks_affected.moved_blocks[iblk].old_loc; + const t_pl_loc& to = blocks_affected.moved_blocks[iblk].new_loc; + const t_pl_loc& from = blocks_affected.moved_blocks[iblk].old_loc; //Remove from old location only if it hasn't already been updated by a previous block update if (place_ctx.grid_blocks.block_at_location(from) == blk) { @@ -87,7 +92,7 @@ void commit_move_blocks(const t_pl_blocks_to_be_moved& blocks_affected) { } //Moves the blocks in blocks_affected to their old locations -void revert_move_blocks(t_pl_blocks_to_be_moved& blocks_affected) { +void revert_move_blocks(const t_pl_blocks_to_be_moved& blocks_affected) { auto& place_ctx = g_vpr_ctx.mutable_placement(); auto& device_ctx = g_vpr_ctx.device(); @@ -95,19 +100,23 @@ void revert_move_blocks(t_pl_blocks_to_be_moved& blocks_affected) { for (int iblk = 0; iblk < blocks_affected.num_moved_blocks; ++iblk) { ClusterBlockId blk = blocks_affected.moved_blocks[iblk].block_num; - t_pl_loc old = blocks_affected.moved_blocks[iblk].old_loc; + const t_pl_loc& old_loc = blocks_affected.moved_blocks[iblk].old_loc; + const t_pl_loc& new_loc = blocks_affected.moved_blocks[iblk].new_loc; + + // return the block to where it was before the swap + place_ctx.block_locs[blk].loc = old_loc; - place_ctx.block_locs[blk].loc = old; + // get physical tile type of the old location + t_physical_tile_type_ptr old_type = device_ctx.grid.get_physical_type({old_loc.x,old_loc.y,old_loc.layer}); + // get physical tile type of the new location + t_physical_tile_type_ptr new_type = device_ctx.grid.get_physical_type({new_loc.x,new_loc.y, new_loc.layer}); //if physical tile type of old location does not equal physical tile type of new location, sync the new physical pins - if (device_ctx.grid.get_physical_type({blocks_affected.moved_blocks[iblk].old_loc.x, - blocks_affected.moved_blocks[iblk].old_loc.y, - blocks_affected.moved_blocks[iblk].old_loc.layer}) - != device_ctx.grid.get_physical_type({blocks_affected.moved_blocks[iblk].new_loc.x, blocks_affected.moved_blocks[iblk].new_loc.y, blocks_affected.moved_blocks[iblk].new_loc.layer})) { + if (old_type != new_type) { place_sync_external_block_connections(blk); } - VTR_ASSERT_SAFE_MSG(place_ctx.grid_blocks.block_at_location(old) == blk, "Grid blocks should only have been updated if swap commited (not reverted)"); + VTR_ASSERT_SAFE_MSG(place_ctx.grid_blocks.block_at_location(old_loc) == blk, "Grid blocks should only have been updated if swap committed (not reverted)"); } } @@ -117,7 +126,7 @@ void clear_move_blocks(t_pl_blocks_to_be_moved& blocks_affected) { blocks_affected.moved_to.clear(); blocks_affected.moved_from.clear(); - //For run-time we just reset num_moved_blocks to zero, but do not free the blocks_affected + //For run-time, we just reset num_moved_blocks to zero, but do not free the blocks_affected //array to avoid memory allocation blocks_affected.num_moved_blocks = 0; diff --git a/vpr/src/place/move_transactions.h b/vpr/src/place/move_transactions.h index 47e06ba808a..d987dc9a4d1 100644 --- a/vpr/src/place/move_transactions.h +++ b/vpr/src/place/move_transactions.h @@ -53,7 +53,7 @@ void apply_move_blocks(const t_pl_blocks_to_be_moved& blocks_affected); void commit_move_blocks(const t_pl_blocks_to_be_moved& blocks_affected); -void revert_move_blocks(t_pl_blocks_to_be_moved& blocks_affected); +void revert_move_blocks(const t_pl_blocks_to_be_moved& blocks_affected); void clear_move_blocks(t_pl_blocks_to_be_moved& blocks_affected); From 5c9982aad0b04611fb4a71d261221bdb86ca6e5e Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 8 Feb 2024 13:37:35 -0500 Subject: [PATCH 237/608] remove duplicate neighbors in channel dependency graph --- vpr/src/noc/channel_dependency_graph.cpp | 12 ++++++++++++ vpr/src/noc/channel_dependency_graph.h | 1 + vpr/src/noc/noc_traffic_flows.h | 3 ++- 3 files changed, 15 insertions(+), 1 deletion(-) diff --git a/vpr/src/noc/channel_dependency_graph.cpp b/vpr/src/noc/channel_dependency_graph.cpp index ee80d8c4ef4..abc38cffe6c 100644 --- a/vpr/src/noc/channel_dependency_graph.cpp +++ b/vpr/src/noc/channel_dependency_graph.cpp @@ -31,6 +31,18 @@ ChannelDependencyGraph::ChannelDependencyGraph(size_t n_links, prev_link_id = cur_link_id; } } + + // remove repetitive neighbors + for (auto& neighboring_nodes : adjacency_list_) { + // sort neighbors so that repetitive nodes are put beside each other + std::sort(neighboring_nodes.begin(), neighboring_nodes.end()); + + // remove consecutive duplicates + auto it = std::unique(neighboring_nodes.begin(), neighboring_nodes.end()); + + // erase the elements from the iterator to the end + neighboring_nodes.erase(it, neighboring_nodes.end()); + } } bool ChannelDependencyGraph::has_cycles() { diff --git a/vpr/src/noc/channel_dependency_graph.h b/vpr/src/noc/channel_dependency_graph.h index 8d8476b33a5..5734618f7d4 100644 --- a/vpr/src/noc/channel_dependency_graph.h +++ b/vpr/src/noc/channel_dependency_graph.h @@ -7,6 +7,7 @@ class ChannelDependencyGraph { public: ChannelDependencyGraph() = delete; + ChannelDependencyGraph(size_t n_links, const vtr::vector>& traffic_flow_routes); diff --git a/vpr/src/noc/noc_traffic_flows.h b/vpr/src/noc/noc_traffic_flows.h index 92f2b20b303..dac054d4bef 100644 --- a/vpr/src/noc/noc_traffic_flows.h +++ b/vpr/src/noc/noc_traffic_flows.h @@ -14,7 +14,8 @@ * retrieve information about them. * * The class also associates traffic flows to their logical source routers - * (start point) and logical sink routers (end point). This is useful if one wants to find traffic flows based on just the source or sink logical router. + * (start point) and logical sink routers (end point). This is useful if one + * wants to find traffic flows based on just the source or sink logical router. * The routes for the traffic flows are expected to change throughout placement * as routers will be moved within the chip. Therefore this class provides * a datastructure to keep track of which flows have been updated (re-routed). From cf2b5595335d52c421ca819fed73237af939cee4 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 8 Feb 2024 13:51:43 -0500 Subject: [PATCH 238/608] Add command line option for noc_aggregate_bandwidth_weighting. Normalize NoC weighting factors --- vpr/src/base/SetupVPR.cpp | 1 + vpr/src/base/read_options.cpp | 7 +++++++ vpr/src/base/read_options.h | 1 + vpr/src/place/noc_place_utils.cpp | 15 +++++++++------ 4 files changed, 18 insertions(+), 6 deletions(-) diff --git a/vpr/src/base/SetupVPR.cpp b/vpr/src/base/SetupVPR.cpp index a93b648f87b..048b6d5b55d 100644 --- a/vpr/src/base/SetupVPR.cpp +++ b/vpr/src/base/SetupVPR.cpp @@ -735,6 +735,7 @@ static void SetupNocOpts(const t_options& Options, t_noc_opts* NocOpts) { NocOpts->noc_flows_file = Options.noc_flows_file; NocOpts->noc_routing_algorithm = Options.noc_routing_algorithm; NocOpts->noc_placement_weighting = Options.noc_placement_weighting; + NocOpts->noc_aggregate_bandwidth_weighting = Options.noc_agg_bandwidth_weighting; NocOpts->noc_latency_constraints_weighting = Options.noc_latency_constraints_weighting; NocOpts->noc_latency_weighting = Options.noc_latency_weighting; NocOpts->noc_congestion_weighting = Options.noc_congestion_weighting; diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 2cb19c11645..34c6be05a98 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2806,6 +2806,13 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg .default_value("5.0") .show_in(argparse::ShowIn::HELP_ONLY); + noc_grp.add_argument(args.noc_latency_constraints_weighting, "--noc_aggregate_bandwidth_weighting") + .help( + "Controls the importance of meeting all the NoC traffic flow latency constraints." + "This value can be >=0, where 0 would mean the latency constraints have no relevance to placement, a value of 1 would mean the latency constraints are weighted equally to the sum of other placement cost components and a value greater than 1 would mean the placement is increasingly dominated by meeting the latency constraints of the traffic flows.") + .default_value("0.38") + .show_in(argparse::ShowIn::HELP_ONLY); + noc_grp.add_argument(args.noc_latency_constraints_weighting, "--noc_latency_constraints_weighting") .help( "Controls the importance of meeting all the NoC traffic flow latency constraints." diff --git a/vpr/src/base/read_options.h b/vpr/src/base/read_options.h index e6476ba151e..886040b8806 100644 --- a/vpr/src/base/read_options.h +++ b/vpr/src/base/read_options.h @@ -153,6 +153,7 @@ struct t_options { argparse::ArgValue noc_flows_file; argparse::ArgValue noc_routing_algorithm; argparse::ArgValue noc_placement_weighting; + argparse::ArgValue noc_agg_bandwidth_weighting; argparse::ArgValue noc_latency_constraints_weighting; argparse::ArgValue noc_latency_weighting; argparse::ArgValue noc_congestion_weighting; diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index df3f767351c..e81a80a5f4d 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -577,14 +577,17 @@ double calculate_link_congestion_cost(const NocLink& link) { } void normalize_noc_cost_weighting_factor(t_noc_opts& noc_opts) { - + // calculate the sum of all weighting factors double weighting_factor_sum = noc_opts.noc_latency_weighting + noc_opts.noc_latency_constraints_weighting + - noc_opts.noc_congestion_weighting; - - VTR_ASSERT(weighting_factor_sum <= 1.0 && weighting_factor_sum >= 0.0); - - noc_opts.noc_aggregate_bandwidth_weighting = 1.0 - weighting_factor_sum; + noc_opts.noc_congestion_weighting + + noc_opts.noc_aggregate_bandwidth_weighting; + + // Normalize weighting factor so they add up to 1 + noc_opts.noc_aggregate_bandwidth_weighting /= weighting_factor_sum; + noc_opts.noc_latency_weighting /= weighting_factor_sum; + noc_opts.noc_latency_constraints_weighting /= weighting_factor_sum; + noc_opts.noc_congestion_weighting /= weighting_factor_sum; } double calculate_noc_cost(const NocCostTerms& cost_terms, From 8581a80736f42ea628eaaab1e3a6f8ff494bd018 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 9 Feb 2024 12:25:26 -0500 Subject: [PATCH 239/608] add complex_4_noc_2way_ring traffic flow file --- .../complex_4_noc_2way_ring.flows | 13 +++++++++++++ 1 file changed, 13 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_4_noc_2way_ring.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_4_noc_2way_ring.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_4_noc_2way_ring.flows new file mode 100644 index 00000000000..e8a4b27cba8 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_4_noc_2way_ring.flows @@ -0,0 +1,13 @@ + + + + + + + + + + + + + From 66f62918f809dec2d029d8580e88484b1bc819ac Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 9 Feb 2024 13:19:52 -0500 Subject: [PATCH 240/608] Add complex_64_noc_clique traffic flow file I assumed the placer would place 64 logical router in an 8x8 grid. There are 64*63=4032 traffic flows. Within the 8x8 grid, there are 112 links. If these traffic flows are routed with minimal paths, generated routes would traverse 21504 edges. Ideally, the placement algorithm evenly distributes this aggregate bandwidth of 21504*BW over 112 links. --- .../complex_64_noc_clique.flows | 4034 +++++++++++++++++ 1 file changed, 4034 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_clique.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_clique.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_clique.flows new file mode 100644 index 00000000000..1dd7bc34d7a --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_clique.flows @@ -0,0 +1,4034 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 56a55dd6e3a8b20d757322459a92df17d48b4a7e Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 9 Feb 2024 14:56:05 -0500 Subject: [PATCH 241/608] updated complex_64_noc_clique with new bandwith I forgot that there are two links between to neighboring routers. So the total number edges withing an 8x8 grid is 224. --- .../complex_64_noc_clique.flows | 8064 ++++++++--------- 1 file changed, 4032 insertions(+), 4032 deletions(-) diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_clique.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_clique.flows index 1dd7bc34d7a..eb5d8dd9f9b 100644 --- a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_clique.flows +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_clique.flows @@ -1,4034 +1,4034 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From a7ae36c18f328e0ddcfaf5597d145c7dd7225abb Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 9 Feb 2024 19:09:37 -0500 Subject: [PATCH 242/608] added 2-way rings with 8 and 16 routers --- .../complex_16_noc_2way_ring.flows | 35 +++++++++++++++++++ .../complex_8_noc_2way_ring.flows | 19 ++++++++++ 2 files changed, 54 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_16_noc_2way_ring.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_8_noc_2way_ring.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_16_noc_2way_ring.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_16_noc_2way_ring.flows new file mode 100644 index 00000000000..9b14442616a --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_16_noc_2way_ring.flows @@ -0,0 +1,35 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_8_noc_2way_ring.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_8_noc_2way_ring.flows new file mode 100644 index 00000000000..6b1bd4e871c --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_8_noc_2way_ring.flows @@ -0,0 +1,19 @@ + + + + + + + + + + + + + + + + + + + From 65a4d116410a7f9e68547559cdde20a5a1df5f24 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 9 Feb 2024 20:12:08 -0500 Subject: [PATCH 243/608] removed calls to c_str --- vpr/src/base/ShowSetup.cpp | 10 +++++----- vpr/src/base/ShowSetup.h | 2 +- 2 files changed, 6 insertions(+), 6 deletions(-) diff --git a/vpr/src/base/ShowSetup.cpp b/vpr/src/base/ShowSetup.cpp index 92935c6c8fe..445e2f3ec0f 100644 --- a/vpr/src/base/ShowSetup.cpp +++ b/vpr/src/base/ShowSetup.cpp @@ -171,21 +171,21 @@ void ClusteredNetlistStats::write(OutputFormat fmt, std::ostream& output) const } } -void writeClusteredNetlistStats(std::string block_usage_filename) { +void writeClusteredNetlistStats(const std::string& block_usage_filename) { const auto stats = ClusteredNetlistStats(); // Print out the human readable version to stdout stats.write(ClusteredNetlistStats::OutputFormat::HumanReadable, std::cout); - if (block_usage_filename.size() > 0) { + if (!block_usage_filename.empty()) { ClusteredNetlistStats::OutputFormat fmt; - if (vtr::check_file_name_extension(block_usage_filename.c_str(), ".json")) { + if (vtr::check_file_name_extension(block_usage_filename, ".json")) { fmt = ClusteredNetlistStats::OutputFormat::JSON; - } else if (vtr::check_file_name_extension(block_usage_filename.c_str(), ".xml")) { + } else if (vtr::check_file_name_extension(block_usage_filename, ".xml")) { fmt = ClusteredNetlistStats::OutputFormat::XML; - } else if (vtr::check_file_name_extension(block_usage_filename.c_str(), ".txt")) { + } else if (vtr::check_file_name_extension(block_usage_filename, ".txt")) { fmt = ClusteredNetlistStats::OutputFormat::HumanReadable; } else { VPR_FATAL_ERROR(VPR_ERROR_PACK, "Unknown extension on output %s", block_usage_filename.c_str()); diff --git a/vpr/src/base/ShowSetup.h b/vpr/src/base/ShowSetup.h index f843b0c442f..4abef99da81 100644 --- a/vpr/src/base/ShowSetup.h +++ b/vpr/src/base/ShowSetup.h @@ -27,6 +27,6 @@ struct ClusteredNetlistStats { }; void ShowSetup(const t_vpr_setup& vpr_setup); -void writeClusteredNetlistStats(std::string block_usage_filename); +void writeClusteredNetlistStats(const std::string& block_usage_filename); #endif From 3487eaa0a4f6225c39b4073a9079c2843bcf0fc8 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 9 Feb 2024 20:23:40 -0500 Subject: [PATCH 244/608] add coplex_64_noc_traffic_flow with congestion considerations To minimize aggregate bandwidth, the placer would 32 routers in a 6x6 grid. In such a placement, traffic flow routes traverse 3680 links. There are 120 links within a 6x6 grid. Assuming that an aggregate bandwidth if 3680*BW is evenly distributed among all 120 links, we can increase each traffic flow's bandwidths upto 3.26e4 without causing congestion. --- .../complex_32_noc_clique.flows | 994 ++++++++++++++++++ 1 file changed, 994 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_clique.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_clique.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_clique.flows new file mode 100644 index 00000000000..befd6326d43 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_clique.flows @@ -0,0 +1,994 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 018a1119b18a87e5465b9a97e12b7f0cf4bba45d Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 9 Feb 2024 20:48:50 -0500 Subject: [PATCH 245/608] add complex star benchmarks with different number of latency constraints The link bandwidth is 1e6 and the central router sends data to other 31 routers. Ideally, total traffic bandwidth is divided equally over 4 links of the central router. If each of these 4 links carry data for 8 routers, we need to divide the link bandwidth by 8. 1e6 / 8 = 1.25e5 --- ...mplex_32_star_12_latency_constraints.flows | 33 +++++++++++++++++++ ...mplex_32_star_24_latency_constraints.flows | 33 +++++++++++++++++++ ...mplex_32_star_31_latency_constraints.flows | 33 +++++++++++++++++++ ...omplex_32_star_4_latency_constraints.flows | 33 +++++++++++++++++++ 4 files changed, 132 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_12_latency_constraints.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_24_latency_constraints.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_31_latency_constraints.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_4_latency_constraints.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_12_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_12_latency_constraints.flows new file mode 100644 index 00000000000..045dd3344e7 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_12_latency_constraints.flows @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_24_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_24_latency_constraints.flows new file mode 100644 index 00000000000..159a6f4cf6a --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_24_latency_constraints.flows @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_31_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_31_latency_constraints.flows new file mode 100644 index 00000000000..f6a894772e6 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_31_latency_constraints.flows @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_4_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_4_latency_constraints.flows new file mode 100644 index 00000000000..d9627de83ef --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_4_latency_constraints.flows @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 75758bf0061224b589dc2b22295b0e7e03c049a1 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 9 Feb 2024 20:54:00 -0500 Subject: [PATCH 246/608] normalize traffic flow bandwidth in complex_32_star_4_bandwdiths.flows so that a congestion free solution exists --- .../complex_32_star_4_bandwdiths.flows | 33 +++++++++++++++++++ 1 file changed, 33 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_4_bandwdiths.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_4_bandwdiths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_4_bandwdiths.flows new file mode 100644 index 00000000000..0a887a52827 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_4_bandwdiths.flows @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 4dc3756918042e6be7d53f624b72d9cf01415e38 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Fahrican=20Ko=C5=9Far?= Date: Tue, 5 Dec 2023 17:04:11 -0500 Subject: [PATCH 247/608] add DecompNetlistRouter --- libs/EXTERNAL/libargparse/argparse_test.cpp | 7 +- libs/librrgraph/src/base/rr_graph_storage.h | 5 - libs/libvtrutil/src/vtr_dynamic_bitset.h | 38 + vpr/src/base/ShowSetup.cpp | 3 + vpr/src/base/read_options.cpp | 9 +- vpr/src/base/vpr_types.h | 1 + vpr/src/route/DecompNetlistRouter.h | 126 ++++ vpr/src/route/DecompNetlistRouter.tpp | 681 ++++++++++++++++++ vpr/src/route/ParallelNetlistRouter.h | 11 +- vpr/src/route/ParallelNetlistRouter.tpp | 22 +- vpr/src/route/SerialNetlistRouter.tpp | 3 +- vpr/src/route/connection_router.cpp | 103 +-- vpr/src/route/connection_router.h | 52 +- vpr/src/route/connection_router_interface.h | 12 +- vpr/src/route/netlist_routers.h | 19 + vpr/src/route/partition_tree.cpp | 62 +- vpr/src/route/partition_tree.h | 22 +- vpr/src/route/route.cpp | 3 + vpr/src/route/route_common.h | 23 + vpr/src/route/route_net.cpp | 41 +- vpr/src/route/route_net.h | 41 +- vpr/src/route/route_net.tpp | 65 +- vpr/src/route/route_tree.cpp | 6 +- vpr/src/route/route_tree.h | 17 +- vpr/src/route/sink_sampling.h | 164 +++++ .../koios_medium/config/config.txt | 4 +- .../koios_large/config/config.txt | 2 +- 27 files changed, 1341 insertions(+), 201 deletions(-) create mode 100644 vpr/src/route/DecompNetlistRouter.h create mode 100644 vpr/src/route/DecompNetlistRouter.tpp create mode 100644 vpr/src/route/sink_sampling.h diff --git a/libs/EXTERNAL/libargparse/argparse_test.cpp b/libs/EXTERNAL/libargparse/argparse_test.cpp index 4d7b63dce4a..00ffb52f42a 100644 --- a/libs/EXTERNAL/libargparse/argparse_test.cpp +++ b/libs/EXTERNAL/libargparse/argparse_test.cpp @@ -399,10 +399,11 @@ int main( .show_in(argparse::ShowIn::HELP_ONLY); route_grp.add_argument(args.router_algorithm, "--router_algorithm") .help("Specifies the router algorithm to use.\n" - " * parallel: timing_driven with tricks to run on multiple cores (may be worse)\n" - " * timing driven: focuses on routability and circuit speed\n") + " * timing driven: focuses on routability and circuit speed [default]\n" + " * parallel: timing_driven with nets in different regions of the chip routed in parallel\n" + " * parallel_decomp: timing_driven with additional parallelism obtained by decomposing high-fanout nets, possibly reducing quality\n") .default_value("timing_driven") - .choices({"parallel", "timing_driven"}) + .choices({"parallel", "parallel_decomp", "timing_driven"}) .show_in(argparse::ShowIn::HELP_ONLY); route_grp.add_argument(args.min_incremental_reroute_fanout, "--min_incremental_reroute_fanout") .help("The net fanout thershold above which nets will be re-routed incrementally.") diff --git a/libs/librrgraph/src/base/rr_graph_storage.h b/libs/librrgraph/src/base/rr_graph_storage.h index 7e4f21b5968..2ccbf325834 100644 --- a/libs/librrgraph/src/base/rr_graph_storage.h +++ b/libs/librrgraph/src/base/rr_graph_storage.h @@ -667,11 +667,6 @@ class t_rr_graph_storage { static inline Direction get_node_direction( vtr::array_view_id node_storage, RRNodeId id) { - auto& node_data = node_storage[id]; - if (node_data.type_ != CHANX && node_data.type_ != CHANY) { - VTR_LOG_ERROR("Attempted to access RR node 'direction' for non-channel type '%s'", - rr_node_typename[node_data.type_]); - } return node_storage[id].dir_side_.direction; } diff --git a/libs/libvtrutil/src/vtr_dynamic_bitset.h b/libs/libvtrutil/src/vtr_dynamic_bitset.h index 291ddd2396c..87a038f40bc 100644 --- a/libs/libvtrutil/src/vtr_dynamic_bitset.h +++ b/libs/libvtrutil/src/vtr_dynamic_bitset.h @@ -20,6 +20,11 @@ class dynamic_bitset { static_assert(std::numeric_limits::is_integer, "dynamic_bitset storage must be integer!"); + constexpr dynamic_bitset() = default; + constexpr dynamic_bitset(Index size) { + resize(size); + } + ///@brief Reize to the determined size void resize(size_t size) { array_.resize((size + kWidth - 1) / kWidth); @@ -63,6 +68,39 @@ class dynamic_bitset { return (array_[index_value / kWidth] & (1u << (index_value % kWidth))) != 0; } + ///@brief Return count of set bits. + constexpr size_t count(void) const { + size_t out = 0; + for (auto x : array_) + out += __builtin_popcount(x); + return out; + } + + ///@brief Bitwise OR with rhs. Truncate the operation if one operand is smaller. + constexpr dynamic_bitset& operator|=(const dynamic_bitset& x) { + size_t n = std::min(array_.size(), x.array_.size()); + for (size_t i = 0; i < n; i++) + array_[i] |= x.array_[i]; + return *this; + } + + ///@brief Bitwise AND with rhs. Truncate the operation if one operand is smaller. + constexpr dynamic_bitset& operator&=(const dynamic_bitset& x) { + size_t n = std::min(array_.size(), x.array_.size()); + for (size_t i = 0; i < n; i++) + array_[i] &= x.array_[i]; + return *this; + } + + ///@brief Return inverted bitset. + inline dynamic_bitset operator~(void) const { + dynamic_bitset out(size()); + size_t n = array_.size(); + for (size_t i = 0; i < n; i++) + out.array_[i] = ~array_[i]; + return out; + } + private: std::vector array_; }; diff --git a/vpr/src/base/ShowSetup.cpp b/vpr/src/base/ShowSetup.cpp index 904ee63f0d4..b6459c62c6b 100644 --- a/vpr/src/base/ShowSetup.cpp +++ b/vpr/src/base/ShowSetup.cpp @@ -263,6 +263,9 @@ static void ShowRouterOpts(const t_router_opts& RouterOpts) { case PARALLEL: VTR_LOG("PARALLEL\n"); break; + case PARALLEL_DECOMP: + VTR_LOG("PARALLEL_DECOMP\n"); + break; case TIMING_DRIVEN: VTR_LOG("TIMING_DRIVEN\n"); break; diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index e75780e51e5..29699e4dcfe 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -174,6 +174,8 @@ struct ParseRouterAlgorithm { ConvertedValue conv_value; if (str == "parallel") conv_value.set_value(PARALLEL); + else if (str == "parallel_decomp") + conv_value.set_value(PARALLEL_DECOMP); else if (str == "timing_driven") conv_value.set_value(TIMING_DRIVEN); else { @@ -2403,10 +2405,11 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg route_grp.add_argument(args.RouterAlgorithm, "--router_algorithm") .help( "Specifies the router algorithm to use.\n" - " * parallel: [experimental] timing_driven but multithreaded\n" - " * timing_driven: focuses on routability and circuit speed\n") + " * timing driven: focuses on routability and circuit speed [default]\n" + " * parallel: timing_driven with nets in different regions of the chip routed in parallel\n" + " * parallel_decomp: timing_driven with additional parallelism obtained by decomposing high-fanout nets, possibly reducing quality\n") .default_value("timing_driven") - .choices({"parallel", "timing_driven"}) + .choices({"parallel", "parallel_decomp", "timing_driven"}) .show_in(argparse::ShowIn::HELP_ONLY); route_grp.add_argument(args.min_incremental_reroute_fanout, "--min_incremental_reroute_fanout") diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index 94c0502a836..108f6186515 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -1345,6 +1345,7 @@ struct t_placer_opts { enum e_router_algorithm { PARALLEL, + PARALLEL_DECOMP, TIMING_DRIVEN, }; diff --git a/vpr/src/route/DecompNetlistRouter.h b/vpr/src/route/DecompNetlistRouter.h new file mode 100644 index 00000000000..1f16105a356 --- /dev/null +++ b/vpr/src/route/DecompNetlistRouter.h @@ -0,0 +1,126 @@ +#pragma once + +/** @file Parallel and net-decomposing case for NetlistRouter. Works like + * \see ParallelNetlistRouter, but tries to "decompose" nets and assign them to + * the next level of the partition tree where possible. */ +#include "netlist_routers.h" + +#include + +/** Maximum number of iterations for net decomposition + * 5 is found experimentally: higher values get more speedup on initial iters but # of iters increases */ +const int MAX_DECOMP_ITER = 5; + +/** Maximum # of decomposition for a net: 2 means one net gets divided down to <4 virtual nets. + * Higher values are more aggressive: better thread utilization but worse congestion resolving */ +const int MAX_DECOMP_DEPTH = 2; + +/** Minimum # of fanouts of a net to consider decomp. */ +const int MIN_DECOMP_SINKS = 8; + +/** Minimum # of fanouts of a virtual net to consider decomp. */ +const int MIN_DECOMP_SINKS_VNET = 8; + +template +class DecompNetlistRouter : public NetlistRouter { + public: + DecompNetlistRouter( + const Netlist<>& net_list, + const RouterLookahead* router_lookahead, + const t_router_opts& router_opts, + CBRR& connections_inf, + NetPinsMatrix& net_delay, + const ClusteredPinAtomPinsLookup& netlist_pin_lookup, + std::shared_ptr timing_info, + NetPinTimingInvalidator* pin_timing_invalidator, + route_budgets& budgeting_inf, + const RoutingPredictor& routing_predictor, + const vtr::vector>>& choking_spots, + bool is_flat) + : _routers_th(_make_router(router_lookahead, is_flat)) + , _net_list(net_list) + , _router_opts(router_opts) + , _connections_inf(connections_inf) + , _net_delay(net_delay) + , _netlist_pin_lookup(netlist_pin_lookup) + , _timing_info(timing_info) + , _pin_timing_invalidator(pin_timing_invalidator) + , _budgeting_inf(budgeting_inf) + , _routing_predictor(routing_predictor) + , _choking_spots(choking_spots) + , _is_flat(is_flat) + , _net_known_samples(net_list.nets().size()) + , _is_decomp_disabled(net_list.nets().size()) {} + ~DecompNetlistRouter() {} + + /** Run a single iteration of netlist routing for this->_net_list. This usually means calling + * \ref route_net for each net, which will handle other global updates. + * \return RouteIterResults for this iteration. */ + RouteIterResults route_netlist(int itry, float pres_fac, float worst_neg_slack); + /** Set RCV enable flag for all routers managed by this netlist router. + * Net decomposition does not work with RCV, so calling this fn with x=true is a fatal error. */ + void set_rcv_enabled(bool x); + void set_timing_info(std::shared_ptr timing_info); + + private: + /** Should we decompose this net? */ + bool should_decompose_net(ParentNetId net_id, const PartitionTreeNode& node); + /** Get a bitset with sinks to route before net decomposition */ + vtr::dynamic_bitset<> get_decomposition_mask(ParentNetId net_id, const PartitionTreeNode& node); + /** Get a bitset with sinks to route before virtual net decomposition */ + vtr::dynamic_bitset<> get_vnet_decomposition_mask(const VirtualNet& vnet, const PartitionTreeNode& node); + /** Decompose and route a regular net. Output the resulting vnets to \p left and \p right. + * \return Success status: true if routing is successful and left and right now contain valid virtual nets: false otherwise. */ + bool decompose_and_route_net(ParentNetId net_id, const PartitionTreeNode& node, VirtualNet& left, VirtualNet& right); + /** Decompose and route a virtual net. Output the resulting vnets to \p left and \p right. + * \return Success status: true if routing is successful and left and right now contain valid virtual nets: false otherwise. */ + bool decompose_and_route_vnet(VirtualNet& vnet, const PartitionTreeNode& node, VirtualNet& left, VirtualNet& right); + /** A single task to route nets inside a PartitionTree node and add tasks for its child nodes to task group \p g. */ + void route_partition_tree_node(tbb::task_group& g, PartitionTreeNode& node); + + ConnectionRouter _make_router(const RouterLookahead* router_lookahead, bool is_flat) { + auto& device_ctx = g_vpr_ctx.device(); + auto& route_ctx = g_vpr_ctx.mutable_routing(); + + return ConnectionRouter( + device_ctx.grid, + *router_lookahead, + device_ctx.rr_graph.rr_nodes(), + &device_ctx.rr_graph, + device_ctx.rr_rc_data, + device_ctx.rr_graph.rr_switch(), + route_ctx.rr_node_route_inf, + is_flat); + } + + /* Context fields. Most of them will be forwarded to route_net (see route_net.tpp) */ + /** Per-thread storage for ConnectionRouters. */ + tbb::enumerable_thread_specific> _routers_th; + const Netlist<>& _net_list; + const t_router_opts& _router_opts; + CBRR& _connections_inf; + /** Per-thread storage for RouteIterResults. */ + tbb::enumerable_thread_specific _results_th; + NetPinsMatrix& _net_delay; + const ClusteredPinAtomPinsLookup& _netlist_pin_lookup; + std::shared_ptr _timing_info; + NetPinTimingInvalidator* _pin_timing_invalidator; + route_budgets& _budgeting_inf; + const RoutingPredictor& _routing_predictor; + const vtr::vector>>& _choking_spots; + bool _is_flat; + + /** Cached routing parameters for current iteration (inputs to \see route_netlist()) */ + int _itry; + float _pres_fac; + float _worst_neg_slack; + + /** Sinks to be always sampled for decomposition for each net: [0.._net_list.size()-1] + * (i.e. when routing fails after decomposition for a sink, sample it on next iteration) */ + vtr::vector> _net_known_samples; + + /** Is decomposition disabled for this net? [0.._net_list.size()-1] */ + vtr::vector _is_decomp_disabled; +}; + +#include "DecompNetlistRouter.tpp" diff --git a/vpr/src/route/DecompNetlistRouter.tpp b/vpr/src/route/DecompNetlistRouter.tpp new file mode 100644 index 00000000000..95ce65e86e1 --- /dev/null +++ b/vpr/src/route/DecompNetlistRouter.tpp @@ -0,0 +1,681 @@ +#pragma once + +/** @file Impls for DecompNetlistRouter */ + +#include "DecompNetlistRouter.h" +#include "netlist_routers.h" +#include "route_net.h" +#include "sink_sampling.h" +#include "vtr_dynamic_bitset.h" +#include "vtr_time.h" + +template +inline RouteIterResults DecompNetlistRouter::route_netlist(int itry, float pres_fac, float worst_neg_slack) { + /* Reset results for each thread */ + for (auto& results : _results_th) { + results = RouteIterResults(); + } + + /* Set the routing parameters: they won't change until the next call and that saves us the trouble of passing them around */ + _itry = itry; + _pres_fac = pres_fac; + _worst_neg_slack = worst_neg_slack; + + /* Organize netlist into a PartitionTree. + * Nets in a given level of nodes are guaranteed to not have any overlapping bounding boxes, so they can be routed in parallel. */ + PartitionTree tree(_net_list); + + /* Put the root node on the task queue, which will add its child nodes when it's finished. Wait until the entire tree gets routed. */ + tbb::task_group g; + route_partition_tree_node(g, tree.root()); + g.wait(); + + /* Combine results from threads */ + RouteIterResults out; + for (auto& results : _results_th) { + out.stats.combine(results.stats); + out.rerouted_nets.insert(out.rerouted_nets.end(), results.rerouted_nets.begin(), results.rerouted_nets.end()); + out.is_routable &= results.is_routable; + } + return out; +} + +template +void DecompNetlistRouter::set_rcv_enabled(bool x) { + if (x) + VPR_FATAL_ERROR(VPR_ERROR_ROUTE, "Net decomposition with RCV is not implemented yet.\n"); +} + +template +void DecompNetlistRouter::set_timing_info(std::shared_ptr timing_info) { + _timing_info = timing_info; +} + +/** Get a sink mask for sinks inside a vnet's clipped BB. */ +inline vtr::dynamic_bitset<> get_vnet_sink_mask(const VirtualNet& vnet) { + auto& route_ctx = g_vpr_ctx.routing(); + size_t num_sinks = route_ctx.route_trees[vnet.net_id]->num_sinks(); + vtr::dynamic_bitset<> out(num_sinks + 1); + + /* 1-indexed! */ + for (size_t isink = 1; isink < num_sinks + 1; isink++) { + RRNodeId sink_rr = route_ctx.net_rr_terminals[vnet.net_id][isink]; + if (inside_bb(sink_rr, vnet.clipped_bb)) + out.set(isink, true); + } + + return out; +} + +/** Should we decompose this net? */ +template +bool DecompNetlistRouter::should_decompose_net(ParentNetId net_id, const PartitionTreeNode& node) { + /* We're at a partition tree leaf: no more nodes to delegate newly created vnets to */ + if (!node.left || !node.right) + return false; + /* Clock net */ + if (_net_list.net_is_global(net_id) && _router_opts.two_stage_clock_routing) + return false; + /* Decomposition is disabled for net */ + if (_is_decomp_disabled[net_id]) + return false; + /* We are past the iteration to try decomposition */ + if (_itry > MAX_DECOMP_ITER) + return false; + /* Net is too small */ + int num_sinks = _net_list.net_sinks(net_id).size(); + if (num_sinks < MIN_DECOMP_SINKS) + return false; + + return true; +} + +/** Should we decompose this virtual net? (see partition_tree.h) */ +inline bool should_decompose_vnet(const VirtualNet& vnet, const PartitionTreeNode& node) { + /* We're at a partition tree leaf: no more nodes to delegate newly created vnets to */ + if (!node.left || !node.right) + return false; + + /* Vnet has been decomposed too many times */ + if (vnet.times_decomposed >= MAX_DECOMP_DEPTH) + return false; + + /* Cutline doesn't go through vnet (a valid case: it wasn't there when partition tree was being built) */ + if (node.cutline_axis == Axis::X) { + if (vnet.clipped_bb.xmin > node.cutline_pos || vnet.clipped_bb.xmax < node.cutline_pos) + return false; + } else { + if (vnet.clipped_bb.ymin > node.cutline_pos || vnet.clipped_bb.ymax < node.cutline_pos) + return false; + } + + /* Vnet is too small */ + int num_sinks = get_vnet_sink_mask(vnet).count(); + if (num_sinks < MIN_DECOMP_SINKS_VNET) + return false; + + return true; +} + +template +void DecompNetlistRouter::route_partition_tree_node(tbb::task_group& g, PartitionTreeNode& node) { + auto& route_ctx = g_vpr_ctx.mutable_routing(); + + /* Sort so that nets with the most sinks are routed first. + * We want to interleave virtual nets with regular ones, so sort an "index vector" + * instead where indices >= node.nets.size() refer to node.vnets. + * Virtual nets use their parent net's #fanouts in sorting while regular + * nets use their own #fanouts. */ + std::vector order(node.nets.size() + node.vnets.size()); + std::iota(order.begin(), order.end(), 0); + std::sort(order.begin(), order.end(), [&](size_t i, size_t j) -> bool { + ParentNetId id1 = i < node.nets.size() ? node.nets[i] : node.vnets[i - node.nets.size()].net_id; + ParentNetId id2 = j < node.nets.size() ? node.nets[j] : node.vnets[j - node.nets.size()].net_id; + return _net_list.net_sinks(id1).size() > _net_list.net_sinks(id2).size(); + }); + + vtr::Timer t; + for (size_t i : order) { + if (i < node.nets.size()) { /* Regular net (not decomposed) */ + ParentNetId net_id = node.nets[i]; + if (!should_route_net(_net_list, net_id, _connections_inf, _budgeting_inf, _worst_neg_slack, true)) + continue; + /* Setup the net (reset or prune) only once here in the flow. Then all calls to route_net turn off auto-setup */ + setup_net( + _itry, + net_id, + _net_list, + _connections_inf, + _router_opts, + _worst_neg_slack); + /* Try decomposing the net. */ + if (should_decompose_net(net_id, node)) { + VirtualNet left_vnet, right_vnet; + bool is_decomposed = decompose_and_route_net(net_id, node, left_vnet, right_vnet); + if (is_decomposed) { + node.left->vnets.push_back(left_vnet); + node.right->vnets.push_back(right_vnet); + _results_th.local().rerouted_nets.push_back(net_id); + continue; + } + } + /* decompose_and_route fails when we get bad flags, so we only need to handle them here */ + auto flags = route_net( + _routers_th.local(), + _net_list, + net_id, + _itry, + _pres_fac, + _router_opts, + _connections_inf, + _results_th.local().stats, + _net_delay, + _netlist_pin_lookup, + _timing_info.get(), + _pin_timing_invalidator, + _budgeting_inf, + _worst_neg_slack, + _routing_predictor, + _choking_spots[net_id], + _is_flat, + route_ctx.route_bb[net_id], + false); + if (!flags.success && !flags.retry_with_full_bb) { + /* Disconnected RRG and ConnectionRouter doesn't think growing the BB will work */ + _results_th.local().is_routable = false; + return; + } + if (flags.retry_with_full_bb) { + /* ConnectionRouter thinks we should grow the BB. Do that and leave this net unrouted for now */ + route_ctx.route_bb[net_id] = full_device_bb(); + /* Disable decomposition for nets like this: they're already problematic */ + _is_decomp_disabled[net_id] = true; + continue; + } + if (flags.was_rerouted) { + _results_th.local().rerouted_nets.push_back(net_id); + } + } else { /* Virtual net (was decomposed in the upper level) */ + VirtualNet& vnet = node.vnets[i - node.nets.size()]; + if (should_decompose_vnet(vnet, node)) { + VirtualNet left_vnet, right_vnet; + bool is_decomposed = decompose_and_route_vnet(vnet, node, left_vnet, right_vnet); + if (is_decomposed) { + node.left->vnets.push_back(left_vnet); + node.right->vnets.push_back(right_vnet); + continue; + } + } + /* Route the full vnet. Again we don't care about the flags, they should be handled by the regular path */ + auto sink_mask = get_vnet_sink_mask(vnet); + route_net( + _routers_th.local(), + _net_list, + vnet.net_id, + _itry, + _pres_fac, + _router_opts, + _connections_inf, + _results_th.local().stats, + _net_delay, + _netlist_pin_lookup, + _timing_info.get(), + _pin_timing_invalidator, + _budgeting_inf, + _worst_neg_slack, + _routing_predictor, + _choking_spots[vnet.net_id], + _is_flat, + vnet.clipped_bb, + false, + sink_mask); + } + } + + PartitionTreeDebug::log("Node with " + std::to_string(node.nets.size()) + + " nets and " + std::to_string(node.vnets.size()) + + " virtual nets routed in " + std::to_string(t.elapsed_sec()) + + " s"); + + /* This node is finished: add left & right branches to the task queue */ + if (node.left && node.right) { + g.run([&]() { + route_partition_tree_node(g, *node.left); + }); + g.run([&]() { + route_partition_tree_node(g, *node.right); + }); + } else { + VTR_ASSERT(!node.left && !node.right); // there shouldn't be a node with a single branch + } +} + +/** Clip bb to one side of the cutline given the axis and position of the cutline. + * Note that cutlines are assumed to be at axis = cutline_pos + 0.5. */ +inline t_bb clip_to_side(const t_bb& bb, Axis axis, int cutline_pos, Side side) { + t_bb out = bb; + if (axis == Axis::X && side == Side::LEFT) + out.xmax = cutline_pos; + else if (axis == Axis::X && side == Side::RIGHT) + out.xmin = cutline_pos + 1; + else if (axis == Axis::Y && side == Side::LEFT) + out.ymax = cutline_pos; + else if (axis == Axis::Y && side == Side::RIGHT) + out.ymin = cutline_pos + 1; + else + VTR_ASSERT_MSG(false, "Unreachable"); + return out; +} + +/** Break a net/vnet into two. Output into references */ +inline void make_vnet_pair(ParentNetId net_id, const t_bb& bb, Axis cutline_axis, int cutline_pos, VirtualNet& left, VirtualNet& right) { + left.net_id = net_id; + left.clipped_bb = clip_to_side(bb, cutline_axis, cutline_pos, Side::LEFT); + right.net_id = net_id; + right.clipped_bb = clip_to_side(bb, cutline_axis, cutline_pos, Side::RIGHT); +} + +template +bool DecompNetlistRouter::decompose_and_route_net(ParentNetId net_id, const PartitionTreeNode& node, VirtualNet& left, VirtualNet& right) { + auto& route_ctx = g_vpr_ctx.routing(); + auto& net_bb = route_ctx.route_bb[net_id]; + + /* Sample enough sinks to provide branch-off points to the virtual nets we create */ + auto sink_mask = get_decomposition_mask(net_id, node); + + /* Route the net with the given mask: only the sinks we ask for will be routed */ + auto flags = route_net( + _routers_th.local(), + _net_list, + net_id, + _itry, + _pres_fac, + _router_opts, + _connections_inf, + _results_th.local().stats, + _net_delay, + _netlist_pin_lookup, + _timing_info.get(), + _pin_timing_invalidator, + _budgeting_inf, + _worst_neg_slack, + _routing_predictor, + _choking_spots[net_id], + _is_flat, + net_bb, + false, + sink_mask); + + if (!flags.success) { /* Even if flags.retry_with_full_bb is set, better to bail out here */ + return false; + } + + /* Divide the net into two halves */ + make_vnet_pair(net_id, net_bb, node.cutline_axis, node.cutline_pos, left, right); + left.times_decomposed = 1; + right.times_decomposed = 1; + return true; +} + +/* Debug code for PartitionTreeDebug (describes existing routing) */ + +inline std::string describe_bbox(const t_bb& bb) { + return std::to_string(bb.xmin) + "," + std::to_string(bb.ymin) + + "x" + std::to_string(bb.xmax) + "," + std::to_string(bb.ymax); +} + +inline std::string describe_rr_coords(RRNodeId inode) { + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + return std::to_string(rr_graph.node_xlow(inode)) + + "," + std::to_string(rr_graph.node_ylow(inode)) + + " -> " + std::to_string(rr_graph.node_xhigh(inode)) + + "," + std::to_string(rr_graph.node_yhigh(inode)); +} + +/** Build a string describing \p vnet and its existing routing */ +inline std::string describe_vnet(const VirtualNet& vnet) { + const auto& route_ctx = g_vpr_ctx.routing(); + + std::string out = ""; + out += "Virtual net with bbox " + describe_bbox(vnet.clipped_bb) + + " parent net: " + std::to_string(size_t(vnet.net_id)) + + " parent bbox: " + describe_bbox(route_ctx.route_bb[vnet.net_id]) + "\n"; + + RRNodeId source_rr = route_ctx.net_rr_terminals[vnet.net_id][0]; + out += "source: " + describe_rr_coords(source_rr) + ", sinks:"; + for (size_t i = 1; i < route_ctx.net_rr_terminals[vnet.net_id].size(); i++) { + RRNodeId sink_rr = route_ctx.net_rr_terminals[vnet.net_id][i]; + out += " " + describe_rr_coords(sink_rr); + } + out += "\n"; + + const auto& vnet_isinks = get_vnet_sink_mask(vnet); + auto my_isinks = sink_mask_to_vector(vnet_isinks, route_ctx.route_trees[vnet.net_id]->num_sinks()); + out += "my sinks:"; + for (int isink : my_isinks) + out += " " + std::to_string(isink); + out += "\n"; + + out += "current routing:"; + auto all_nodes = route_ctx.route_trees[vnet.net_id]->all_nodes(); + for (auto it = all_nodes.begin(); it != all_nodes.end(); ++it) { + if ((*it).is_leaf()) { + out += describe_rr_coords((*it).inode) + " END "; + ++it; + if (it == all_nodes.end()) + break; + out += describe_rr_coords((*it).parent()->inode) + " -> "; + out += describe_rr_coords((*it).inode) + " -> "; + } else { + out += describe_rr_coords((*it).inode) + " -> "; + } + } + out += "\n"; + + return out; +} + +/* Debug code for PartitionTreeDebug ends */ + +template +bool DecompNetlistRouter::decompose_and_route_vnet(VirtualNet& vnet, const PartitionTreeNode& node, VirtualNet& left, VirtualNet& right) { + /* Sample enough sinks to provide branch-off points to the virtual nets we create */ + auto sink_mask = get_vnet_decomposition_mask(vnet, node); + + /* Route the *parent* net with the given mask: only the sinks we ask for will be routed */ + auto flags = route_net( + _routers_th.local(), + _net_list, + vnet.net_id, + _itry, + _pres_fac, + _router_opts, + _connections_inf, + _results_th.local().stats, + _net_delay, + _netlist_pin_lookup, + _timing_info.get(), + _pin_timing_invalidator, + _budgeting_inf, + _worst_neg_slack, + _routing_predictor, + _choking_spots[vnet.net_id], + _is_flat, + vnet.clipped_bb, + false, + sink_mask); + + if (!flags.success) { /* Even if flags.retry_with_full_bb is set, better to bail out here */ + PartitionTreeDebug::log("Failed to route decomposed net:\n" + describe_vnet(vnet)); + return false; + } + + /* Divide the net into two halves */ + make_vnet_pair(vnet.net_id, vnet.clipped_bb, node.cutline_axis, node.cutline_pos, left, right); + left.times_decomposed = vnet.times_decomposed + 1; + right.times_decomposed = vnet.times_decomposed + 1; + return true; +} + +/** Is \p inode less than \p thickness away from the cutline? */ +inline bool is_close_to_cutline(RRNodeId inode, Axis cutline_axis, int cutline_pos, int thickness) { + const auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + /* Cutlines are considered to be at x + 0.5, set a thickness of +1 here by checking for equality */ + if (cutline_axis == Axis::X) { + return rr_graph.node_xlow(inode) - thickness <= cutline_pos && rr_graph.node_xhigh(inode) + thickness >= cutline_pos; + } else { + return rr_graph.node_ylow(inode) - thickness <= cutline_pos && rr_graph.node_yhigh(inode) + thickness >= cutline_pos; + } +} + +/** Is \p inode less than \p thickness away from the \p bb perimeter? */ +inline bool is_close_to_bb(RRNodeId inode, const t_bb& bb, int thickness) { + const auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + int xlow = rr_graph.node_xlow(inode) - thickness; + int ylow = rr_graph.node_ylow(inode) - thickness; + int xhigh = rr_graph.node_xhigh(inode) + thickness; + int yhigh = rr_graph.node_yhigh(inode) + thickness; + + return (xlow <= bb.xmin && xhigh >= bb.xmin) + || (ylow <= bb.ymin && yhigh >= bb.ymin) + || (xlow <= bb.xmax && xhigh >= bb.xmax) + || (ylow <= bb.ymax && yhigh >= bb.ymax); +} + +/** Does this net either: + * * have a very narrow sink side or + * * have less than MIN_SINKS sinks in the sink side? + * If so, put all sinks in the sink side into \p out and return true */ +inline bool get_reduction_mask(ParentNetId net_id, Axis cutline_axis, int cutline_pos, vtr::dynamic_bitset<>& out) { + const auto& route_ctx = g_vpr_ctx.routing(); + + const RouteTree& tree = route_ctx.route_trees[net_id].value(); + int num_sinks = tree.num_sinks(); + vtr::dynamic_bitset<> sink_side_mask(num_sinks + 1); + int all_sinks = 0; + + Side source_side = which_side(tree.root().inode, cutline_axis, cutline_pos); + const t_bb& net_bb = route_ctx.route_bb[net_id]; + t_bb sink_side_bb = clip_to_side(net_bb, cutline_axis, cutline_pos, !source_side); + auto& is_isink_reached = tree.get_is_isink_reached(); + + /* Get sinks on the sink side */ + for (int isink = 1; isink < num_sinks + 1; isink++) { + RRNodeId rr_sink = route_ctx.net_rr_terminals[net_id][isink]; + if (inside_bb(rr_sink, sink_side_bb)) { + if (!is_isink_reached.get(isink)) + sink_side_mask.set(isink, true); + if (is_close_to_cutline(rr_sink, cutline_axis, cutline_pos, 1)) /* Don't count sinks close to cutline */ + continue; + all_sinks++; + } + } + + /* Are there too few sinks on the sink side? In that case, just route to all of them */ + const int MIN_SINKS = 4; + if (all_sinks <= MIN_SINKS) { + out |= sink_side_mask; + return true; + } + + /* Is the sink side narrow? In that case, it may not contain enough wires to route */ + const int MIN_WIDTH = 10; + int W = sink_side_bb.xmax - sink_side_bb.xmin + 1; + int H = sink_side_bb.ymax - sink_side_bb.ymin + 1; + if (W < MIN_WIDTH || H < MIN_WIDTH) { + out |= sink_side_mask; + return true; + } + + return false; +} + +template +vtr::dynamic_bitset<> DecompNetlistRouter::get_decomposition_mask(ParentNetId net_id, const PartitionTreeNode& node) { + const auto& route_ctx = g_vpr_ctx.routing(); + const RouteTree& tree = route_ctx.route_trees[net_id].value(); + size_t num_sinks = tree.num_sinks(); + + /** Note that sink masks are 1-indexed */ + auto& is_isink_reached = tree.get_is_isink_reached(); + vtr::dynamic_bitset<> out(num_sinks + 1); + + /* Sometimes cutlines divide a net very unevenly. In that case, just route to all + * sinks in the small side and unblock. Stick with convex hull sampling if source + * is close to cutline. */ + bool is_reduced = get_reduction_mask(net_id, node.cutline_axis, node.cutline_pos, out); + + bool source_on_cutline = is_close_to_cutline(tree.root().inode, node.cutline_axis, node.cutline_pos, 1); + if (!is_reduced || source_on_cutline) + convex_hull_downsample(net_id, route_ctx.route_bb[net_id], out); + + /* Always sample "known samples": sinks known to fail to route. + * We don't lock it here, because it's written to during the routing step of decomposition, + * which happens after this fn. */ + out |= _net_known_samples[net_id]; + + /* Sample if a sink is too close to the cutline (and unreached). + * Those sinks are likely to fail routing */ + for (size_t isink = 1; isink < num_sinks + 1; isink++) { + if (is_isink_reached.get(isink)) + continue; + + RRNodeId rr_sink = route_ctx.net_rr_terminals[net_id][isink]; + if (is_close_to_cutline(rr_sink, node.cutline_axis, node.cutline_pos, 1)) + out.set(isink, true); + } + + return out; +} + +/** Does this net either: + * * have a very narrow side or + * * have less than MIN_SINKS sinks in at least one side? + * If so, put all sinks in the sides matching the above condition into \p out and return true */ +inline int get_reduction_mask_vnet_no_source(const VirtualNet& vnet, Axis cutline_axis, int cutline_pos, vtr::dynamic_bitset<>& out) { + const auto& route_ctx = g_vpr_ctx.routing(); + + const RouteTree& tree = route_ctx.route_trees[vnet.net_id].value(); + int num_sinks = tree.num_sinks(); + const t_bb& net_bb = vnet.clipped_bb; + + t_bb left_side = clip_to_side(net_bb, cutline_axis, cutline_pos, Side::LEFT); + t_bb right_side = clip_to_side(net_bb, cutline_axis, cutline_pos, Side::RIGHT); + auto& is_isink_reached = tree.get_is_isink_reached(); + + int reduced_sides = 0; + + for (const t_bb& side_bb : {left_side, right_side}) { + vtr::dynamic_bitset<> side_mask(num_sinks + 1); + int all_sinks = 0; + + const int MIN_WIDTH = 10; + int W = side_bb.xmax - side_bb.xmin + 1; + int H = side_bb.ymax - side_bb.ymin + 1; + bool is_narrow = (W < MIN_WIDTH || H < MIN_WIDTH); + bool should_reduce = true; + + const int MIN_SINKS = 4; + + for (int isink = 1; isink < num_sinks + 1; isink++) { + RRNodeId rr_sink = route_ctx.net_rr_terminals[vnet.net_id][isink]; + if (!inside_bb(rr_sink, side_bb)) + continue; + if (!is_isink_reached.get(isink)) + side_mask.set(isink, true); + if (is_narrow) /* If the box is narrow, don't check for all_sinks -- we are going to reduce it anyway */ + continue; + if (is_close_to_bb(rr_sink, side_bb, 1)) + continue; + all_sinks++; + if (all_sinks > MIN_SINKS) { + should_reduce = false; + break; + } + } + + if (!should_reduce) /* We found enough sinks and the box is not narrow */ + continue; + + /* Either we have a narrow box, or too few unique sink locations. Just route to every sink on this side */ + out |= side_mask; + reduced_sides++; + } + + return reduced_sides; +} + +/** Similar fn to \see get_reduction_mask, but works with virtual nets + * and checks against the clipped bounding box instead of the cutline when counting sink-side sinks. */ +inline bool get_reduction_mask_vnet_with_source(const VirtualNet& vnet, Axis cutline_axis, int cutline_pos, vtr::dynamic_bitset<>& out) { + const auto& route_ctx = g_vpr_ctx.routing(); + + const RouteTree& tree = route_ctx.route_trees[vnet.net_id].value(); + int num_sinks = tree.num_sinks(); + vtr::dynamic_bitset<> sink_side_mask(num_sinks + 1); + int all_sinks = 0; + + Side source_side = which_side(tree.root().inode, cutline_axis, cutline_pos); + const t_bb& net_bb = vnet.clipped_bb; + t_bb sink_side_bb = clip_to_side(net_bb, cutline_axis, cutline_pos, !source_side); + auto& is_isink_reached = tree.get_is_isink_reached(); + + /* Get sinks on the sink side */ + for (int isink = 1; isink < num_sinks + 1; isink++) { + RRNodeId rr_sink = route_ctx.net_rr_terminals[vnet.net_id][isink]; + if (inside_bb(rr_sink, sink_side_bb)) { + if (!is_isink_reached.get(isink)) + sink_side_mask.set(isink, true); + if (is_close_to_bb(rr_sink, sink_side_bb, 1)) /* Don't count sinks close to BB */ + continue; + all_sinks++; + } + } + + /* Are there too few sinks on the sink side? In that case, just route to all of them */ + const int MIN_SINKS = 4; + if (all_sinks <= MIN_SINKS) { + out |= sink_side_mask; + return true; + } + + /* Is the sink side narrow? In that case, it may not contain enough wires to route */ + const int MIN_WIDTH = 10; + int W = sink_side_bb.xmax - sink_side_bb.xmin + 1; + int H = sink_side_bb.ymax - sink_side_bb.ymin + 1; + if (W < MIN_WIDTH || H < MIN_WIDTH) { + out |= sink_side_mask; + return true; + } + + return false; +} + +template +vtr::dynamic_bitset<> DecompNetlistRouter::get_vnet_decomposition_mask(const VirtualNet& vnet, const PartitionTreeNode& node) { + const auto& route_ctx = g_vpr_ctx.routing(); + const RouteTree& tree = route_ctx.route_trees[vnet.net_id].value(); + int num_sinks = tree.num_sinks(); + + auto& is_isink_reached = tree.get_is_isink_reached(); + vtr::dynamic_bitset<> out(num_sinks + 1); + + /* Sometimes cutlines divide a net very unevenly. In that case, just route to all + * sinks in the small side and unblock. Add convex hull since we are in a vnet which + * may not have a source at all */ + if (inside_bb(tree.root().inode, vnet.clipped_bb)) { /* We have source, no need to sample after reduction in most cases */ + bool is_reduced = get_reduction_mask_vnet_with_source(vnet, node.cutline_axis, node.cutline_pos, out); + bool source_on_cutline = is_close_to_cutline(tree.root().inode, node.cutline_axis, node.cutline_pos, 1); + if (!is_reduced || source_on_cutline) + convex_hull_downsample(vnet.net_id, vnet.clipped_bb, out); + } else { + int reduced_sides = get_reduction_mask_vnet_no_source(vnet, node.cutline_axis, node.cutline_pos, out); + if (reduced_sides < 2) { + convex_hull_downsample(vnet.net_id, vnet.clipped_bb, out); + } + } + + std::vector isinks = sink_mask_to_vector(get_vnet_sink_mask(vnet), tree.num_sinks()); + + /* Sample if a sink is too close to the cutline (and unreached). + * Those sinks are likely to fail routing */ + for (size_t isink : isinks) { + if (is_isink_reached.get(isink)) + continue; + RRNodeId rr_sink = route_ctx.net_rr_terminals[vnet.net_id][isink]; + if (is_close_to_cutline(rr_sink, node.cutline_axis, node.cutline_pos, 1)) { + out.set(isink, true); + continue; + } + if (is_close_to_bb(rr_sink, vnet.clipped_bb, 1)) + out.set(isink, true); + } + + return out; +} diff --git a/vpr/src/route/ParallelNetlistRouter.h b/vpr/src/route/ParallelNetlistRouter.h index e562da15627..35a2da25098 100644 --- a/vpr/src/route/ParallelNetlistRouter.h +++ b/vpr/src/route/ParallelNetlistRouter.h @@ -57,7 +57,7 @@ class ParallelNetlistRouter : public NetlistRouter { private: /** A single task to route nets inside a PartitionTree node and add tasks for its child nodes to task group \p g. */ - void route_partition_tree_node(tbb::task_group& g, PartitionTreeNode& node, int itry, float pres_fac, float worst_neg_slack); + void route_partition_tree_node(tbb::task_group& g, PartitionTreeNode& node); ConnectionRouter _make_router(const RouterLookahead* router_lookahead, bool is_flat) { auto& device_ctx = g_vpr_ctx.device(); @@ -74,11 +74,13 @@ class ParallelNetlistRouter : public NetlistRouter { is_flat); } - /* Context fields */ + /* Context fields. Most of them will be forwarded to route_net (see route_net.tpp) */ + /** Per-thread storage for ConnectionRouters. */ tbb::enumerable_thread_specific> _routers_th; const Netlist<>& _net_list; const t_router_opts& _router_opts; CBRR& _connections_inf; + /** Per-thread storage for RouteIterResults. */ tbb::enumerable_thread_specific _results_th; NetPinsMatrix& _net_delay; const ClusteredPinAtomPinsLookup& _netlist_pin_lookup; @@ -88,6 +90,11 @@ class ParallelNetlistRouter : public NetlistRouter { const RoutingPredictor& _routing_predictor; const vtr::vector>>& _choking_spots; bool _is_flat; + + /** Cached routing parameters for current iteration (inputs to \see route_netlist()) */ + int _itry; + float _pres_fac; + float _worst_neg_slack; }; #include "ParallelNetlistRouter.tpp" diff --git a/vpr/src/route/ParallelNetlistRouter.tpp b/vpr/src/route/ParallelNetlistRouter.tpp index 3c73b784b6e..27e2ace66ad 100644 --- a/vpr/src/route/ParallelNetlistRouter.tpp +++ b/vpr/src/route/ParallelNetlistRouter.tpp @@ -13,13 +13,18 @@ inline RouteIterResults ParallelNetlistRouter::route_netlist(int itry, results = RouteIterResults(); } + /* Set the routing parameters: they won't change until the next call and that saves us the trouble of passing them around */ + _itry = itry; + _pres_fac = pres_fac; + _worst_neg_slack = worst_neg_slack; + /* Organize netlist into a PartitionTree. * Nets in a given level of nodes are guaranteed to not have any overlapping bounding boxes, so they can be routed in parallel. */ PartitionTree tree(_net_list); /* Put the root node on the task queue, which will add its child nodes when it's finished. Wait until the entire tree gets routed. */ tbb::task_group g; - route_partition_tree_node(g, tree.root(), itry, pres_fac, worst_neg_slack); + route_partition_tree_node(g, tree.root()); g.wait(); /* Combine results from threads */ @@ -33,7 +38,7 @@ inline RouteIterResults ParallelNetlistRouter::route_netlist(int itry, } template -void ParallelNetlistRouter::route_partition_tree_node(tbb::task_group& g, PartitionTreeNode& node, int itry, float pres_fac, float worst_neg_slack) { +void ParallelNetlistRouter::route_partition_tree_node(tbb::task_group& g, PartitionTreeNode& node) { auto& route_ctx = g_vpr_ctx.mutable_routing(); /* Sort so net with most sinks is routed first. */ @@ -47,8 +52,8 @@ void ParallelNetlistRouter::route_partition_tree_node(tbb::task_group& _routers_th.local(), _net_list, net_id, - itry, - pres_fac, + _itry, + _pres_fac, _router_opts, _connections_inf, _results_th.local().stats, @@ -57,10 +62,11 @@ void ParallelNetlistRouter::route_partition_tree_node(tbb::task_group& _timing_info.get(), _pin_timing_invalidator, _budgeting_inf, - worst_neg_slack, + _worst_neg_slack, _routing_predictor, _choking_spots[net_id], - _is_flat); + _is_flat, + route_ctx.route_bb[net_id]); if (!flags.success && !flags.retry_with_full_bb) { /* Disconnected RRG and ConnectionRouter doesn't think growing the BB will work */ @@ -81,10 +87,10 @@ void ParallelNetlistRouter::route_partition_tree_node(tbb::task_group& /* This node is finished: add left & right branches to the task queue */ if (node.left && node.right) { g.run([&]() { - route_partition_tree_node(g, *node.left, itry, pres_fac, worst_neg_slack); + route_partition_tree_node(g, *node.left); }); g.run([&]() { - route_partition_tree_node(g, *node.right, itry, pres_fac, worst_neg_slack); + route_partition_tree_node(g, *node.right); }); } else { VTR_ASSERT(!node.left && !node.right); // there shouldn't be a node with a single branch diff --git a/vpr/src/route/SerialNetlistRouter.tpp b/vpr/src/route/SerialNetlistRouter.tpp index 714426a1920..6dc5d6c2f0e 100644 --- a/vpr/src/route/SerialNetlistRouter.tpp +++ b/vpr/src/route/SerialNetlistRouter.tpp @@ -35,7 +35,8 @@ inline RouteIterResults SerialNetlistRouter::route_netlist(int itry, f worst_neg_slack, _routing_predictor, _choking_spots[net_id], - _is_flat); + _is_flat, + route_ctx.route_bb[net_id]); if (!flags.success && !flags.retry_with_full_bb) { /* Disconnected RRG and ConnectionRouter doesn't think growing the BB will work */ diff --git a/vpr/src/route/connection_router.cpp b/vpr/src/route/connection_router.cpp index 355e4ff3720..9e49474943a 100644 --- a/vpr/src/route/connection_router.cpp +++ b/vpr/src/route/connection_router.cpp @@ -42,8 +42,8 @@ template std::tuple ConnectionRouter::timing_driven_route_connection_from_route_tree( const RouteTreeNode& rt_root, RRNodeId sink_node, - const t_conn_cost_params cost_params, - t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, RouterStats& router_stats, const ConnectionParameters& conn_params) { router_stats_ = &router_stats; @@ -74,12 +74,12 @@ template std::tuple ConnectionRouter::timing_driven_route_connection_common_setup( const RouteTreeNode& rt_root, RRNodeId sink_node, - const t_conn_cost_params cost_params, - t_bb bounding_box) { + const t_conn_cost_params& cost_params, + const t_bb& bounding_box) { //Re-add route nodes from the existing route tree to the heap. //They need to be repushed onto the heap since each node's cost is target specific. - add_route_tree_to_heap(rt_root, sink_node, cost_params); + add_route_tree_to_heap(rt_root, sink_node, cost_params, bounding_box); heap_.build_heap(); // via sifting down everything RRNodeId source_node = rt_root.inode; @@ -133,8 +133,8 @@ template std::tuple ConnectionRouter::timing_driven_route_connection_from_route_tree_high_fanout( const RouteTreeNode& rt_root, RRNodeId sink_node, - const t_conn_cost_params cost_params, - t_bb net_bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& net_bounding_box, const SpatialRouteTreeLookup& spatial_rt_lookup, RouterStats& router_stats, const ConnectionParameters& conn_params) { @@ -205,8 +205,8 @@ std::tuple ConnectionRouter::timing_driven_route_conne // Returns either the last element of the path, or nullptr if no path is found template t_heap* ConnectionRouter::timing_driven_route_connection_from_heap(RRNodeId sink_node, - const t_conn_cost_params cost_params, - t_bb bounding_box) { + const t_conn_cost_params& cost_params, + const t_bb& bounding_box) { VTR_ASSERT_SAFE(heap_.is_valid()); if (heap_.is_empty_heap()) { //No source @@ -269,8 +269,8 @@ t_heap* ConnectionRouter::timing_driven_route_connection_from_heap(RRNodeI template vtr::vector ConnectionRouter::timing_driven_find_all_shortest_paths_from_route_tree( const RouteTreeNode& rt_root, - const t_conn_cost_params cost_params, - t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, RouterStats& router_stats, const ConnectionParameters& conn_params) { router_stats_ = &router_stats; @@ -278,7 +278,7 @@ vtr::vector ConnectionRouter::timing_driven_find_all_sho // Add the route tree to the heap with no specific target node RRNodeId target_node = RRNodeId::INVALID(); - add_route_tree_to_heap(rt_root, target_node, cost_params); + add_route_tree_to_heap(rt_root, target_node, cost_params, bounding_box); heap_.build_heap(); // via sifting down everything auto res = timing_driven_find_all_shortest_paths_from_heap(cost_params, bounding_box); @@ -296,8 +296,8 @@ vtr::vector ConnectionRouter::timing_driven_find_all_sho // no-operation lookahead which always returns zero. template vtr::vector ConnectionRouter::timing_driven_find_all_shortest_paths_from_heap( - const t_conn_cost_params cost_params, - t_bb bounding_box) { + const t_conn_cost_params& cost_params, + const t_bb& bounding_box) { vtr::vector cheapest_paths(rr_nodes_.size()); VTR_ASSERT_SAFE(heap_.is_valid()); @@ -347,8 +347,8 @@ vtr::vector ConnectionRouter::timing_driven_find_all_sho template void ConnectionRouter::timing_driven_expand_cheapest(t_heap* cheapest, RRNodeId target_node, - const t_conn_cost_params cost_params, - t_bb bounding_box) { + const t_conn_cost_params& cost_params, + const t_bb& bounding_box) { RRNodeId inode = cheapest->index; t_rr_node_route_inf* route_inf = &rr_node_route_inf_[inode]; @@ -394,8 +394,8 @@ void ConnectionRouter::timing_driven_expand_cheapest(t_heap* cheapest, template void ConnectionRouter::timing_driven_expand_neighbours(t_heap* current, - const t_conn_cost_params cost_params, - t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, RRNodeId target_node) { /* Puts all the rr_nodes adjacent to current on the heap. */ @@ -459,35 +459,24 @@ void ConnectionRouter::timing_driven_expand_neighbour(t_heap* current, RRNodeId from_node, RREdgeId from_edge, RRNodeId to_node, - const t_conn_cost_params cost_params, - const t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, RRNodeId target_node, - const t_bb target_bb) { - int to_xlow = rr_graph_->node_xlow(to_node); - int to_ylow = rr_graph_->node_ylow(to_node); - int to_xhigh = rr_graph_->node_xhigh(to_node); - int to_yhigh = rr_graph_->node_yhigh(to_node); - int to_layer = rr_graph_->node_layer(to_node); - + const t_bb& target_bb) { VTR_ASSERT(bounding_box.layer_max < g_vpr_ctx.device().grid.get_num_layers()); // BB-pruning // Disable BB-pruning if RCV is enabled, as this can make it harder for circuits with high negative hold slack to resolve this // TODO: Only disable pruning if the net has negative hold slack, maybe go off budgets - if ((to_xhigh < bounding_box.xmin // Strictly left of BB left-edge - || to_xlow > bounding_box.xmax // Strictly right of BB right-edge - || to_yhigh < bounding_box.ymin // Strictly below BB bottom-edge - || to_ylow > bounding_box.ymax - || to_layer < bounding_box.layer_min - || to_layer > bounding_box.layer_max) // Strictly above BB top-edge + if (!inside_bb(to_node, bounding_box) && !rcv_path_manager.is_enabled()) { VTR_LOGV_DEBUG(router_debug_, " Pruned expansion of node %d edge %zu -> %d" " (to node location %d,%d,%d x %d,%d,%d outside of expanded" " net bounding box %d,%d,%d x %d,%d,%d)\n", from_node, size_t(from_edge), size_t(to_node), - to_xlow, to_ylow, to_layer, - to_xhigh, to_yhigh, to_layer, + rr_graph_->node_xlow(to_node), rr_graph_->node_ylow(to_node), rr_graph_->node_layer(to_node), + rr_graph_->node_xhigh(to_node), rr_graph_->node_yhigh(to_node), rr_graph_->node_layer(to_node), bounding_box.xmin, bounding_box.ymin, bounding_box.layer_min, bounding_box.xmax, bounding_box.ymax, bounding_box.layer_max); return; /* Node is outside (expanded) bounding box. */ @@ -502,6 +491,11 @@ void ConnectionRouter::timing_driven_expand_neighbour(t_heap* current, if (to_type == IPIN) { // Check if this IPIN leads to the target block // IPIN's of the target block should be contained within it's bounding box + int to_xlow = rr_graph_->node_xlow(to_node); + int to_ylow = rr_graph_->node_ylow(to_node); + int to_layer = rr_graph_->node_layer(to_node); + int to_xhigh = rr_graph_->node_xhigh(to_node); + int to_yhigh = rr_graph_->node_yhigh(to_node); if (to_xlow < target_bb.xmin || to_ylow < target_bb.ymin || to_xhigh > target_bb.xmax @@ -545,7 +539,7 @@ void ConnectionRouter::timing_driven_expand_neighbour(t_heap* current, // Add to_node to the heap, and also add any nodes which are connected by non-configurable edges template -void ConnectionRouter::timing_driven_add_to_heap(const t_conn_cost_params cost_params, +void ConnectionRouter::timing_driven_add_to_heap(const t_conn_cost_params& cost_params, const t_heap* current, RRNodeId from_node, RRNodeId to_node, @@ -707,7 +701,7 @@ void ConnectionRouter::set_rcv_enabled(bool enable) { //Calculates the cost of reaching to_node template void ConnectionRouter::evaluate_timing_driven_node_costs(t_heap* to, - const t_conn_cost_params cost_params, + const t_conn_cost_params& cost_params, RRNodeId from_node, RRNodeId to_node, RREdgeId from_edge, @@ -854,7 +848,8 @@ template void ConnectionRouter::add_route_tree_to_heap( const RouteTreeNode& rt_node, RRNodeId target_node, - const t_conn_cost_params cost_params) { + const t_conn_cost_params& cost_params, + const t_bb& net_bb) { /* Puts the entire partial routing below and including rt_node onto the heap * * (except for those parts marked as not to be expanded) by calling itself * * recursively. */ @@ -867,7 +862,8 @@ void ConnectionRouter::add_route_tree_to_heap( } add_route_tree_node_to_heap(rt_node, target_node, - cost_params); + cost_params, + net_bb); } for (const RouteTreeNode& child_node : rt_node.child_nodes()) { @@ -877,12 +873,14 @@ void ConnectionRouter::add_route_tree_to_heap( target_node)) { add_route_tree_to_heap(child_node, target_node, - cost_params); + cost_params, + net_bb); } } else { add_route_tree_to_heap(child_node, target_node, - cost_params); + cost_params, + net_bb); } } } @@ -895,12 +893,17 @@ template void ConnectionRouter::add_route_tree_node_to_heap( const RouteTreeNode& rt_node, RRNodeId target_node, - const t_conn_cost_params cost_params) { + const t_conn_cost_params& cost_params, + const t_bb& net_bb) { const auto& device_ctx = g_vpr_ctx.device(); const RRNodeId inode = rt_node.inode; float backward_path_cost = cost_params.criticality * rt_node.Tdel; float R_upstream = rt_node.R_upstream; + /* Don't push to heap if not in bounding box: no-op for serial router, important for parallel router */ + if (!inside_bb(rt_node.inode, net_bb)) + return; + // after budgets are loaded, calculate delay cost as described by RCV paper /* R. Fung, V. Betz and W. Chow, "Slack Allocation and Routing to Improve FPGA Timing While * Repairing Short-Path Violations," in IEEE Transactions on Computer-Aided Design of @@ -966,9 +969,9 @@ template t_bb ConnectionRouter::add_high_fanout_route_tree_to_heap( const RouteTreeNode& rt_root, RRNodeId target_node, - const t_conn_cost_params cost_params, + const t_conn_cost_params& cost_params, const SpatialRouteTreeLookup& spatial_rt_lookup, - t_bb net_bounding_box) { + const t_bb& net_bounding_box) { //For high fanout nets we only add those route tree nodes which are spatially close //to the sink. // @@ -1009,7 +1012,8 @@ t_bb ConnectionRouter::add_high_fanout_route_tree_to_heap( if (bin_y > spatial_rt_lookup.dim_size(1) - 1) continue; //Out of range for (const RouteTreeNode& rt_node : spatial_rt_lookup[bin_x][bin_y]) { - if (!rt_node.re_expand) continue; //Some nodes (like IPINs) shouldn't be re-expanded + if (!rt_node.re_expand) // Some nodes (like IPINs) shouldn't be re-expanded + continue; RRNodeId rr_node_to_add = rt_node.inode; if (is_flat_) { @@ -1017,11 +1021,16 @@ t_bb ConnectionRouter::add_high_fanout_route_tree_to_heap( continue; } + /* In case of the parallel router, we may be dealing with a virtual net + * so prune the nodes from the HF lookup against the bounding box just in case */ + if (!inside_bb(rr_node_to_add, net_bounding_box)) + continue; + if (!has_path_to_sink(rr_nodes_, rr_graph_, RRNodeId(rt_node.inode), target_node, only_opin_inter_layer)) { continue; } // Put the node onto the heap - add_route_tree_node_to_heap(rt_node, target_node, cost_params); + add_route_tree_node_to_heap(rt_node, target_node, cost_params, net_bounding_box); // Expand HF BB to include the node (clip by original BB) expand_highfanout_bounding_box(highfanout_bb, net_bounding_box, rr_node_to_add, rr_graph_); @@ -1052,7 +1061,7 @@ t_bb ConnectionRouter::add_high_fanout_route_tree_to_heap( } if (nodes_added == 0) { //If the target bin, and it's surrounding bins were empty, just add the full route tree - add_route_tree_to_heap(rt_root, target_node, cost_params); + add_route_tree_to_heap(rt_root, target_node, cost_params, net_bounding_box); return net_bounding_box; } else { //We found nearby routing, replace original bounding box to be localized around that routing diff --git a/vpr/src/route/connection_router.h b/vpr/src/route/connection_router.h index 7a306304843..9325ce52682 100644 --- a/vpr/src/route/connection_router.h +++ b/vpr/src/route/connection_router.h @@ -72,8 +72,8 @@ class ConnectionRouter : public ConnectionRouterInterface { std::tuple timing_driven_route_connection_from_route_tree( const RouteTreeNode& rt_root, RRNodeId sink_node, - const t_conn_cost_params cost_params, - t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, RouterStats& router_stats, const ConnectionParameters& conn_params) final; @@ -90,8 +90,8 @@ class ConnectionRouter : public ConnectionRouterInterface { std::tuple timing_driven_route_connection_from_route_tree_high_fanout( const RouteTreeNode& rt_root, RRNodeId sink_node, - const t_conn_cost_params cost_params, - t_bb net_bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& net_bounding_box, const SpatialRouteTreeLookup& spatial_rt_lookup, RouterStats& router_stats, const ConnectionParameters& conn_params) final; @@ -107,8 +107,8 @@ class ConnectionRouter : public ConnectionRouterInterface { // RouterLookahead used should be the NoOpLookahead. vtr::vector timing_driven_find_all_shortest_paths_from_route_tree( const RouteTreeNode& rt_root, - const t_conn_cost_params cost_params, - t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, RouterStats& router_stats, const ConnectionParameters& conn_params) final; @@ -163,8 +163,8 @@ class ConnectionRouter : public ConnectionRouterInterface { std::tuple timing_driven_route_connection_common_setup( const RouteTreeNode& rt_root, RRNodeId sink_node, - const t_conn_cost_params cost_params, - t_bb bounding_box); + const t_conn_cost_params& cost_params, + const t_bb& bounding_box); // Finds a path to sink_node, starting from the elements currently in the // heap. @@ -177,21 +177,21 @@ class ConnectionRouter : public ConnectionRouterInterface { // found t_heap* timing_driven_route_connection_from_heap( RRNodeId sink_node, - const t_conn_cost_params cost_params, - t_bb bounding_box); + const t_conn_cost_params& cost_params, + const t_bb& bounding_box); // Expand this current node if it is a cheaper path. void timing_driven_expand_cheapest( t_heap* cheapest, RRNodeId target_node, - const t_conn_cost_params cost_params, - t_bb bounding_box); + const t_conn_cost_params& cost_params, + const t_bb& bounding_box); // Expand each neighbor of the current node. void timing_driven_expand_neighbours( t_heap* current, - const t_conn_cost_params cost_params, - t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, RRNodeId target_node); // Conditionally adds to_node to the router heap (via path from from_node @@ -204,15 +204,15 @@ class ConnectionRouter : public ConnectionRouterInterface { RRNodeId from_node, RREdgeId from_edge, RRNodeId to_node, - const t_conn_cost_params cost_params, - const t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, RRNodeId target_node, - const t_bb target_bb); + const t_bb& target_bb); // Add to_node to the heap, and also add any nodes which are connected by // non-configurable edges void timing_driven_add_to_heap( - const t_conn_cost_params cost_params, + const t_conn_cost_params& cost_params, const t_heap* current, RRNodeId from_node, RRNodeId to_node, @@ -222,7 +222,7 @@ class ConnectionRouter : public ConnectionRouterInterface { // Calculates the cost of reaching to_node void evaluate_timing_driven_node_costs( t_heap* to, - const t_conn_cost_params cost_params, + const t_conn_cost_params& cost_params, RRNodeId from_node, RRNodeId to_node, RREdgeId from_edge, @@ -230,8 +230,8 @@ class ConnectionRouter : public ConnectionRouterInterface { // Find paths from current heap to all nodes in the RR graph vtr::vector timing_driven_find_all_shortest_paths_from_heap( - const t_conn_cost_params cost_params, - t_bb bounding_box); + const t_conn_cost_params& cost_params, + const t_bb& bounding_box); void empty_heap_annotating_node_route_inf(); @@ -239,7 +239,8 @@ class ConnectionRouter : public ConnectionRouterInterface { //used as branch-points for further routing. void add_route_tree_to_heap(const RouteTreeNode& rt_node, RRNodeId target_node, - const t_conn_cost_params cost_params); + const t_conn_cost_params& cost_params, + const t_bb& net_bb); // Evaluate node costs using the RCV algorith float compute_node_cost_using_rcv(const t_conn_cost_params cost_params, @@ -256,14 +257,15 @@ class ConnectionRouter : public ConnectionRouterInterface { void add_route_tree_node_to_heap( const RouteTreeNode& rt_node, RRNodeId target_node, - const t_conn_cost_params cost_params); + const t_conn_cost_params& cost_params, + const t_bb& net_bb); t_bb add_high_fanout_route_tree_to_heap( const RouteTreeNode& rt_root, RRNodeId target_node, - const t_conn_cost_params cost_params, + const t_conn_cost_params& cost_params, const SpatialRouteTreeLookup& spatial_route_tree_lookup, - t_bb net_bounding_box); + const t_bb& net_bounding_box); const DeviceGrid& grid_; const RouterLookahead& router_lookahead_; diff --git a/vpr/src/route/connection_router_interface.h b/vpr/src/route/connection_router_interface.h index d6b0baafab5..a3e2c530cdd 100644 --- a/vpr/src/route/connection_router_interface.h +++ b/vpr/src/route/connection_router_interface.h @@ -55,8 +55,8 @@ class ConnectionRouterInterface { virtual std::tuple timing_driven_route_connection_from_route_tree( const RouteTreeNode& rt_root, RRNodeId sink_node, - const t_conn_cost_params cost_params, - t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, RouterStats& router_stats, const ConnectionParameters& conn_params) = 0; @@ -74,8 +74,8 @@ class ConnectionRouterInterface { virtual std::tuple timing_driven_route_connection_from_route_tree_high_fanout( const RouteTreeNode& rt_root, RRNodeId sink_node, - const t_conn_cost_params cost_params, - t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, const SpatialRouteTreeLookup& spatial_rt_lookup, RouterStats& router_stats, const ConnectionParameters& conn_params) @@ -92,8 +92,8 @@ class ConnectionRouterInterface { // RouterLookahead used should be the NoOpLookahead. virtual vtr::vector timing_driven_find_all_shortest_paths_from_route_tree( const RouteTreeNode& rt_root, - const t_conn_cost_params cost_params, - t_bb bounding_box, + const t_conn_cost_params& cost_params, + const t_bb& bounding_box, RouterStats& router_stats, const ConnectionParameters& conn_params) = 0; diff --git a/vpr/src/route/netlist_routers.h b/vpr/src/route/netlist_routers.h index db51ff01b23..f380e5b6795 100644 --- a/vpr/src/route/netlist_routers.h +++ b/vpr/src/route/netlist_routers.h @@ -67,6 +67,7 @@ class NetlistRouter { #include "SerialNetlistRouter.h" #ifdef VPR_USE_TBB # include "ParallelNetlistRouter.h" +# include "DecompNetlistRouter.h" #endif template @@ -114,6 +115,24 @@ inline std::unique_ptr make_netlist_router_with_heap( is_flat); #else VPR_FATAL_ERROR(VPR_ERROR_ROUTE, "VPR isn't compiled with TBB support required for parallel routing"); +#endif + } else if (router_opts.router_algorithm == e_router_algorithm::PARALLEL_DECOMP) { +#ifdef VPR_USE_TBB + return std::make_unique>( + net_list, + router_lookahead, + router_opts, + connections_inf, + net_delay, + netlist_pin_lookup, + timing_info, + pin_timing_invalidator, + budgeting_inf, + routing_predictor, + choking_spots, + is_flat); +#else + VPR_FATAL_ERROR(VPR_ERROR_ROUTE, "VPR isn't compiled with TBB support required for parallel routing"); #endif } else { VPR_FATAL_ERROR(VPR_ERROR_ROUTE, "Unknown router algorithm %d", router_opts.router_algorithm); diff --git a/vpr/src/route/partition_tree.cpp b/vpr/src/route/partition_tree.cpp index d3d895493b5..b679fb90a17 100644 --- a/vpr/src/route/partition_tree.cpp +++ b/vpr/src/route/partition_tree.cpp @@ -2,6 +2,11 @@ #include #include +/** Minimum number of nets inside a partition to continue further partitioning. + * Mostly an arbitrary limit. At a certain point, the quality lost due to disturbed net ordering + * and the task creation overhead outweighs the advantage of partitioning, so we should stop. */ +constexpr size_t MIN_NETS_TO_PARTITION = 256; + PartitionTree::PartitionTree(const Netlist<>& netlist) { const auto& device_ctx = g_vpr_ctx.device(); @@ -16,22 +21,30 @@ std::unique_ptr PartitionTree::build_helper(const Netlist<>& const auto& route_ctx = g_vpr_ctx.routing(); auto out = std::make_unique(); + if (nets.size() < MIN_NETS_TO_PARTITION) { + out->nets = nets; + return out; + } + /* Build ParaDRo-ish prefix sum lookup for each bin (coordinate) in the device. * Do this for every step with only given nets, because each cutline takes some nets out * of the game, so if we just built a global lookup it wouldn't yield accurate results. * * VPR's bounding boxes include the borders (see ConnectionRouter::timing_driven_expand_neighbour()) * so try to include x=bb.xmax, y=bb.ymax etc. when calculating things. */ - int W = x2 - x1 + 1; - int H = y2 - y1 + 1; + int width = x2 - x1 + 1; + int height = y2 - y1 + 1; - VTR_ASSERT(W > 1 && H > 1); + VTR_ASSERT(width > 1 && height > 1); /* Cutlines are placed between integral coordinates. * For instance, x_total_before[0] assumes a cutline at x=0.5, so fanouts at x=0 are included but not * x=1. It's similar for x_total_after[0], which excludes fanouts at x=0 and includes x=1. - * Note that we have W-1 possible cutlines for a W-wide box. */ - std::vector x_total_before(W - 1, 0), x_total_after(W - 1, 0); - std::vector y_total_before(H - 1, 0), y_total_after(H - 1, 0); + * Note that we have W-1 possible cutlines for a W-wide box. + * + * Here, *_total_before holds total score of nets before the cutline and not intersecting it. + * In ParaDRo this would be total_before + total_on. (same for total_after)*/ + std::vector x_total_before(width - 1, 0), x_total_after(width - 1, 0), x_total_on(width - 1, 0); + std::vector y_total_before(height - 1, 0), y_total_after(height - 1, 0), y_total_on(height - 1, 0); for (auto net_id : nets) { t_bb bb = route_ctx.route_bb[net_id]; @@ -40,35 +53,43 @@ std::unique_ptr PartitionTree::build_helper(const Netlist<>& /* Inclusive start and end coords of the bbox relative to x1. Clamp to [x1, x2]. */ int x_start = std::max(x1, bb.xmin) - x1; int x_end = std::min(bb.xmax, x2) - x1; - /* Fill in the lookups assuming a cutline at x + 0.5. */ - for (int x = x_start; x < W - 1; x++) { + /* Fill in the lookups assuming a cutline at x + 0.5. + * This means total_before includes the max coord of the bbox but + * total_after does not include the min coord. */ + for (int x = x_end; x < width - 1; x++) { x_total_before[x] += fanouts; } - for (int x = 0; x < x_end; x++) { + for (int x = 0; x < x_start; x++) { x_total_after[x] += fanouts; } + for (int x = x_start; x < x_end; x++) { + x_total_on[x] += fanouts; + } int y_start = std::max(y1, bb.ymin) - y1; int y_end = std::min(bb.ymax, y2) - y1; - for (int y = y_start; y < H - 1; y++) { + for (int y = y_end; y < height - 1; y++) { y_total_before[y] += fanouts; } - for (int y = 0; y < y_end; y++) { + for (int y = 0; y < y_start; y++) { y_total_after[y] += fanouts; } + for (int y = y_start; y < y_end; y++) { + y_total_on[y] += fanouts; + } } int best_score = std::numeric_limits::max(); float best_pos = std::numeric_limits::quiet_NaN(); Axis best_axis = Axis::X; - int max_x_before = x_total_before[W - 2]; - int max_x_after = x_total_after[0]; - for (int x = 0; x < W - 1; x++) { + for (int x = 0; x < width - 1; x++) { int before = x_total_before[x]; int after = x_total_after[x]; - if (before == max_x_before || after == max_x_after) /* Cutting here would leave no nets to the left or right */ + if (before == 0 || after == 0) /* Cutting here would leave no nets to the left or right */ continue; - int score = abs(x_total_before[x] - x_total_after[x]); + /* Now get a measure of "critical path": work on cutline + max(work on sides) */ + int score = x_total_on[x] + std::max(x_total_before[x], x_total_after[x]); + // int score = std::abs(int(x_total_before[x]) - int(x_total_after[x])); if (score < best_score) { best_score = score; best_pos = x1 + x + 0.5; /* Lookups are relative to (x1, y1) */ @@ -76,14 +97,13 @@ std::unique_ptr PartitionTree::build_helper(const Netlist<>& } } - int max_y_before = y_total_before[H - 2]; - int max_y_after = y_total_after[0]; - for (int y = 0; y < H - 1; y++) { + for (int y = 0; y < height - 1; y++) { int before = y_total_before[y]; int after = y_total_after[y]; - if (before == max_y_before || after == max_y_after) /* Cutting here would leave no nets to the left or right (sideways) */ + if (before == 0 || after == 0) /* Cutting here would leave no nets to the left or right (sideways) */ continue; - int score = abs(y_total_before[y] - y_total_after[y]); + int score = y_total_on[y] + std::max(y_total_before[y], y_total_after[y]); + // int score = std::abs(int(y_total_before[y]) - int(y_total_after[y])); if (score < best_score) { best_score = score; best_pos = y1 + y + 0.5; /* Lookups are relative to (x1, y1) */ diff --git a/vpr/src/route/partition_tree.h b/vpr/src/route/partition_tree.h index aef794f0f08..ac15fea4bcd 100644 --- a/vpr/src/route/partition_tree.h +++ b/vpr/src/route/partition_tree.h @@ -25,6 +25,21 @@ inline Side operator!(const Side& rhs) { return Side(!size_t(rhs)); } +/** Part of a net in the context of the \ref DecompNetlistRouter. Sinks and routing resources + * routable/usable by the \ref ConnectionRouter are constrained to ones inside clipped_bb + * (\see inside_bb()) */ +class VirtualNet { + public: + /** The net in question (ID into a \ref Netlist). */ + ParentNetId net_id; + /** The bounding box created by clipping the parent's bbox against a cutline. */ + t_bb clipped_bb; + /** Times decomposed -- don't decompose vnets too deeply or it disturbs net ordering + * when it's eventually disabled --> makes routing more difficult. + * 1 means this vnet was just created by dividing a regular net */ + int times_decomposed = 0; +}; + /** Spatial partition tree for routing. * * This divides the netlist into a tree of regions, so that nets with non-overlapping @@ -34,14 +49,13 @@ inline Side operator!(const Side& rhs) { * by the cutline. Leaf nodes represent a final set of nets reached by partitioning. * * To route this in parallel, we first route the nets in the root node, then add - * its left and right to a task queue, and repeat this for the whole tree. - * - * The tree stores some routing results to be later combined, such as is_routable and - * rerouted_nets. (TODO: do this per thread instead of per node) */ + * its left and right to a task queue, and repeat this for the whole tree. */ class PartitionTreeNode { public: /** Nets claimed by this node (intersected by cutline if branch, nets in final region if leaf) */ std::vector nets; + /** Virtual nets assigned by the parent of this node (\see DecompNetlistRouter) */ + std::vector vnets; /** Left subtree. */ std::unique_ptr left = nullptr; /** Right subtree. */ diff --git a/vpr/src/route/route.cpp b/vpr/src/route/route.cpp index 6f36be9866e..dbf07569250 100644 --- a/vpr/src/route/route.cpp +++ b/vpr/src/route/route.cpp @@ -559,6 +559,9 @@ bool route(const Netlist<>& net_list, // profiling::time_on_criticality_analysis(); } + /* Write out partition tree logs (no-op if debug option not set) */ + PartitionTreeDebug::write("partition_tree.log"); + if (success) { VTR_LOG("Restoring best routing\n"); diff --git a/vpr/src/route/route_common.h b/vpr/src/route/route_common.h index 203e2880059..a9ff166e596 100644 --- a/vpr/src/route/route_common.h +++ b/vpr/src/route/route_common.h @@ -14,6 +14,29 @@ * that the occupancy arrays are up to date when it is called. */ bool feasible_routing(); +/** Is \p inode inside this bounding box? + * In the context of the parallel router, an inode is inside a bounding box + * if its reference point is inside it, which is the drive point for a CHAN and + * (xlow, ylow, z) of anything else */ +inline bool inside_bb(RRNodeId inode, const t_bb& bb) { + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + int x, y, z; + Direction dir = rr_graph.node_direction(inode); + if (dir == Direction::DEC) { + x = rr_graph.node_xhigh(inode); + y = rr_graph.node_yhigh(inode); + z = rr_graph.node_layer(inode); + } else { + x = rr_graph.node_xlow(inode); + y = rr_graph.node_ylow(inode); + z = rr_graph.node_layer(inode); + } + + return x >= bb.xmin && x <= bb.xmax && y >= bb.ymin && y <= bb.ymax && z >= bb.layer_min && z <= bb.layer_max; +} + vtr::vector load_route_bb(const Netlist<>& net_list, int bb_factor); diff --git a/vpr/src/route/route_net.cpp b/vpr/src/route/route_net.cpp index 8f36e68836b..39ff6d06742 100644 --- a/vpr/src/route/route_net.cpp +++ b/vpr/src/route/route_net.cpp @@ -12,22 +12,23 @@ bool check_hold(const t_router_opts& router_opts, float worst_neg_slack) { return false; } -void setup_routing_resources(int itry, - ParentNetId net_id, - const Netlist<>& net_list, - unsigned num_sinks, - int min_incremental_reroute_fanout, - CBRR& connections_inf, - const t_router_opts& router_opts, - bool ripup_high_fanout_nets) { +void setup_net(int itry, + ParentNetId net_id, + const Netlist<>& net_list, + CBRR& connections_inf, + const t_router_opts& router_opts, + float worst_neg_slack) { auto& route_ctx = g_vpr_ctx.mutable_routing(); /* "tree" points to this net's spot in the global context here, so re-initializing it etc. changes the global state */ vtr::optional& tree = route_ctx.route_trees[net_id]; + bool ripup_high_fanout_nets = check_hold(router_opts, worst_neg_slack); + int num_sinks = net_list.net_sinks(net_id).size(); + // for nets below a certain size (min_incremental_reroute_fanout), rip up any old routing // otherwise, we incrementally reroute by reusing legal parts of the previous iteration - if ((int)num_sinks < min_incremental_reroute_fanout || itry == 1 || ripup_high_fanout_nets) { + if (num_sinks < router_opts.min_incremental_reroute_fanout || itry == 1 || ripup_high_fanout_nets) { profiling::net_rerouted(); /* rip up the whole net */ @@ -59,9 +60,6 @@ void setup_routing_resources(int itry, * OPT: to skip this copy, return a "diff" from RouteTree::prune */ RouteTree tree2 = tree.value(); - // Skip this check if RCV is enabled, as RCV can use another method to cause reroutes - VTR_ASSERT_SAFE(should_route_net(net_id, connections_inf, true) || router_opts.routing_budgets_algorithm == YOYO); - // Prune the copy (using congestion data before subtraction) vtr::optional pruned_tree2 = tree2.prune(connections_inf); @@ -136,17 +134,25 @@ void update_rr_route_inf_from_tree(const RouteTreeNode& rt_node) { } } -bool should_route_net(ParentNetId net_id, +bool should_route_net(const Netlist<>& net_list, + ParentNetId net_id, CBRR& connections_inf, + route_budgets& budgeting_inf, + float worst_negative_slack, bool if_force_reroute) { auto& route_ctx = g_vpr_ctx.routing(); auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; - if (!route_ctx.route_trees[net_id]) { - /* No routing yet. */ + if (route_ctx.net_status.is_fixed(net_id)) /* Skip pre-routed nets */ + return false; + if (net_list.net_is_ignored(net_id)) /* Skip ignored nets */ + return false; + + if (!route_ctx.route_trees[net_id]) /* No routing yet */ + return true; + if (worst_negative_slack != 0 && budgeting_inf.if_set() && budgeting_inf.get_should_reroute(net_id)) /* Reroute for hold */ return true; - } const RouteTree& tree = route_ctx.route_trees[net_id].value(); @@ -331,8 +337,11 @@ void update_net_delays_from_route_tree(float* net_delay, NetPinTimingInvalidator* pin_timing_invalidator) { auto& route_ctx = g_vpr_ctx.routing(); const RouteTree& tree = route_ctx.route_trees[inet].value(); + auto& is_isink_reached = tree.get_is_isink_reached(); for (unsigned int isink = 1; isink < net_list.net_pins(inet).size(); isink++) { + if (!is_isink_reached.get(isink)) + continue; update_net_delay_from_isink(net_delay, tree, isink, net_list, inet, timing_info, pin_timing_invalidator); } } diff --git a/vpr/src/route/route_net.h b/vpr/src/route/route_net.h index fc08a0ddb19..fcfd5607582 100644 --- a/vpr/src/route/route_net.h +++ b/vpr/src/route/route_net.h @@ -61,23 +61,22 @@ constexpr bool is_high_fanout(int fanout, int fanout_threshold) { return true; } -/** Build a partial route tree in global context for \p net_id from the legal - * connections from last iteration. - * Along the way do: - * - update pathfinder costs to be accurate to the partial route tree - * - mark the rr_node sinks as targets to be reached. */ -void setup_routing_resources(int itry, - ParentNetId net_id, - const Netlist<>& net_list, - unsigned num_sinks, - int min_incremental_reroute_fanout, - CBRR& connections_inf, - const t_router_opts& router_opts, - bool ripup_high_fanout_nets); - -/** Detect if net should be routed or not */ -bool should_route_net(ParentNetId net_id, +/** Setup the current route tree for this net. + * Depending on # of fanouts, this fn either resets or prunes the route tree + * and updates other global data structures to match its state. */ +void setup_net(int itry, + ParentNetId net_id, + const Netlist<>& net_list, + CBRR& connections_inf, + const t_router_opts& router_opts, + float worst_neg_slack); + +/** Detect if \p net_id should be routed or not */ +bool should_route_net(const Netlist<>& net_list, + ParentNetId net_id, CBRR& connections_inf, + route_budgets& budgeting_inf, + float worst_negative_slack, bool if_force_reroute); /** Update net_delay value for a single sink in a RouteTree. */ @@ -116,4 +115,14 @@ void update_rr_base_costs(int fanout); * to reflect that these nodes have already been routed to */ void update_rr_route_inf_from_tree(const RouteTreeNode& rt_node); +/** Convert sink mask to a vector of net pin indices + * (return a vector with indices of set bits) */ +inline std::vector sink_mask_to_vector(const vtr::dynamic_bitset<>& mask, size_t num_sinks) { + std::vector out; + for (size_t i = 1; i < num_sinks + 1; i++) + if (mask.get(i)) + out.push_back(i); + return out; +} + #include "route_net.tpp" diff --git a/vpr/src/route/route_net.tpp b/vpr/src/route/route_net.tpp index 8542d8f306d..2f63b33033d 100644 --- a/vpr/src/route/route_net.tpp +++ b/vpr/src/route/route_net.tpp @@ -2,9 +2,10 @@ /** @file Header implementations for templated net routing fns. */ -#include #include "route_net.h" +#include + #include "connection_router_interface.h" #include "describe_rr_node.h" #include "draw.h" @@ -12,6 +13,7 @@ #include "route_debug.h" #include "route_profiling.h" #include "rr_graph_fwd.h" +#include "vtr_dynamic_bitset.h" /** Attempt to route a single net. * @@ -34,6 +36,9 @@ * @param routing_predictor * @param choking_spots * @param is_flat + * @param net_bb Bounding box for the net (Routing resources outside net_bb will not be used) + * @param should_setup Should we reset/prune the existing route tree first? + * @param sink_mask Which sinks to route? Assumed all sinks if nullopt, otherwise a mask of [1..num_sinks+1] where set bits request the sink to be routed * @return NetResultFlags for this net */ template inline NetResultFlags route_net(ConnectionRouter& router, @@ -52,26 +57,17 @@ inline NetResultFlags route_net(ConnectionRouter& router, float worst_negative_slack, const RoutingPredictor& routing_predictor, const std::vector>& choking_spots, - bool is_flat) { + bool is_flat, + const t_bb& net_bb, + bool should_setup = true, + vtr::optional&> sink_mask = vtr::nullopt) { auto& route_ctx = g_vpr_ctx.mutable_routing(); NetResultFlags flags; - - bool reroute_for_hold = false; - if (budgeting_inf.if_set()) { - reroute_for_hold = (budgeting_inf.get_should_reroute(net_id)); - reroute_for_hold &= worst_negative_slack != 0; - } - flags.success = true; - if (route_ctx.net_status.is_fixed(net_id)) { /* Skip pre-routed nets. */ + if (!should_route_net(net_list, net_id, connections_inf, budgeting_inf, worst_negative_slack, true)) return flags; - } else if (net_list.net_is_ignored(net_id)) { /* Skip ignored nets. */ - return flags; - } else if (!(reroute_for_hold) && !should_route_net(net_id, connections_inf, true)) { - return flags; - } // track time spent vs fanout profiling::net_fanout_start(); @@ -85,15 +81,16 @@ inline NetResultFlags route_net(ConnectionRouter& router, VTR_LOGV_DEBUG(f_router_debug, "Routing Net %zu (%zu sinks)\n", size_t(net_id), num_sinks); - setup_routing_resources( - itry, - net_id, - net_list, - num_sinks, - router_opts.min_incremental_reroute_fanout, - connections_inf, - router_opts, - check_hold(router_opts, worst_negative_slack)); + /* Prune or rip-up existing routing for the net */ + if (should_setup) { + setup_net( + itry, + net_id, + net_list, + connections_inf, + router_opts, + worst_negative_slack); + } VTR_ASSERT(route_ctx.route_trees[net_id]); RouteTree& tree = route_ctx.route_trees[net_id].value(); @@ -113,7 +110,11 @@ inline NetResultFlags route_net(ConnectionRouter& router, // after this point the route tree is correct // remaining_targets from this point on are the **pin indices** that have yet to be routed - std::vector remaining_targets(tree.get_remaining_isinks().begin(), tree.get_remaining_isinks().end()); + auto remaining_targets_mask = ~tree.get_is_isink_reached(); + if (sink_mask) + remaining_targets_mask &= sink_mask.value(); + + auto remaining_targets = sink_mask_to_vector(remaining_targets_mask, num_sinks); // calculate criticality of remaining target pins for (int ipin : remaining_targets) { @@ -205,13 +206,14 @@ inline NetResultFlags route_net(ConnectionRouter& router, budgeting_inf, routing_predictor, choking_spots, - is_flat); + is_flat, + net_bb); flags.retry_with_full_bb |= sink_flags.retry_with_full_bb; if (!sink_flags.success) { flags.success = false; - VTR_LOG("Routing failed for net %d\n", net_id); + VTR_LOG("Routing failed for sink %d of net %d\n", target_pin, net_id); return flags; } @@ -366,6 +368,7 @@ inline NetResultFlags pre_route_to_clock_root(ConnectionRouter& router, * @param routing_predictor * @param choking_spots * @param is_flat + * @param net_bb Bounding box for the net (Routing resources outside net_bb will not be used) * @return NetResultFlags for this sink to be bubbled up through route_net */ template inline NetResultFlags route_sink(ConnectionRouter& router, @@ -381,7 +384,8 @@ inline NetResultFlags route_sink(ConnectionRouter& router, route_budgets& budgeting_inf, const RoutingPredictor& routing_predictor, const std::vector>& choking_spots, - bool is_flat) { + bool is_flat, + const t_bb& net_bb) { const auto& device_ctx = g_vpr_ctx.device(); auto& route_ctx = g_vpr_ctx.mutable_routing(); @@ -396,7 +400,6 @@ inline NetResultFlags route_sink(ConnectionRouter& router, bool found_path; t_heap cheapest; - t_bb bounding_box = route_ctx.route_bb[net_id]; bool net_is_global = net_list.net_is_global(net_id); bool high_fanout = is_high_fanout(net_list.net_sinks(net_id).size(), router_opts.high_fanout_threshold); @@ -414,7 +417,7 @@ inline NetResultFlags route_sink(ConnectionRouter& router, std::tie(found_path, flags.retry_with_full_bb, cheapest) = router.timing_driven_route_connection_from_route_tree_high_fanout(tree.root(), sink_node, cost_params, - bounding_box, + net_bb, spatial_rt_lookup, router_stats, conn_params); @@ -422,7 +425,7 @@ inline NetResultFlags route_sink(ConnectionRouter& router, std::tie(found_path, flags.retry_with_full_bb, cheapest) = router.timing_driven_route_connection_from_route_tree(tree.root(), sink_node, cost_params, - bounding_box, + net_bb, router_stats, conn_params); } diff --git a/vpr/src/route/route_tree.cpp b/vpr/src/route/route_tree.cpp index 4c4e37c3052..af3f9eaf29d 100644 --- a/vpr/src/route/route_tree.cpp +++ b/vpr/src/route/route_tree.cpp @@ -502,7 +502,7 @@ RouteTree::update_from_heap(t_heap* hptr, int target_net_pin_index, SpatialRoute } if (_net_id.is_valid()) /* We don't have this lookup if the tree isn't associated with a net */ - _is_isink_reached[target_net_pin_index] = true; + _is_isink_reached.set(target_net_pin_index, true); return {*start_of_new_subtree_rt_node, *sink_rt_node}; } @@ -711,11 +711,11 @@ RouteTree::prune_x(RouteTreeNode& rt_node, CBRR& connections_inf, bool force_pru //Valid path to sink //Record sink as reached - _is_isink_reached[rt_node.net_pin_index] = true; + _is_isink_reached.set(rt_node.net_pin_index, true); return rt_node; // Not pruned } else { //Record as not reached - _is_isink_reached[rt_node.net_pin_index] = false; + _is_isink_reached.set(rt_node.net_pin_index, false); return vtr::nullopt; // Pruned } } else if (all_children_pruned) { diff --git a/vpr/src/route/route_tree.h b/vpr/src/route/route_tree.h index 63eebf555ea..4991d57f301 100644 --- a/vpr/src/route/route_tree.h +++ b/vpr/src/route/route_tree.h @@ -90,6 +90,7 @@ #include "route_tree_fwd.h" #include "vtr_assert.h" #include "spatial_route_tree_lookup.h" +#include "vtr_dynamic_bitset.h" #include "vtr_optional.h" #include "vtr_range.h" #include "vtr_vec_id_set.h" @@ -432,10 +433,10 @@ class RouteTree { using pointer = int*; using reference = int&; - constexpr IsinkIterator(const std::vector& bitset, size_t x) + constexpr IsinkIterator(const vtr::dynamic_bitset<>& bitset, size_t x) : _bitset(bitset) , _x(x) { - if (_x < _bitset.size() && _bitset[_x] != sink_state) /* Iterate forward to a valid state */ + if (_x < _bitset.size() && _bitset.get(_x) != sink_state) /* Iterate forward to a valid state */ ++(*this); } constexpr value_type operator*() const { @@ -443,7 +444,7 @@ class RouteTree { } inline IsinkIterator& operator++() { _x++; - for (; _x < _bitset.size() && _bitset[_x] != sink_state; _x++) + for (; _x < _bitset.size() && _bitset.get(_x) != sink_state; _x++) ; return *this; } @@ -457,7 +458,7 @@ class RouteTree { private: /** Ref to the bitset */ - const std::vector& _bitset; + const vtr::dynamic_bitset<>& _bitset; /** Current position */ size_t _x; }; @@ -468,8 +469,10 @@ class RouteTree { /** Get a lookup which contains the "isink reached state". * It's a 1-indexed! bitset of "pin indices". True if the nth sink has been reached, false otherwise. * If you call it before prune() and after routing, there's no guarantee on whether the reached sinks - * are reached legally. */ - constexpr const std::vector& get_is_isink_reached(void) const { return _is_isink_reached; } + * are reached legally. + * Another catch is that vtr::dynamic_bitsets don't know their size, so keep tree.num_sinks()+1 + * as a limit when iterating over this. */ + constexpr const vtr::dynamic_bitset<>& get_is_isink_reached(void) const { return _is_isink_reached; } /** Get reached isinks: 1-indexed pin indices enumerating the sinks in this net. * "Reached" means "reached legally" if you call this after prune() and not before any routing. @@ -629,7 +632,7 @@ class RouteTree { * We work with these indices, because they are used in a bunch of lookups in * the router. Looking these back up from sink RR nodes would require looking * up its RouteTreeNode and then the net_pin_index from that. */ - std::vector _is_isink_reached; + vtr::dynamic_bitset<> _is_isink_reached; /** Number of sinks in this tree's net. Useful for iteration. */ size_t _num_sinks; diff --git a/vpr/src/route/sink_sampling.h b/vpr/src/route/sink_sampling.h new file mode 100644 index 00000000000..eb0df7f2620 --- /dev/null +++ b/vpr/src/route/sink_sampling.h @@ -0,0 +1,164 @@ +#pragma once + +/** @file Sink downsamplers for parallel routing. + * + * These are used to get a "initial routing tree" for a net. + * The rest of the routing is delegated to child tasks using \ref VirtualNets. + * They will work with a strictly limited bounding box, so it's necessary + * that the initial routing provides enough hints while routing to as + * few sinks as possible. */ + +#include +#include +#include +#include "globals.h" +#include "partition_tree.h" +#include "route_common.h" +#include "router_lookahead_sampling.h" + +/** Sink container for geometry operations */ +struct SinkPoint { + /** Position inside grid (x) */ + int x; + /** Position inside grid (y) */ + int y; + /** Sink number [1..# of pins]*/ + int isink; + + /** Operators to make this work with std::set */ + bool operator==(const SinkPoint& rhs) const { + return x == rhs.x && y == rhs.y; + } + bool operator<(const SinkPoint& rhs) const { + if (x < rhs.x) + return true; + if (x > rhs.x) + return false; + if (y < rhs.y) + return true; + if (y > rhs.y) + return false; + return isink < rhs.isink; + } +}; + +/* Namespace the following to keep these generic fn names available */ +namespace sink_sampling { + +/* TODO: Replace this convex hull fn with something better + * it was cobbled together quickly, probably not the most efficient code */ + +/** Cross product of v0v1 and v0p */ +constexpr int det(const SinkPoint& p, const SinkPoint& v0, const SinkPoint& v1) { + return (v1.x - v0.x) * (p.y - v0.y) - (v1.y - v0.y) * (p.x - v0.x); +} + +/** Which side of [v0, v1] has p? +1 is right, -1 is left */ +constexpr int side_of(const SinkPoint& p, const SinkPoint& v0, const SinkPoint& v1) { + return det(p, v0, v1) > 0 ? 1 : -1; +} + +/** Perpendicular distance of p to v0v1 assuming |v0v1| = 1 + * (it's not, so only use to compare when v0 and v1 is the same for different p's) */ +inline int dist(const SinkPoint& p, const SinkPoint& v0, const SinkPoint& v1) { + return abs(det(p, v0, v1)); +} + +/** Helper for quickhull() */ +inline void find_hull(std::set& out, const std::vector& points, const SinkPoint& v0, const SinkPoint& v1, int side) { + int max_dist = 0; + const SinkPoint* max_p = nullptr; + for (auto& point : points) { + if (side_of(point, v0, v1) != side) { + continue; + } + int h = dist(point, v0, v1); + if (h > max_dist) { + max_dist = h; + max_p = &point; + } + } + if (!max_p) /* no point */ + return; + out.insert(*max_p); + find_hull(out, points, v0, *max_p, -1); + find_hull(out, points, *max_p, v1, -1); +} + +/** Find convex hull. Doesn't work with <3 points. + * See https://en.wikipedia.org/wiki/Quickhull */ +inline std::vector quickhull(const std::vector& points) { + if (points.size() < 3) + return std::vector(); + + std::set out; + + int min_x = std::numeric_limits::max(); + int max_x = std::numeric_limits::min(); + const SinkPoint *min_p = nullptr, *max_p = nullptr; + for (auto& point : points) { + if (point.x <= min_x) { + min_x = point.x; + min_p = &point; + } + if (point.x >= max_x) { + max_x = point.x; + max_p = &point; + } + } + out.insert(*min_p); + out.insert(*max_p); + find_hull(out, points, *min_p, *max_p, -1); + find_hull(out, points, *min_p, *max_p, 1); + return std::vector(out.begin(), out.end()); +} + +} // namespace sink_sampling + +/** Which side of the cutline is this RRNode on? + * Cutlines are always assumed to be at cutline_axis = (cutline_pos + 0.5). + * In the context of the parallel router, a RR node is considered to be inside a bounding + * box if its drive point is inside it (xlow, ylow if the node doesn't have a direction) */ +inline Side which_side(RRNodeId inode, Axis cutline_axis, int cutline_pos) { + auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + Direction dir = rr_graph.node_direction(inode); + + if (cutline_axis == Axis::X) { + int x = dir == Direction::DEC ? rr_graph.node_xhigh(inode) : rr_graph.node_xlow(inode); + return Side(x > cutline_pos); /* 1 is RIGHT */ + } else { + int y = dir == Direction::DEC ? rr_graph.node_yhigh(inode) : rr_graph.node_ylow(inode); + return Side(y > cutline_pos); + } +} + +/** Sample sinks on the convex hull of the set {source + sinks}. + * Works with regular and virtual nets. Pass vnet.clipped_bb for \p net_bb in case of a virtual net. */ +inline void convex_hull_downsample(ParentNetId net_id, const t_bb& net_bb, vtr::dynamic_bitset<>& out) { + const auto& route_ctx = g_vpr_ctx.routing(); + const auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + const RouteTree& tree = route_ctx.route_trees[net_id].value(); + std::vector sink_points; + + /* i = 0 corresponds to the source */ + for (size_t i = 0; i < tree.num_sinks() + 1; i++) { + RRNodeId rr_sink = route_ctx.net_rr_terminals[net_id][i]; + if (!inside_bb(rr_sink, net_bb)) + continue; + SinkPoint point{rr_graph.node_xlow(rr_sink), rr_graph.node_ylow(rr_sink), int(i)}; + sink_points.push_back(point); + } + + auto hull = sink_sampling::quickhull(sink_points); + + /* Sample if not source */ + for (auto& point : hull) { + if (point.isink == 0) /* source */ + continue; + out.set(point.isink, true); + } +} diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/config.txt index f14d4131eb6..0ee96928d47 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/config.txt @@ -37,10 +37,10 @@ arch_list_add=k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml include_list_add=hard_block_include.v # Parse info and how to parse -parse_file=vpr_standard.txt +parse_file=vpr_fixed_chan_width.txt # How to parse QoR info -qor_parse_file=qor_standard.txt +qor_parse_file=qor_fixed_chan_width.txt # Pass requirements pass_requirements_file=pass_requirements.txt diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt index e4261a2b31f..2daad7f70be 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt @@ -39,7 +39,7 @@ include_list_add=hard_block_include.v parse_file=vpr_standard.txt # How to parse QoR info -qor_parse_file=qor_standard.txt +qor_parse_file=qor_fixed_chan_width.txt # Pass requirements pass_requirements_file=pass_requirements.txt From b23193f0425a24f8f1532b1e3b392f1daf94598f Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 12 Feb 2024 10:00:27 -0500 Subject: [PATCH 248/608] normalized bandwidth in complex_32_star_3_bandwidths.flows to make it congestion free --- .../complex_32_star_3_bandwdiths.flows | 33 +++++++++++++++++++ 1 file changed, 33 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_3_bandwdiths.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_3_bandwdiths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_3_bandwdiths.flows new file mode 100644 index 00000000000..3e46f61f14a --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_3_bandwdiths.flows @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 47948a102b51009bcb98a172b1866071281f65a1 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 12 Feb 2024 10:27:41 -0500 Subject: [PATCH 249/608] normalized bandwidth in remaining complex noc star 32 benchmarks --- .../complex_32_noc_star.flows | 33 +++++++++++++++++++ .../complex_32_noc_star_no_constraints.flows | 33 +++++++++++++++++++ .../complex_32_star_2_bandwdiths.flows | 33 +++++++++++++++++++ 3 files changed, 99 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_star.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_star_no_constraints.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_2_bandwdiths.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_star.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_star.flows new file mode 100644 index 00000000000..c9ab4c798a1 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_star.flows @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_star_no_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_star_no_constraints.flows new file mode 100644 index 00000000000..6f5e34a3ae2 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_noc_star_no_constraints.flows @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_2_bandwdiths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_2_bandwdiths.flows new file mode 100644 index 00000000000..a64b4f50aef --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_32_star_2_bandwdiths.flows @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 45b1ff2f80a5201cc70276f739e6516f73cf7d74 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Fahrican=20Ko=C5=9Far?= Date: Mon, 12 Feb 2024 12:04:36 -0500 Subject: [PATCH 250/608] leave koios configs alone --- .../vtr_reg_nightly_test4/koios_medium/config/config.txt | 4 ++-- .../vtr_reg_weekly/koios_large/config/config.txt | 2 +- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/config.txt index 0ee96928d47..f14d4131eb6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/config.txt @@ -37,10 +37,10 @@ arch_list_add=k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml include_list_add=hard_block_include.v # Parse info and how to parse -parse_file=vpr_fixed_chan_width.txt +parse_file=vpr_standard.txt # How to parse QoR info -qor_parse_file=qor_fixed_chan_width.txt +qor_parse_file=qor_standard.txt # Pass requirements pass_requirements_file=pass_requirements.txt diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt index 2daad7f70be..e4261a2b31f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt @@ -39,7 +39,7 @@ include_list_add=hard_block_include.v parse_file=vpr_standard.txt # How to parse QoR info -qor_parse_file=qor_fixed_chan_width.txt +qor_parse_file=qor_standard.txt # Pass requirements pass_requirements_file=pass_requirements.txt From 619d9e7d3b47e26ca8ab38063785b4502033b319 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 12 Feb 2024 15:30:55 -0500 Subject: [PATCH 251/608] removed unused arguments --- vpr/src/place/initial_noc_placement.cpp | 2 +- vpr/src/place/noc_place_checkpoint.cpp | 4 ++-- vpr/src/place/noc_place_checkpoint.h | 3 +-- vpr/src/place/noc_place_utils.cpp | 2 +- vpr/src/place/noc_place_utils.h | 3 +-- vpr/src/place/place_checkpoint.cpp | 2 +- 6 files changed, 7 insertions(+), 9 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index f3298062886..9294f3b291b 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -240,7 +240,7 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { } if (checkpoint.get_cost() < costs.cost) { - checkpoint.restore_checkpoint(noc_opts, costs); + checkpoint.restore_checkpoint(costs); } } diff --git a/vpr/src/place/noc_place_checkpoint.cpp b/vpr/src/place/noc_place_checkpoint.cpp index a25cd9ec82c..e0f41dc94f0 100644 --- a/vpr/src/place/noc_place_checkpoint.cpp +++ b/vpr/src/place/noc_place_checkpoint.cpp @@ -32,7 +32,7 @@ void NoCPlacementCheckpoint::save_checkpoint(double cost) { cost_ = cost; } -void NoCPlacementCheckpoint::restore_checkpoint(const t_noc_opts& noc_opts, t_placer_costs& costs) { +void NoCPlacementCheckpoint::restore_checkpoint(t_placer_costs& costs) { const auto& noc_ctx = g_vpr_ctx.noc(); const auto& device_ctx = g_vpr_ctx.device(); auto& place_ctx = g_vpr_ctx.mutable_placement(); @@ -68,7 +68,7 @@ void NoCPlacementCheckpoint::restore_checkpoint(const t_noc_opts& noc_opts, t_pl } // Re-initialize routes and static variables that keep track of NoC-related costs - reinitialize_noc_routing(noc_opts, costs); + reinitialize_noc_routing(costs); } bool NoCPlacementCheckpoint::is_valid() const { diff --git a/vpr/src/place/noc_place_checkpoint.h b/vpr/src/place/noc_place_checkpoint.h index bf5c4305616..11df0a50732 100644 --- a/vpr/src/place/noc_place_checkpoint.h +++ b/vpr/src/place/noc_place_checkpoint.h @@ -43,10 +43,9 @@ class NoCPlacementCheckpoint { /** * @brief Loads the save checkpoint into global placement data structues. * - * @param noc_opts: Contains weighting factors for different NoC cost terms * @param costs: Used to load NoC related costs for the checkpoint */ - void restore_checkpoint(const t_noc_opts& noc_opts, t_placer_costs& costs); + void restore_checkpoint(t_placer_costs& costs); /** * @brief Indicates whether the object is empty or it has already stored a diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 0afc87e57f8..2eedef335a1 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -66,7 +66,7 @@ void initial_noc_routing(void) { return; } -void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs) { +void reinitialize_noc_routing(t_placer_costs& costs) { // used to access NoC links and modify them auto& noc_ctx = g_vpr_ctx.mutable_noc(); diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index db041b59cb0..c97894d126d 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -70,10 +70,9 @@ void initial_noc_routing(void); * traffic flow routes, and static variable in noc_place_utils.cpp are no * longer valid and need to be re-initialized. * - * @param noc_opts NoC-related options used to calculated NoC costs * @param costs Used to get aggregate bandwidth and latency costs. */ -void reinitialize_noc_routing(const t_noc_opts& noc_opts, t_placer_costs& costs); +void reinitialize_noc_routing(t_placer_costs& costs); /** * @brief Goes through all the cluster blocks that were moved diff --git a/vpr/src/place/place_checkpoint.cpp b/vpr/src/place/place_checkpoint.cpp index dd9b9a0d9f1..73d49e6e80c 100644 --- a/vpr/src/place/place_checkpoint.cpp +++ b/vpr/src/place/place_checkpoint.cpp @@ -63,7 +63,7 @@ void restore_best_placement(t_placement_checkpoint& placement_checkpoint, * and need to be re-computed from scratch. */ if (noc_opts.noc) { - reinitialize_noc_routing(noc_opts, costs); + reinitialize_noc_routing(costs); } VTR_LOG("\nCheckpoint restored\n"); From a92ba80ff964d73e78bb0380430252e1e2253f26 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 13 Feb 2024 16:12:43 -0500 Subject: [PATCH 252/608] applied PR comments --- vpr/src/base/read_options.cpp | 25 ++++++++++++------ vpr/src/base/vpr_types.h | 6 ++--- vpr/src/noc/noc_storage.h | 6 +++-- vpr/src/place/noc_place_utils.cpp | 20 +++++++++++---- vpr/src/place/noc_place_utils.h | 16 ++++++++++++ vpr/src/place/place_util.cpp | 2 +- vpr/src/place/place_util.h | 32 +++++++++++------------- vtr_flow/scripts/python_libs/vtr/util.py | 6 +++++ 8 files changed, 78 insertions(+), 35 deletions(-) diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 626c9af8735..7765bf5acf6 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2813,28 +2813,39 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg noc_grp.add_argument(args.noc_placement_weighting, "--noc_placement_weighting") .help( "Controls the importance of the NoC placement parameters relative to timing and wirelength of the design." - "This value can be >=0, where 0 would mean the placement is based solely on timing and wirelength, a value of 1 would mean noc placement is considered equal to timing and wirelength and a value greater than 1 would mean the placement is increasingly dominated by NoC parameters.") + "This value can be >=0, where 0 would mean the placement is based solely on timing and wirelength." + "A value of 1 would mean noc placement is considered equal to timing and wirelength" + "A value greater than 1 would mean the placement is increasingly dominated by NoC parameters.") .default_value("5.0") .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_latency_constraints_weighting, "--noc_latency_constraints_weighting") .help( - "Controls the importance of meeting all the NoC traffic flow latency constraints." - "This value can be >=0, where 0 would mean the latency constraints have no relevance to placement, a value of 1 would mean the latency constraints are weighted equally to the sum of other placement cost components and a value greater than 1 would mean the placement is increasingly dominated by meeting the latency constraints of the traffic flows.") + "Controls the importance of meeting all the NoC traffic flow latency constraints.\n" + "This value can be >=0, where 0 would mean the latency constraints have no relevance to placement.\n" + "Other positive numbers specify the importance of meeting latency constraints to other NoC-related cost terms.\n" + "Weighting factors for NoC-related cost terms are normalized internally. Therefore, their absolute values are not important, and" + "only their relative ratios determine the importance of each cost term.") .default_value("0.6") .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_latency_weighting, "--noc_latency_weighting") .help( - "Controls the importance of reducing the latencies of the NoC traffic flows." - "This value can be >=0, where 0 would mean the latencies have no relevance to placement, a value of 1 would mean the latencies are weighted equally to the sum of other placement cost components and a value greater than 1 would mean the placement is increasingly dominated by reducing the latencies of the traffic flows.") + "Controls the importance of reducing the latencies of the NoC traffic flows.\n" + "This value can be >=0, where 0 would mean the latencies have no relevance to placement.\n" + "Other positive numbers specify the importance of minimizing aggregate latency to other NoC-related cost terms.\n" + "Weighting factors for NoC-related cost terms are normalized internally. Therefore, their absolute values are not important, and" + "only their relative ratios determine the importance of each cost term.") .default_value("0.02") .show_in(argparse::ShowIn::HELP_ONLY); noc_grp.add_argument(args.noc_congestion_weighting, "--noc_congestion_weighting") .help( - "Controls the importance of reducing the congestion of the NoC links." - "This value can be >=0, where 0 would mean the congestion has no relevance to placement, a value of 1 would mean the congestion is weighted equally to the sum of other placement cost components and a value greater than 1 would mean the placement is increasingly dominated by reducing the link congestions.") + "Controls the importance of reducing the congestion of the NoC links.\n" + "This value can be >=0, where 0 would mean the congestion has no relevance to placement.\n" + "Other positive numbers specify the importance of minimizing congestion to other NoC-related cost terms.\n" + "Weighting factors for NoC-related cost terms are normalized internally. Therefore, their absolute values are not important, and" + "only their relative ratios determine the importance of each cost term.") .default_value("0.00") .show_in(argparse::ShowIn::HELP_ONLY); diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index 78e3c3eb44c..185c1c4229f 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -1498,11 +1498,11 @@ struct t_noc_opts { std::string noc_flows_file; ///& is copied so that we can modify (sort) it + // The returned const std::vector& is copied so that we can modify (sort) it in find_affected_links_by_flow_reroute() std::vector prev_traffic_flow_links = noc_traffic_flows_storage.get_traffic_flow_route(traffic_flow_id); // now update the current traffic flow by re-routing it based on the new locations of its src and destination routers @@ -603,9 +606,16 @@ double calculate_noc_cost(const NocCostTerms& cost_terms, double cost = 0.0; /* NoC's contribution to the placement cost is a weighted sum over: - * 1) Traffic flow latency costs - * 2) Traffic flow aggregate bandwidth costs - * 3) Link congestion costs + * 1) Traffic flow aggregate bandwidth cost + * 2) Traffic flow latency cost + * 3) Traffic flow latency overrun cost + * 4) Link congestion cost + * + * Since NoC-related cost terms have different scales, they are + * rescaled by multiplying each cost term with its corresponding + * normalization factor. Then, a weighted sum over normalized cost terms + * is computed. Weighting factors determine the contribution of each + * normalized term to the sum. */ cost = noc_opts.noc_placement_weighting * ( cost_terms.aggregate_bandwidth * norm_factors.aggregate_bandwidth * noc_opts.noc_aggregate_bandwidth_weighting + diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index c97894d126d..24926c48925 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -35,6 +35,8 @@ constexpr double INVALID_NOC_COST_TERM = -1.0; * @brief Each traffic flow cost consists of two components: * 1) traffic flow aggregate bandwidth (sum over all used links of the traffic flow bandwidth) * 2) traffic flow latency (currently unloaded/best-case latency of the flow) + * 3) traffic flow latency overrun (how much the latency is higher than the + * latency constraint for a traffic flow. * NoC placement code will keep an array-of-struct to easily access each * traffic flow cost. */ @@ -378,6 +380,9 @@ double calculate_traffic_flow_aggregate_bandwidth_cost(const std::vector calculate_traffic_flow_latency_cost(const std::vector& traffic_flow_route, const NocStorage& noc_model, @@ -394,6 +399,15 @@ std::pair calculate_traffic_flow_latency_cost(const std::vector< */ double calculate_link_congestion_cost(const NocLink& link); +/** + * @brief The user passes weighting factors for aggregate latency + * and latency overrun terms. The weighting factor for aggregate + * bandwidth is computed by subtracting two user-provided weighting + * factor from 1. The computed aggregate bandwidth weighting factor + * is stored in noc_opts argument. + * + * @param noc_opts Contains weighting factors. + */ void normalize_noc_cost_weighting_factor(t_noc_opts& noc_opts); /** @@ -424,6 +438,8 @@ int get_number_of_traffic_flows_with_latency_cons_met(void); /** * @brief Goes through all NoC links and counts the congested ones. + * A congested NoC link is a link whose used bandwidth exceeds its + * bandwidth capacity. * * @return The total number of congested NoC links. */ diff --git a/vpr/src/place/place_util.cpp b/vpr/src/place/place_util.cpp index 7358823d981..3f7856afa88 100644 --- a/vpr/src/place/place_util.cpp +++ b/vpr/src/place/place_util.cpp @@ -431,7 +431,7 @@ void alloc_and_load_legal_placement_locations(std::vectorindex; int isub_tile = sub_tile.index; diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index 22415b309d6..e77ee98917d 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -18,12 +18,18 @@ class t_placer_costs; /** * @brief Data structure that stores different cost terms for NoC placement. + * This data structure can also be used to store normalization and weighting + * factors for NoC-related cost terms. * - * @param aggregate_bandwidth The total used bandwidth used in the NoC. - * @param latency A weighted average between aggregate latency and - * latency overruns. - * @param congestion The sum of congestion divided by available bandwidth - * over all NoC links. + * @param aggregate_bandwidth The aggregate NoC bandwidth cost. This is + * computed by summing all used link bandwidths. + * @param latency The NoC latency cost, calculated as the sum of latencies + * experienced by each traffic flow. + * @param latency_overrun Sum of latency overrun for traffic flows that have + * a latency constraint. + * @param congestion The NoC congestion cost, i.e. how over-utilized + * NoC links are. This is computed by dividing over-utilized bandwidth + * by link bandwidth, and summing all computed ratios. */ struct NocCostTerms { public: @@ -61,22 +67,14 @@ struct NocCostTerms { * @param timing_cost_norm The normalization factor for the timing cost, which * is upper-bounded by the value of MAX_INV_TIMING_COST. * - * @param noc_aggregate_bandwidth_cost The aggregate NoC bandwidth cost - * @param noc_aggregate_bandwidth_cost_norm The normalization factor for - * the aggregate bandwidth cost - * @param noc_latency_cost The NoC latency cost, - * calculated as the sum of latencies experienced by each traffic flow - * @param noc_latency_cost_norm The normalization factor for the latency cost - * @param noc_congestion_cost The NoC congestion cost, i.e. how over-utilized - * NoC links are - * @param noc_congestion_cost_norm The normalization factor for the NoC - * congestion cost + * @param noc_cost_terms NoC-related cost terms + * @param noc_cost_norm_factors Normalization factors for NoC-related cost terms. * * @param MAX_INV_TIMING_COST Stops inverse timing cost from going to infinity * with very lax timing constraints, which avoids multiplying by a * gigantic timing_cost_norm when auto-normalizing. The exact value * of this cost has relatively little impact, but should be large - * enough to not affect the timing costs computatation for normal + * enough to not affect the timing costs computation for normal * constraints. * * @param place_algorithm Determines how the member values are updated upon @@ -94,7 +92,7 @@ class t_placer_costs { NocCostTerms noc_cost_norm_factors; public: //Constructor - t_placer_costs(t_place_algorithm algo) + explicit t_placer_costs(t_place_algorithm algo) : place_algorithm(algo) {} t_placer_costs() = default; diff --git a/vtr_flow/scripts/python_libs/vtr/util.py b/vtr_flow/scripts/python_libs/vtr/util.py index a1b8c598405..dcb1bc81cdb 100644 --- a/vtr_flow/scripts/python_libs/vtr/util.py +++ b/vtr_flow/scripts/python_libs/vtr/util.py @@ -146,6 +146,12 @@ def run_system_command( try: # Call the command stderr = None if self._valgrind else subprocess.STDOUT + ''' + capnproto accesses PWD environment variable to learn about the current working directory. + However, subprocess.Popen() changes the working directory without updating this variable. + This can cause issues when a VTR task passes router lookahead or RR graph files to VPR. + PWD environment variable is updated manually to prevent capnproto from throwing exceptions. + ''' modified_environ = os.environ.copy() modified_environ['PWD'] = str(temp_dir) proc = subprocess.Popen( From b9add7fab6ca50b4b3e7c2cd83c4fb5e98ba4738 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 13 Feb 2024 16:22:21 -0500 Subject: [PATCH 253/608] moved comments from source file to header --- vpr/src/place/place_util.cpp | 96 ++---------------------------------- vpr/src/place/place_util.h | 92 ++++++++++++++++++++++++++++++++-- 2 files changed, 93 insertions(+), 95 deletions(-) diff --git a/vpr/src/place/place_util.cpp b/vpr/src/place/place_util.cpp index 3f7856afa88..6c7f506ee3e 100644 --- a/vpr/src/place/place_util.cpp +++ b/vpr/src/place/place_util.cpp @@ -9,17 +9,14 @@ #include "draw_global.h" #include "place_constraints.h" -/* File-scope routines */ -static GridBlock init_grid_blocks(); - /** - * @brief Initialize the placer's block-grid dual direction mapping. - * - * Forward direction - block to grid: place_ctx.block_locs. - * Reverse direction - grid to block: place_ctx.grid_blocks. + * @brief Initialize `grid_blocks`, the inverse structure of `block_locs`. * - * Initialize both of them to empty states. + * The container at each grid block location should have a length equal to the + * subtile capacity of that block. Unused subtile would be marked EMPTY_BLOCK_ID. */ +static GridBlock init_grid_blocks(); + void init_placement_context() { auto& place_ctx = g_vpr_ctx.mutable_placement(); auto& cluster_ctx = g_vpr_ctx.clustering(); @@ -32,12 +29,6 @@ void init_placement_context() { place_ctx.grid_blocks = init_grid_blocks(); } -/** - * @brief Initialize `grid_blocks`, the inverse structure of `block_locs`. - * - * The container at each grid block location should have a length equal to the - * subtile capacity of that block. Unused subtile would be marked EMPTY_BLOCK_ID. - */ static GridBlock init_grid_blocks() { auto& device_ctx = g_vpr_ctx.device(); int num_layers = device_ctx.grid.get_num_layers(); @@ -56,12 +47,6 @@ static GridBlock init_grid_blocks() { return grid_blocks; } -/** - * @brief Mutator: updates the norm factors in the outer loop iteration. - * - * At each temperature change we update these values to be used - * for normalizing the trade-off between timing and wirelength (bb) - */ void t_placer_costs::update_norm_factors() { if (place_algorithm.is_timing_driven()) { bb_cost_norm = 1 / bb_cost; @@ -73,11 +58,6 @@ void t_placer_costs::update_norm_factors() { } } -/** - * @brief Accumulates NoC cost difference terms - * - * @param noc_delta_cost NoC cost difference if the swap is accepted - */ t_placer_costs& t_placer_costs::operator+=(const NocCostTerms& noc_delta_cost) { noc_cost_terms += noc_delta_cost; @@ -116,20 +96,6 @@ t_annealing_state::t_annealing_state(const t_annealing_sched& annealing_sched, UPPER_RLIM = std::max(grid.width() - 1, grid.height() - 1); } -/** - * @brief Get the initial limit for inner loop block move attempt limit. - * - * There are two ways to scale the move limit. - * e_place_effort_scaling::CIRCUIT - * scales the move limit proportional to num_blocks ^ (4/3) - * e_place_effort_scaling::DEVICE_CIRCUIT - * scales the move limit proportional to device_size ^ (2/3) * num_blocks ^ (2/3) - * - * The second method is almost identical to the first one when the device - * is highly utilized (device_size ~ num_blocks). For low utilization devices - * (device_size >> num_blocks), the search space is larger, so the second method - * performs more moves to ensure better optimization. - */ int get_initial_move_lim(const t_placer_opts& placer_opts, const t_annealing_sched& annealing_sched) { const auto& device_ctx = g_vpr_ctx.device(); const auto& cluster_ctx = g_vpr_ctx.clustering(); @@ -153,16 +119,6 @@ int get_initial_move_lim(const t_placer_opts& placer_opts, const t_annealing_sch return move_lim; } -/** - * @brief Update the annealing state according to the annealing schedule selected. - * - * USER_SCHED: A manual fixed schedule with fixed alpha and exit criteria. - * AUTO_SCHED: A more sophisticated schedule where alpha varies based on success ratio. - * DUSTY_SCHED: This schedule jumps backward and slows down in response to success ratio. - * See doc/src/vpr/dusty_sa.rst for more details. - * - * @return True->continues the annealing. False->exits the annealing. - */ bool t_annealing_state::outer_loop_update(float success_rate, const t_placer_costs& costs, const t_placer_opts& placer_opts, @@ -248,33 +204,12 @@ bool t_annealing_state::outer_loop_update(float success_rate, return true; } -/** - * @brief Update the range limiter to keep acceptance prob. near 0.44. - * - * Use a floating point rlim to allow gradual transitions at low temps. - * The range is bounded by 1 (FINAL_RLIM) and the grid size (UPPER_RLIM). - */ void t_annealing_state::update_rlim(float success_rate) { rlim *= (1. - 0.44 + success_rate); rlim = std::min(rlim, UPPER_RLIM); rlim = std::max(rlim, FINAL_RLIM); } -/** - * @brief Update the criticality exponent. - * - * When rlim shrinks towards the FINAL_RLIM value (indicating - * that we are fine-tuning a more optimized placement), we can - * focus more on a smaller number of critical connections. - * To achieve this, we make the crit_exponent sharper, so that - * critical connections would become more critical than before. - * - * We calculate how close rlim is to its final value comparing - * to its initial value. Then, we apply the same scaling factor - * on the crit_exponent so that it lands on the suitable value - * between td_place_exp_first and td_place_exp_last. The scaling - * factor is calculated and applied linearly. - */ void t_annealing_state::update_crit_exponent(const t_placer_opts& placer_opts) { /* If rlim == FINAL_RLIM, then scale == 0. */ float scale = 1 - (rlim - FINAL_RLIM) * INVERSE_DELTA_RLIM; @@ -284,11 +219,6 @@ void t_annealing_state::update_crit_exponent(const t_placer_opts& placer_opts) { + placer_opts.td_place_exp_first; } -/** - * @brief Update the move limit based on the success rate. - * - * The value is bounded between 1 and move_lim_max. - */ void t_annealing_state::update_move_lim(float success_target, float success_rate) { move_lim = move_lim_max * (success_target / success_rate); move_lim = std::min(move_lim, move_lim_max); @@ -330,13 +260,6 @@ void t_placer_statistics::calc_iteration_stats(const t_placer_costs& costs, int std_dev = get_std_dev(success_sum, sum_of_squares, av_cost); } -/** - * @brief Returns the standard deviation of data set x. - * - * There are n sample points, sum_x_squared is the summation over n of x^2 and av_x - * is the average x. All operations are done in double precision, since round off - * error can be a problem in the initial temp. std_dev calculation for big circuits. - */ double get_std_dev(int n, double sum_x_squared, double av_x) { double std_dev; if (n <= 1) { @@ -396,15 +319,6 @@ void zero_initialize_grid_blocks() { } } -/** - * @brief Builds (alloc and load) legal_pos that holds all the legal locations for placement - * - * @param legal_pos - * a lookup of all subtiles by sub_tile type - * legal_pos[0..device_ctx.num_block_types-1][0..num_sub_tiles - 1] = std::vector of all the legal locations - * of the proper tile type and sub_tile type - * - */ void alloc_and_load_legal_placement_locations(std::vector>>& legal_pos) { auto& device_ctx = g_vpr_ctx.device(); auto& place_ctx = g_vpr_ctx.placement(); diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index e77ee98917d..12bd6ce745b 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -97,7 +97,19 @@ class t_placer_costs { t_placer_costs() = default; public: //Mutator + /** + * @brief Mutator: updates the norm factors in the outer loop iteration. + * + * At each temperature change we update these values to be used + * for normalizing the trade-off between timing and wirelength (bb) + */ void update_norm_factors(); + + /** + * @brief Accumulates NoC cost difference terms + * + * @param noc_delta_cost Cost difference for NoC-related costs terms + */ t_placer_costs& operator+=(const NocCostTerms& noc_delta_cost); private: @@ -180,14 +192,52 @@ class t_annealing_state { int num_layers); public: //Mutator + /** + * @brief Update the annealing state according to the annealing schedule selected. + * + * USER_SCHED: A manual fixed schedule with fixed alpha and exit criteria. + * AUTO_SCHED: A more sophisticated schedule where alpha varies based on success ratio. + * DUSTY_SCHED: This schedule jumps backward and slows down in response to success ratio. + * See doc/src/vpr/dusty_sa.rst for more details. + * + * @return True->continues the annealing. False->exits the annealing. + */ bool outer_loop_update(float success_rate, const t_placer_costs& costs, const t_placer_opts& placer_opts, const t_annealing_sched& annealing_sched); private: //Mutator + /** + * @brief Update the range limiter to keep acceptance prob. near 0.44. + * + * Use a floating point rlim to allow gradual transitions at low temps. + * The range is bounded by 1 (FINAL_RLIM) and the grid size (UPPER_RLIM). + */ inline void update_rlim(float success_rate); + + /** + * @brief Update the criticality exponent. + * + * When rlim shrinks towards the FINAL_RLIM value (indicating + * that we are fine-tuning a more optimized placement), we can + * focus more on a smaller number of critical connections. + * To achieve this, we make the crit_exponent sharper, so that + * critical connections would become more critical than before. + * + * We calculate how close rlim is to its final value comparing + * to its initial value. Then, we apply the same scaling factor + * on the crit_exponent so that it lands on the suitable value + * between td_place_exp_first and td_place_exp_last. The scaling + * factor is calculated and applied linearly. + */ inline void update_crit_exponent(const t_placer_opts& placer_opts); + + /** + * @brief Update the move limit based on the success rate. + * + * The value is bounded between 1 and move_lim_max. + */ inline void update_move_lim(float success_target, float success_rate); }; @@ -245,13 +295,39 @@ class t_placer_statistics { void single_swap_update(const t_placer_costs& costs); }; -///@brief Initialize the placer's block-grid dual direction mapping. +/** + * @brief Initialize the placer's block-grid dual direction mapping. + * + * Forward direction - block to grid: place_ctx.block_locs. + * Reverse direction - grid to block: place_ctx.grid_blocks. + * + * Initialize both of them to empty states. + */ void init_placement_context(); -///@brief Get the initial limit for inner loop block move attempt limit. +/** + * @brief Get the initial limit for inner loop block move attempt limit. + * + * There are two ways to scale the move limit. + * e_place_effort_scaling::CIRCUIT + * scales the move limit proportional to num_blocks ^ (4/3) + * e_place_effort_scaling::DEVICE_CIRCUIT + * scales the move limit proportional to device_size ^ (2/3) * num_blocks ^ (2/3) + * + * The second method is almost identical to the first one when the device + * is highly utilized (device_size ~ num_blocks). For low utilization devices + * (device_size >> num_blocks), the search space is larger, so the second method + * performs more moves to ensure better optimization. + */ int get_initial_move_lim(const t_placer_opts& placer_opts, const t_annealing_sched& annealing_sched); -///@brief Returns the standard deviation of data set x. +/** + * @brief Returns the standard deviation of data set x. + * + * There are n sample points, sum_x_squared is the summation over n of x^2 and av_x + * is the average x. All operations are done in double precision, since round off + * error can be a problem in the initial temp. std_dev calculation for big circuits. + */ double get_std_dev(int n, double sum_x_squared, double av_x); ///@brief Initialize usage to 0 and blockID to EMPTY_BLOCK_ID for all place_ctx.grid_block locations @@ -260,7 +336,15 @@ void zero_initialize_grid_blocks(); ///@brief a utility to calculate grid_blocks given the updated block_locs (used in restore_checkpoint) void load_grid_blocks_from_block_locs(); -///@brief Builds legal_pos structure. legal_pos[type->index] is an array that gives every legal value of (x,y,z) that can accommodate a block. +/** + * @brief Builds (alloc and load) legal_pos that holds all the legal locations for placement + * + * @param legal_pos + * a lookup of all subtiles by sub_tile type + * legal_pos[0..device_ctx.num_block_types-1][0..num_sub_tiles - 1] = std::vector of all the legal locations + * of the proper tile type and sub_tile type + * + */ void alloc_and_load_legal_placement_locations(std::vector>>& legal_pos); ///@brief Performs error checking to see if location is legal for block type, and sets the location and grid usage of the block if it is legal. From 581c3a4aad413932e5a92937c92f22e40e30ac56 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 13 Feb 2024 17:49:32 -0500 Subject: [PATCH 254/608] fix pylint errors --- vtr_flow/scripts/python_libs/vtr/util.py | 14 ++++++++------ 1 file changed, 8 insertions(+), 6 deletions(-) diff --git a/vtr_flow/scripts/python_libs/vtr/util.py b/vtr_flow/scripts/python_libs/vtr/util.py index dcb1bc81cdb..61b52ee991b 100644 --- a/vtr_flow/scripts/python_libs/vtr/util.py +++ b/vtr_flow/scripts/python_libs/vtr/util.py @@ -146,14 +146,16 @@ def run_system_command( try: # Call the command stderr = None if self._valgrind else subprocess.STDOUT - ''' - capnproto accesses PWD environment variable to learn about the current working directory. - However, subprocess.Popen() changes the working directory without updating this variable. - This can cause issues when a VTR task passes router lookahead or RR graph files to VPR. - PWD environment variable is updated manually to prevent capnproto from throwing exceptions. - ''' + + # capnproto accesses PWD environment variable to learn about + # the current working directory. However, subprocess.Popen() + # changes the working directory without updating this variable. + # This can cause issues when a VTR task passes router lookahead + # or RR graph files to VPR. PWD environment variable is updated + # manually to prevent capnproto from throwing exceptions. modified_environ = os.environ.copy() modified_environ['PWD'] = str(temp_dir) + proc = subprocess.Popen( cmd, stdout=subprocess.PIPE, # We grab stdout From 7f30328bb16f6f02088ea4b45c4d0002b0ebcf4a Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 14 Feb 2024 13:58:27 -0500 Subject: [PATCH 255/608] updated traffic flow bandwidth in basic noc star benchmarks with 64 routers --- .../complex_64_noc_star.flows | 65 +++++++++++++++++++ .../complex_64_noc_star_no_constraints.flows | 65 +++++++++++++++++++ 2 files changed, 130 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_no_constraints.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star.flows new file mode 100644 index 00000000000..c0efc08fdb6 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_no_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_no_constraints.flows new file mode 100644 index 00000000000..3106db1b063 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_no_constraints.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 0deb5b1c48496fcbc6fba137bc5b373ad77f6d54 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 14 Feb 2024 15:07:11 -0500 Subject: [PATCH 256/608] update noc benchmarks with 64 routers and multiple bandwidths --- .../complex_64_noc_star_2_bandwidths.flows | 65 +++++++++++++++++++ .../complex_64_noc_star_3_bandwidths.flows | 65 +++++++++++++++++++ .../complex_64_noc_star_4_bandwidths.flows | 65 +++++++++++++++++++ .../complex_64_noc_star_5_bandwidths.flows | 65 +++++++++++++++++++ .../complex_64_noc_star_6_bandwidths.flows | 65 +++++++++++++++++++ 5 files changed, 325 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_2_bandwidths.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_3_bandwidths.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_4_bandwidths.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_5_bandwidths.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_6_bandwidths.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_2_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_2_bandwidths.flows new file mode 100644 index 00000000000..7d3e325afe1 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_2_bandwidths.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_3_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_3_bandwidths.flows new file mode 100644 index 00000000000..490b87016d4 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_3_bandwidths.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_4_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_4_bandwidths.flows new file mode 100644 index 00000000000..2bd06db6090 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_4_bandwidths.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_5_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_5_bandwidths.flows new file mode 100644 index 00000000000..ee8f0188322 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_5_bandwidths.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_6_bandwidths.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_6_bandwidths.flows new file mode 100644 index 00000000000..514ffbe5321 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_6_bandwidths.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From ecee1607cd3fff3adf999f19c273006f7dbdd79e Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 14 Feb 2024 15:12:43 -0500 Subject: [PATCH 257/608] update bandwidth for star benchmarks with latency constraints so that a congestion free solution exists --- vpr/src/place/noc_place_utils.cpp | 2 +- ...x_64_noc_star_12_latency_constraints.flows | 65 +++++++++++++++++++ ...x_64_noc_star_24_latency_constraints.flows | 65 +++++++++++++++++++ ...x_64_noc_star_40_latency_constraints.flows | 65 +++++++++++++++++++ ...ex_64_noc_star_4_latency_constraints.flows | 65 +++++++++++++++++++ ...x_64_noc_star_58_latency_constraints.flows | 65 +++++++++++++++++++ ...x_64_noc_star_63_latency_constraints.flows | 65 +++++++++++++++++++ 7 files changed, 391 insertions(+), 1 deletion(-) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_12_latency_constraints.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_24_latency_constraints.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_40_latency_constraints.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_4_latency_constraints.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_58_latency_constraints.flows create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_63_latency_constraints.flows diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index e81a80a5f4d..757269699bd 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -16,7 +16,7 @@ static std::unordered_set affected_noc_links; /*********************************************************** *****************************/ /** - * @brief Randomly select a moveable NoC router cluster blocks + * @brief Randomly select a movable NoC router cluster blocks * * @param b_from The cluster block ID of the selected NoC router * @param from The current location of the selected NoC router diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_12_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_12_latency_constraints.flows new file mode 100644 index 00000000000..df585b7a024 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_12_latency_constraints.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_24_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_24_latency_constraints.flows new file mode 100644 index 00000000000..09b68244171 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_24_latency_constraints.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_40_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_40_latency_constraints.flows new file mode 100644 index 00000000000..b9cebef4113 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_40_latency_constraints.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_4_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_4_latency_constraints.flows new file mode 100644 index 00000000000..1ade8afb6ba --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_4_latency_constraints.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_58_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_58_latency_constraints.flows new file mode 100644 index 00000000000..262a6e36c35 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_58_latency_constraints.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_63_latency_constraints.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_63_latency_constraints.flows new file mode 100644 index 00000000000..c577d78add6 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_star_63_latency_constraints.flows @@ -0,0 +1,65 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 66fa02dd2ccdc40edb71bf759f300150a4b96d1d Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 15 Feb 2024 12:00:31 -0500 Subject: [PATCH 258/608] avoid copying Region and PartitionRegion unnecessarily --- vpr/src/base/partition.cpp | 20 +++++---- vpr/src/base/partition.h | 11 +++-- vpr/src/base/partition_region.cpp | 53 ++++++++++++----------- vpr/src/base/partition_region.h | 50 +++++++++++---------- vpr/src/base/region.cpp | 4 +- vpr/src/base/region.h | 7 ++- vpr/src/base/vpr_constraints.cpp | 38 ++++++++-------- vpr/src/base/vpr_constraints.h | 30 +++++++++---- vpr/src/base/vpr_constraints_serializer.h | 12 ++--- vpr/src/base/vpr_constraints_writer.cpp | 8 ++-- vpr/src/base/vpr_constraints_writer.h | 2 +- vpr/src/draw/draw_floorplanning.cpp | 26 +++++------ vpr/src/pack/attraction_groups.cpp | 4 +- vpr/src/pack/cluster_util.cpp | 8 ++-- vpr/src/pack/constraints_report.cpp | 8 ++-- vpr/src/place/initial_placement.cpp | 6 +-- vpr/src/place/move_utils.cpp | 9 ++-- vpr/src/place/place_constraints.cpp | 50 ++++++++++----------- vpr/test/test_vpr_constraints.cpp | 25 +++++------ 19 files changed, 197 insertions(+), 174 deletions(-) diff --git a/vpr/src/base/partition.cpp b/vpr/src/base/partition.cpp index 107a8ec2d3a..6e004b86d46 100644 --- a/vpr/src/base/partition.cpp +++ b/vpr/src/base/partition.cpp @@ -1,29 +1,33 @@ #include "partition.h" #include "partition_region.h" #include -#include +#include -const std::string Partition::get_name() { +const std::string& Partition::get_name() const{ return name; } void Partition::set_name(std::string _part_name) { - name = _part_name; + name = std::move(_part_name); } -const PartitionRegion Partition::get_part_region() { +const PartitionRegion& Partition::get_part_region() const { + return part_region; +} + +PartitionRegion& Partition::get_mutable_part_region() { return part_region; } void Partition::set_part_region(PartitionRegion pr) { - part_region = pr; + part_region = std::move(pr); } -void print_partition(FILE* fp, Partition part) { - std::string name = part.get_name(); +void print_partition(FILE* fp, const Partition& part) { + const std::string& name = part.get_name(); fprintf(fp, "partition_name: %s\n", name.c_str()); - PartitionRegion pr = part.get_part_region(); + const PartitionRegion& pr = part.get_part_region(); print_partition_region(fp, pr); } diff --git a/vpr/src/base/partition.h b/vpr/src/base/partition.h index 7ef144e22a7..9c8984b8c86 100644 --- a/vpr/src/base/partition.h +++ b/vpr/src/base/partition.h @@ -28,7 +28,7 @@ class Partition { /** * @brief Get the unique name of the partition */ - const std::string get_name(); + const std::string& get_name() const; /** * @brief Set the name of the partition @@ -46,7 +46,12 @@ class Partition { /** * @brief Get the PartitionRegion (union of rectangular regions) for this partition */ - const PartitionRegion get_part_region(); + const PartitionRegion& get_part_region() const; + + /** + * @brief Get the mutable PartitionRegion (union of rectangular regions) for this partition + */ + PartitionRegion& get_mutable_part_region(); private: std::string name; ///< name of the partition, name will be unique across partitions @@ -54,6 +59,6 @@ class Partition { }; ///@brief used to print data from a Partition -void print_partition(FILE* fp, Partition part); +void print_partition(FILE* fp, const Partition& part); #endif /* PARTITION_H */ diff --git a/vpr/src/base/partition_region.cpp b/vpr/src/base/partition_region.cpp index 4e08d58f79c..2676b6d1035 100644 --- a/vpr/src/base/partition_region.cpp +++ b/vpr/src/base/partition_region.cpp @@ -1,32 +1,34 @@ #include "partition_region.h" #include "region.h" +#include + void PartitionRegion::add_to_part_region(Region region) { - partition_region.push_back(region); + regions.push_back(region); } -std::vector PartitionRegion::get_partition_region() { - return partition_region; +const std::vector& PartitionRegion::get_regions() const { + return regions; } -std::vector PartitionRegion::get_partition_region() const { - return partition_region; +std::vector& PartitionRegion::get_mutable_regions() { + return regions; } void PartitionRegion::set_partition_region(std::vector pr) { - partition_region = pr; + regions = std::move(pr); } -bool PartitionRegion::empty() { - return partition_region.size() == 0; +bool PartitionRegion::empty() const { + return regions.empty(); } -bool PartitionRegion::is_loc_in_part_reg(t_pl_loc loc) { +bool PartitionRegion::is_loc_in_part_reg(const t_pl_loc& loc) const { bool is_in_pr = false; - for (unsigned int i = 0; i < partition_region.size(); i++) { - is_in_pr = partition_region[i].is_loc_in_reg(loc); - if (is_in_pr == true) { + for (const auto & region : regions) { + is_in_pr = region.is_loc_in_reg(loc); + if (is_in_pr) { break; } } @@ -41,12 +43,13 @@ PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionR * Rectangles are not merged even if it would be possible */ PartitionRegion pr; + auto& pr_regions = pr.get_mutable_regions(); Region intersect_region; - for (unsigned int i = 0; i < cluster_pr.partition_region.size(); i++) { - for (unsigned int j = 0; j < new_pr.partition_region.size(); j++) { - intersect_region = intersection(cluster_pr.partition_region[i], new_pr.partition_region[j]); + for (const auto& cluster_region : cluster_pr.get_regions()) { + for (const auto& new_region : new_pr.get_regions()) { + intersect_region = intersection(cluster_region, new_region); if (!intersect_region.empty()) { - pr.partition_region.push_back(intersect_region); + pr_regions.push_back(intersect_region); } } } @@ -55,11 +58,11 @@ PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionR } void update_cluster_part_reg(PartitionRegion& cluster_pr, const PartitionRegion& new_pr) { - Region intersect_region; std::vector int_regions; - for (unsigned int i = 0; i < cluster_pr.partition_region.size(); i++) { - for (unsigned int j = 0; j < new_pr.partition_region.size(); j++) { - intersect_region = intersection(cluster_pr.partition_region[i], new_pr.partition_region[j]); + + for (const auto& cluster_region : cluster_pr.get_regions()) { + for (const auto& new_region : new_pr.get_regions()) { + Region intersect_region = intersection(cluster_region, new_region); if (!intersect_region.empty()) { int_regions.push_back(intersect_region); } @@ -68,14 +71,14 @@ void update_cluster_part_reg(PartitionRegion& cluster_pr, const PartitionRegion& cluster_pr.set_partition_region(int_regions); } -void print_partition_region(FILE* fp, PartitionRegion pr) { - std::vector part_region = pr.get_partition_region(); +void print_partition_region(FILE* fp, const PartitionRegion& pr) { + const std::vector& regions = pr.get_regions(); - int pr_size = part_region.size(); + int pr_size = regions.size(); fprintf(fp, "\tNumber of regions in partition is: %d\n", pr_size); - for (unsigned int i = 0; i < part_region.size(); i++) { - print_region(fp, part_region[i]); + for (const auto & region : regions) { + print_region(fp, region); } } diff --git a/vpr/src/base/partition_region.h b/vpr/src/base/partition_region.h index eb89399191c..2ea9796091b 100644 --- a/vpr/src/base/partition_region.h +++ b/vpr/src/base/partition_region.h @@ -25,8 +25,12 @@ class PartitionRegion { /** * @brief Return the union of regions */ - std::vector get_partition_region(); - std::vector get_partition_region() const; + std::vector& get_mutable_regions(); + + /** + * @brief Return the union of regions + */ + const std::vector& get_regions() const; /** * @brief Set the union of regions @@ -36,7 +40,7 @@ class PartitionRegion { /** * @brief Check if the PartitionRegion is empty (meaning there is no constraint on the object the PartitionRegion belongs to) */ - bool empty(); + bool empty() const; /** * @brief Check if the given location is within the legal bounds of the PartitionRegion. @@ -44,30 +48,30 @@ class PartitionRegion { * * @param loc The location to be checked */ - bool is_loc_in_part_reg(t_pl_loc loc); - - /** - * @brief Global friend function that returns the intersection of two PartitionRegions - * - * @param cluster_pr One of the PartitionRegions to be intersected - * @param new_pr One of the PartitionRegions to be intersected - */ - friend PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionRegion& new_pr); - - /** - * @brief Global friend function that updates the PartitionRegion of a cluster with the intersection - * of the cluster PartitionRegion and a new PartitionRegion - * - * @param cluster_pr The cluster PartitionRegion that is to be updated - * @param new_pr The new PartitionRegion that the cluster PartitionRegion will be intersected with - */ - friend void update_cluster_part_reg(PartitionRegion& cluster_pr, const PartitionRegion& new_pr); + bool is_loc_in_part_reg(const t_pl_loc& loc) const; private: - std::vector partition_region; ///< union of rectangular regions that a partition can be placed in + std::vector regions; ///< union of rectangular regions that a partition can be placed in }; ///@brief used to print data from a PartitionRegion -void print_partition_region(FILE* fp, PartitionRegion pr); +void print_partition_region(FILE* fp, const PartitionRegion& pr); + +/** +* @brief Global friend function that returns the intersection of two PartitionRegions +* +* @param cluster_pr One of the PartitionRegions to be intersected +* @param new_pr One of the PartitionRegions to be intersected +*/ +PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionRegion& new_pr); + +/** +* @brief Global friend function that updates the PartitionRegion of a cluster with the intersection +* of the cluster PartitionRegion and a new PartitionRegion +* +* @param cluster_pr The cluster PartitionRegion that is to be updated +* @param new_pr The new PartitionRegion that the cluster PartitionRegion will be intersected with +*/ +void update_cluster_part_reg(PartitionRegion& cluster_pr, const PartitionRegion& new_pr); #endif /* PARTITION_REGIONS_H */ diff --git a/vpr/src/base/region.cpp b/vpr/src/base/region.cpp index 5c38f9ace86..e45266c723c 100644 --- a/vpr/src/base/region.cpp +++ b/vpr/src/base/region.cpp @@ -42,7 +42,7 @@ bool Region::empty() { || layer_num < 0); } -bool Region::is_loc_in_reg(t_pl_loc loc) { +bool Region::is_loc_in_reg(t_pl_loc loc) const { bool is_loc_in_reg = false; int loc_layer_num = loc.layer; @@ -149,7 +149,7 @@ Region intersection(const Region& r1, const Region& r2) { return intersect; } -void print_region(FILE* fp, Region region) { +void print_region(FILE* fp, const Region& region) { const auto region_coord = region.get_region_rect(); const auto region_rect = vtr::Rect(region_coord.xmin, region_coord.ymin, region_coord.xmax, region_coord.ymax); fprintf(fp, "\tRegion: \n"); diff --git a/vpr/src/base/region.h b/vpr/src/base/region.h index 7b1ceec6dda..dfdfd26d20c 100644 --- a/vpr/src/base/region.h +++ b/vpr/src/base/region.h @@ -43,8 +43,7 @@ struct RegionRectCoord { bool operator==(const RegionRectCoord& rhs) const { vtr::Rect lhs_rect(xmin, ymin, xmax, ymax); vtr::Rect rhs_rect(rhs.xmin, rhs.ymin, rhs.xmax, rhs.ymax); - return lhs_rect == rhs_rect - && layer_num == rhs.layer_num; + return (lhs_rect == rhs_rect) && (layer_num == rhs.layer_num); } }; @@ -105,7 +104,7 @@ class Region { * * @param loc The location to be checked */ - bool is_loc_in_reg(t_pl_loc loc); + bool is_loc_in_reg(t_pl_loc loc) const; bool operator==(const Region& reg) const { return (reg.get_region_rect() == this->get_region_rect() @@ -142,7 +141,7 @@ bool do_regions_intersect(Region r1, Region r2); Region intersection(const Region& r1, const Region& r2); ///@brief Used to print data from a Region -void print_region(FILE* fp, Region region); +void print_region(FILE* fp, const Region& region); namespace std { template<> diff --git a/vpr/src/base/vpr_constraints.cpp b/vpr/src/base/vpr_constraints.cpp index 95c7e7b7358..8580c9419ca 100644 --- a/vpr/src/base/vpr_constraints.cpp +++ b/vpr/src/base/vpr_constraints.cpp @@ -1,7 +1,7 @@ #include "vpr_constraints.h" #include "partition.h" -void VprConstraints::add_constrained_atom(const AtomBlockId blk_id, const PartitionId part_id) { +void VprConstraints::add_constrained_atom(AtomBlockId blk_id, PartitionId part_id) { auto got = constrained_atoms.find(blk_id); /** @@ -16,27 +16,29 @@ void VprConstraints::add_constrained_atom(const AtomBlockId blk_id, const Partit } } -PartitionId VprConstraints::get_atom_partition(AtomBlockId blk_id) { - PartitionId part_id; - +PartitionId VprConstraints::get_atom_partition(AtomBlockId blk_id) const { auto got = constrained_atoms.find(blk_id); if (got == constrained_atoms.end()) { - return part_id = PartitionId::INVALID(); ///< atom is not in a partition, i.e. unconstrained + return PartitionId::INVALID(); ///< atom is not in a partition, i.e. unconstrained } else { return got->second; } } -void VprConstraints::add_partition(Partition part) { +void VprConstraints::add_partition(const Partition& part) { partitions.push_back(part); } -Partition VprConstraints::get_partition(PartitionId part_id) { +const Partition& VprConstraints::get_partition(PartitionId part_id) const { + return partitions[part_id]; +} + +Partition& VprConstraints::get_mutable_partition(PartitionId part_id) { return partitions[part_id]; } -std::vector VprConstraints::get_part_atoms(PartitionId part_id) { +std::vector VprConstraints::get_part_atoms(PartitionId part_id) const { std::vector part_atoms; for (auto& it : constrained_atoms) { @@ -48,18 +50,19 @@ std::vector VprConstraints::get_part_atoms(PartitionId part_id) { return part_atoms; } -int VprConstraints::get_num_partitions() { +int VprConstraints::get_num_partitions() const { return partitions.size(); } -PartitionRegion VprConstraints::get_partition_pr(PartitionId part_id) { - PartitionRegion pr; - pr = partitions[part_id].get_part_region(); - return pr; +const PartitionRegion& VprConstraints::get_partition_pr(PartitionId part_id) const { + return partitions[part_id].get_part_region(); +} + +PartitionRegion& VprConstraints::get_mutable_partition_pr(PartitionId part_id) { + return partitions[part_id].get_mutable_part_region(); } -void print_constraints(FILE* fp, VprConstraints constraints) { - Partition temp_part; +void print_constraints(FILE* fp, const VprConstraints& constraints) { std::vector atoms; int num_parts = constraints.get_num_partitions(); @@ -69,7 +72,7 @@ void print_constraints(FILE* fp, VprConstraints constraints) { for (int i = 0; i < num_parts; i++) { PartitionId part_id(i); - temp_part = constraints.get_partition(part_id); + const Partition& temp_part = constraints.get_partition(part_id); fprintf(fp, "\npartition_id: %zu\n", size_t(part_id)); print_partition(fp, temp_part); @@ -80,8 +83,7 @@ void print_constraints(FILE* fp, VprConstraints constraints) { fprintf(fp, "\tAtom vector size is %d\n", atoms_size); fprintf(fp, "\tIds of atoms in partition: \n"); - for (unsigned int j = 0; j < atoms.size(); j++) { - AtomBlockId atom_id = atoms[j]; + for (auto atom_id : atoms) { fprintf(fp, "\t#%zu\n", size_t(atom_id)); } } diff --git a/vpr/src/base/vpr_constraints.h b/vpr/src/base/vpr_constraints.h index fd3f64842a4..9dd09f47b82 100644 --- a/vpr/src/base/vpr_constraints.h +++ b/vpr/src/base/vpr_constraints.h @@ -43,7 +43,7 @@ class VprConstraints { * @param blk_id The atom being stored * @param part_id The partition the atom is being constrained to */ - void add_constrained_atom(const AtomBlockId blk_id, const PartitionId part_id); + void add_constrained_atom(AtomBlockId blk_id, PartitionId part_id); /** * @brief Return id of the partition the atom belongs to @@ -52,40 +52,54 @@ class VprConstraints { * * @param blk_id The atom for which the partition id is needed */ - PartitionId get_atom_partition(AtomBlockId blk_id); + PartitionId get_atom_partition(AtomBlockId blk_id) const; /** * @brief Store a partition * * @param part The partition being stored */ - void add_partition(Partition part); + void add_partition(const Partition& part); /** * @brief Return a partition * * @param part_id The id of the partition that is wanted */ - Partition get_partition(PartitionId part_id); + const Partition& get_partition(PartitionId part_id) const; + + /** + * @brief Returns a mutable partition + * + * @param part_id The id of the partition that is wanted + */ + Partition& get_mutable_partition(PartitionId part_id); /** * @brief Return all the atoms that belong to a partition * * @param part_id The id of the partition whose atoms are needed */ - std::vector get_part_atoms(PartitionId part_id); + std::vector get_part_atoms(PartitionId part_id) const; /** * @brief Returns the number of partitions in the object */ - int get_num_partitions(); + int get_num_partitions() const; /** * @brief Returns the PartitionRegion belonging to the specified Partition * * @param part_id The id of the partition whose PartitionRegion is needed */ - PartitionRegion get_partition_pr(PartitionId part_id); + const PartitionRegion& get_partition_pr(PartitionId part_id) const; + + /** + * @brief Returns the mutable PartitionRegion belonging to the specified Partition + * + * @param part_id The id of the partition whose PartitionRegion is needed + */ + PartitionRegion& get_mutable_partition_pr(PartitionId part_id); private: /** @@ -100,6 +114,6 @@ class VprConstraints { }; ///@brief used to print floorplanning constraints data from a VprConstraints object -void print_constraints(FILE* fp, VprConstraints constraints); +void print_constraints(FILE* fp, const VprConstraints& constraints); #endif /* VPR_CONSTRAINTS_H */ diff --git a/vpr/src/base/vpr_constraints_serializer.h b/vpr/src/base/vpr_constraints_serializer.h index 5405eb0e21a..902d3977a80 100644 --- a/vpr/src/base/vpr_constraints_serializer.h +++ b/vpr/src/base/vpr_constraints_serializer.h @@ -222,8 +222,8 @@ class VprConstraintsSerializer final : public uxsd::VprConstraintsBase regions = pr.get_partition_region(); + const PartitionRegion& pr = part_info.part.get_part_region(); + const std::vector& regions = pr.get_regions(); return regions.size(); } virtual inline Region get_partition_add_region(int n, partition_info& part_info) final { - PartitionRegion pr = part_info.part.get_part_region(); - std::vector regions = pr.get_partition_region(); + const PartitionRegion& pr = part_info.part.get_part_region(); + const std::vector& regions = pr.get_regions(); return regions[n]; } diff --git a/vpr/src/base/vpr_constraints_writer.cpp b/vpr/src/base/vpr_constraints_writer.cpp index de8c91dedbb..056d0fd7151 100644 --- a/vpr/src/base/vpr_constraints_writer.cpp +++ b/vpr/src/base/vpr_constraints_writer.cpp @@ -196,7 +196,7 @@ void setup_vpr_floorplan_constraints_cutpoints(VprConstraints& constraints, int } int num_partitions = 0; - for (auto region : region_atoms) { + for (const auto& region : region_atoms) { Partition part; PartitionId partid(num_partitions); std::string part_name = "Part" + std::to_string(num_partitions); @@ -205,15 +205,15 @@ void setup_vpr_floorplan_constraints_cutpoints(VprConstraints& constraints, int {reg_coord.xmin, reg_coord.ymin, reg_coord.xmax, reg_coord.ymax, reg_coord.layer_num}); constraints.add_partition(part); - for (unsigned int k = 0; k < region.second.size(); k++) { - constraints.add_constrained_atom(region.second[k], partid); + for (auto blk_id : region.second) { + constraints.add_constrained_atom(blk_id, partid); } num_partitions++; } } -void create_partition(Partition& part, std::string part_name, const RegionRectCoord& region_cord) { +void create_partition(Partition& part, const std::string& part_name, const RegionRectCoord& region_cord) { part.set_name(part_name); PartitionRegion part_pr; Region part_region; diff --git a/vpr/src/base/vpr_constraints_writer.h b/vpr/src/base/vpr_constraints_writer.h index 955542be637..9db00bb4612 100644 --- a/vpr/src/base/vpr_constraints_writer.h +++ b/vpr/src/base/vpr_constraints_writer.h @@ -45,6 +45,6 @@ void setup_vpr_floorplan_constraints_one_loc(VprConstraints& constraints, int ex */ void setup_vpr_floorplan_constraints_cutpoints(VprConstraints& constraints, int horizontal_cutpoints, int vertical_cutpoints); -void create_partition(Partition& part, std::string part_name, const RegionRectCoord& region_cord); +void create_partition(Partition& part, const std::string& part_name, const RegionRectCoord& region_cord); #endif /* VPR_SRC_BASE_VPR_CONSTRAINTS_WRITER_H_ */ diff --git a/vpr/src/draw/draw_floorplanning.cpp b/vpr/src/draw/draw_floorplanning.cpp index 126bbd63212..8cb32442774 100644 --- a/vpr/src/draw/draw_floorplanning.cpp +++ b/vpr/src/draw/draw_floorplanning.cpp @@ -84,9 +84,9 @@ static void highlight_partition(ezgl::renderer* g, int partitionID, int alpha) { auto constraints = floorplanning_ctx.constraints; t_draw_coords* draw_coords = get_draw_coords_vars(); - auto partition = constraints.get_partition((PartitionId)partitionID); - auto& partition_region = partition.get_part_region(); - auto regions = partition_region.get_partition_region(); + const auto& partition = constraints.get_partition((PartitionId)partitionID); + const auto& partition_region = partition.get_part_region(); + const auto& regions = partition_region.get_regions(); bool name_drawn = false; ezgl::color partition_color = kelly_max_contrast_colors_no_black[partitionID % (kelly_max_contrast_colors_no_black.size())]; @@ -116,13 +116,13 @@ static void highlight_partition(ezgl::renderer* g, int partitionID, int alpha) { if (!name_drawn) { g->set_font_size(10); - std::string partition_name = partition.get_name(); + const std::string& partition_name = partition.get_name(); g->set_color(partition_color, 230); g->draw_text( on_screen_rect.center(), - partition_name.c_str(), + partition_name, on_screen_rect.width() - 10, on_screen_rect.height() - 10); @@ -165,12 +165,11 @@ void draw_constrained_atoms(ezgl::renderer* g) { for (int partitionID = 0; partitionID < num_partitions; partitionID++) { auto atoms = constraints.get_part_atoms((PartitionId)partitionID); - for (size_t j = 0; j < atoms.size(); j++) { - AtomBlockId const& const_atom = atoms[j]; - if (atom_ctx.lookup.atom_pb(const_atom) != nullptr) { - const t_pb* pb = atom_ctx.lookup.atom_pb(const_atom); + for (const auto atom_id : atoms) { + if (atom_ctx.lookup.atom_pb(atom_id) != nullptr) { + const t_pb* pb = atom_ctx.lookup.atom_pb(atom_id); auto color = kelly_max_contrast_colors_no_black[partitionID % (kelly_max_contrast_colors_no_black.size())]; - ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(atoms[j]); + ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(atom_id); auto type = cluster_ctx.clb_nlist.block_type(clb_index); draw_internal_pb(clb_index, cluster_ctx.clb_nlist.block_pb(clb_index), pb, ezgl::rectangle({0, 0}, 0, 0), type, color, g); @@ -232,7 +231,7 @@ static void draw_internal_pb(const ClusterBlockId clb_index, t_pb* current_pb, c g->draw_text( abs_bbox.center(), - blk_tag.c_str(), + blk_tag, abs_bbox.width() + 10, abs_bbox.height() + 10); @@ -307,7 +306,7 @@ static GtkTreeModel* create_and_fill_model(void) { for (int partitionID = 0; partitionID < num_partitions; partitionID++) { auto atoms = constraints.get_part_atoms((PartitionId)partitionID); - auto partition = constraints.get_partition((PartitionId)partitionID); + const auto& partition = constraints.get_partition((PartitionId)partitionID); std::string partition_name(partition.get_name() + " (" + std::to_string(atoms.size()) + " primitives)"); @@ -318,8 +317,7 @@ static GtkTreeModel* create_and_fill_model(void) { COL_NAME, partition_name.c_str(), -1); - for (size_t j = 0; j < atoms.size(); j++) { - AtomBlockId const& const_atom = atoms[j]; + for (auto const_atom : atoms) { std::string atom_name = (atom_ctx.lookup.atom_pb(const_atom))->name; gtk_tree_store_append(store, &child_iter, &iter); gtk_tree_store_set(store, &child_iter, diff --git a/vpr/src/pack/attraction_groups.cpp b/vpr/src/pack/attraction_groups.cpp index 2c70d9d11cd..e4bd17620e4 100644 --- a/vpr/src/pack/attraction_groups.cpp +++ b/vpr/src/pack/attraction_groups.cpp @@ -64,8 +64,8 @@ void AttractionInfo::create_att_groups_for_overfull_regions() { for (int ipart = 0; ipart < num_parts; ipart++) { PartitionId partid(ipart); - Partition part = floorplanning_ctx.constraints.get_partition(partid); - auto& pr_regions = part.get_part_region(); + const Partition& part = floorplanning_ctx.constraints.get_partition(partid); + const auto& pr_regions = part.get_part_region(); PartitionRegion intersect_pr; diff --git a/vpr/src/pack/cluster_util.cpp b/vpr/src/pack/cluster_util.cpp index c1170afba63..48fe7a9dd71 100644 --- a/vpr/src/pack/cluster_util.cpp +++ b/vpr/src/pack/cluster_util.cpp @@ -94,11 +94,11 @@ static void echo_clusters(char* filename) { auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); for (ClusterBlockId clb_id : cluster_ctx.clb_nlist.blocks()) { - std::vector reg = floorplanning_ctx.cluster_constraints[clb_id].get_partition_region(); - if (reg.size() != 0) { + const std::vector& regions = floorplanning_ctx.cluster_constraints[clb_id].get_regions(); + if (!regions.empty()) { fprintf(fp, "\nRegions in Cluster %zu:\n", size_t(clb_id)); - for (unsigned int i = 0; i < reg.size(); i++) { - print_region(fp, reg[i]); + for (const auto & region : regions) { + print_region(fp, region); } } } diff --git a/vpr/src/pack/constraints_report.cpp b/vpr/src/pack/constraints_report.cpp index f75823aefab..2c58ef341a4 100644 --- a/vpr/src/pack/constraints_report.cpp +++ b/vpr/src/pack/constraints_report.cpp @@ -18,12 +18,10 @@ bool floorplan_constraints_regions_overfull() { } t_logical_block_type_ptr bt = cluster_ctx.clb_nlist.block_type(blk_id); - PartitionRegion pr = floorplanning_ctx.cluster_constraints[blk_id]; - std::vector regions = pr.get_partition_region(); - - for (unsigned int i_reg = 0; i_reg < regions.size(); i_reg++) { - Region current_reg = regions[i_reg]; + const PartitionRegion& pr = floorplanning_ctx.cluster_constraints[blk_id]; + const std::vector& regions = pr.get_regions(); + for (const auto& current_reg : regions) { auto got = regions_count_info.find(current_reg); if (got == regions_count_info.end()) { diff --git a/vpr/src/place/initial_placement.cpp b/vpr/src/place/initial_placement.cpp index 7e67f169ef2..b9b97b1998f 100644 --- a/vpr/src/place/initial_placement.cpp +++ b/vpr/src/place/initial_placement.cpp @@ -236,7 +236,7 @@ static bool is_loc_legal(t_pl_loc& loc, PartitionRegion& pr, t_logical_block_typ bool legal = false; //Check if the location is within its constraint region - for (auto reg : pr.get_partition_region()) { + for (const auto& reg : pr.get_regions()) { const auto reg_coord = reg.get_region_rect(); vtr::Rect reg_rect(reg_coord.xmin, reg_coord.ymin, reg_coord.xmax, reg_coord.ymax); if (reg_coord.layer_num != loc.layer) continue; @@ -580,7 +580,7 @@ bool try_place_macro_randomly(const t_pl_macro& pl_macro, const PartitionRegion& //If the block has more than one floorplan region, pick a random region to get the min/max x and y values int region_index; - std::vector regions = pr.get_partition_region(); + const std::vector& regions = pr.get_regions(); if (regions.size() > 1) { region_index = vtr::irand(regions.size() - 1); } else { @@ -637,7 +637,7 @@ bool try_place_macro_exhaustively(const t_pl_macro& pl_macro, const PartitionReg const auto& compressed_block_grid = g_vpr_ctx.placement().compressed_block_grids[block_type->index]; auto& place_ctx = g_vpr_ctx.mutable_placement(); - std::vector regions = pr.get_partition_region(); + const std::vector& regions = pr.get_regions(); bool placed = false; diff --git a/vpr/src/place/move_utils.cpp b/vpr/src/place/move_utils.cpp index 2c62d6ec371..b7692581a61 100644 --- a/vpr/src/place/move_utils.cpp +++ b/vpr/src/place/move_utils.cpp @@ -1276,13 +1276,10 @@ bool intersect_range_limit_with_floorplan_constraints(t_logical_block_type_ptr t max_grid_loc.y, layer_num}); - auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); + const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); - PartitionRegion pr = floorplanning_ctx.cluster_constraints[b_from]; - std::vector regions; - if (!pr.empty()) { - regions = pr.get_partition_region(); - } + const PartitionRegion& pr = floorplanning_ctx.cluster_constraints[b_from]; + const std::vector& regions = pr.get_regions(); Region intersect_reg; /* * If region size is greater than 1, the block is constrained to more than one rectangular region. diff --git a/vpr/src/place/place_constraints.cpp b/vpr/src/place/place_constraints.cpp index f1c5045251b..e1b153d9d71 100644 --- a/vpr/src/place/place_constraints.cpp +++ b/vpr/src/place/place_constraints.cpp @@ -42,8 +42,8 @@ bool is_macro_constrained(const t_pl_macro& pl_macro) { bool is_macro_constrained = false; bool is_member_constrained = false; - for (size_t imember = 0; imember < pl_macro.members.size(); imember++) { - ClusterBlockId iblk = pl_macro.members[imember].blk_index; + for (const auto & member : pl_macro.members) { + ClusterBlockId iblk = member.blk_index; is_member_constrained = is_cluster_constrained(iblk); if (is_member_constrained) { @@ -62,25 +62,25 @@ PartitionRegion update_macro_head_pr(const t_pl_macro& pl_macro, const Partition int num_constrained_members = 0; auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); - for (size_t imember = 0; imember < pl_macro.members.size(); imember++) { - ClusterBlockId iblk = pl_macro.members[imember].blk_index; + for (const auto & member : pl_macro.members) { + ClusterBlockId iblk = member.blk_index; is_member_constrained = is_cluster_constrained(iblk); if (is_member_constrained) { num_constrained_members++; - //PartitionRegion of the constrained block - PartitionRegion block_pr; + //PartitionRegion of the constrained block modified for the head according to the offset PartitionRegion modified_pr; - block_pr = floorplanning_ctx.cluster_constraints[iblk]; - std::vector block_regions = block_pr.get_partition_region(); + //PartitionRegion of the constrained block + const PartitionRegion& block_pr = floorplanning_ctx.cluster_constraints[iblk]; + const std::vector& block_regions = block_pr.get_regions(); - for (unsigned int i = 0; i < block_regions.size(); i++) { + for (const auto & block_region : block_regions) { Region modified_reg; - auto offset = pl_macro.members[imember].offset; + auto offset = member.offset; - const auto block_reg_coord = block_regions[i].get_region_rect(); + const auto block_reg_coord = block_region.get_region_rect(); modified_reg.set_region_rect({block_reg_coord.xmin - offset.x, block_reg_coord.ymin - offset.y, @@ -89,8 +89,8 @@ PartitionRegion update_macro_head_pr(const t_pl_macro& pl_macro, const Partition block_reg_coord.layer_num}); //check that subtile is not an invalid value before changing, otherwise it just stays -1 - if (block_regions[i].get_sub_tile() != NO_SUBTILE) { - modified_reg.set_sub_tile(block_regions[i].get_sub_tile() - offset.sub_tile); + if (block_region.get_sub_tile() != NO_SUBTILE) { + modified_reg.set_sub_tile(block_region.get_sub_tile() - offset.sub_tile); } modified_pr.add_to_part_region(modified_reg); @@ -116,13 +116,13 @@ PartitionRegion update_macro_head_pr(const t_pl_macro& pl_macro, const Partition } PartitionRegion update_macro_member_pr(PartitionRegion& head_pr, const t_pl_offset& offset, const PartitionRegion& grid_pr, const t_pl_macro& pl_macro) { - std::vector block_regions = head_pr.get_partition_region(); + const std::vector& block_regions = head_pr.get_regions(); PartitionRegion macro_pr; - for (unsigned int i = 0; i < block_regions.size(); i++) { + for (const auto & block_region : block_regions) { Region modified_reg; - const auto block_reg_coord = block_regions[i].get_region_rect(); + const auto block_reg_coord = block_region.get_region_rect(); modified_reg.set_region_rect({block_reg_coord.xmin + offset.x, block_reg_coord.ymin + offset.y, @@ -131,8 +131,8 @@ PartitionRegion update_macro_member_pr(PartitionRegion& head_pr, const t_pl_offs block_reg_coord.layer_num}); //check that subtile is not an invalid value before changing, otherwise it just stays -1 - if (block_regions[i].get_sub_tile() != NO_SUBTILE) { - modified_reg.set_sub_tile(block_regions[i].get_sub_tile() + offset.sub_tile); + if (block_region.get_sub_tile() != NO_SUBTILE) { + modified_reg.set_sub_tile(block_region.get_sub_tile() + offset.sub_tile); } macro_pr.add_to_part_region(modified_reg); @@ -154,9 +154,9 @@ void print_macro_constraint_error(const t_pl_macro& pl_macro) { VTR_LOG( "Feasible floorplanning constraints could not be calculated for the placement macro. \n" "The placement macro contains the following blocks: \n"); - for (unsigned int i = 0; i < pl_macro.members.size(); i++) { - std::string blk_name = cluster_ctx.clb_nlist.block_name((pl_macro.members[i].blk_index)); - VTR_LOG("Block %s (#%zu) ", blk_name.c_str(), size_t(pl_macro.members[i].blk_index)); + for (const auto & member : pl_macro.members) { + std::string blk_name = cluster_ctx.clb_nlist.block_name((member.blk_index)); + VTR_LOG("Block %s (#%zu) ", blk_name.c_str(), size_t(member.blk_index)); } VTR_LOG("\n"); VPR_ERROR(VPR_ERROR_PLACE, " \n Check that the above-mentioned placement macro blocks have compatible floorplan constraints.\n"); @@ -380,7 +380,7 @@ int region_tile_cover(const Region& reg, t_logical_block_type_ptr block_type, t_ */ bool is_pr_size_one(PartitionRegion& pr, t_logical_block_type_ptr block_type, t_pl_loc& loc) { auto& device_ctx = g_vpr_ctx.device(); - std::vector regions = pr.get_partition_region(); + const std::vector& regions = pr.get_regions(); bool pr_size_one; int pr_size = 0; int reg_size; @@ -439,11 +439,11 @@ bool is_pr_size_one(PartitionRegion& pr, t_logical_block_type_ptr block_type, t_ } int get_part_reg_size(PartitionRegion& pr, t_logical_block_type_ptr block_type, GridTileLookup& grid_tiles) { - std::vector part_reg = pr.get_partition_region(); + const std::vector& regions = pr.get_regions(); int num_tiles = 0; - for (unsigned int i_reg = 0; i_reg < part_reg.size(); i_reg++) { - num_tiles += grid_tiles.region_tile_count(part_reg[i_reg], block_type); + for (const auto & region : regions) { + num_tiles += grid_tiles.region_tile_count(region, block_type); } return num_tiles; diff --git a/vpr/test/test_vpr_constraints.cpp b/vpr/test/test_vpr_constraints.cpp index f9a5d7e5bd4..f0fb486d76a 100644 --- a/vpr/test/test_vpr_constraints.cpp +++ b/vpr/test/test_vpr_constraints.cpp @@ -53,7 +53,7 @@ TEST_CASE("PartitionRegion", "[vpr]") { pr1.add_to_part_region(r1); - std::vector pr_regions = pr1.get_partition_region(); + const std::vector& pr_regions = pr1.get_regions(); REQUIRE(pr_regions[0].get_sub_tile() == 3); const auto pr_reg_coord = pr_regions[0].get_region_rect(); @@ -80,8 +80,8 @@ TEST_CASE("Partition", "[vpr]") { part_reg.add_to_part_region(r1); part.set_part_region(part_reg); - PartitionRegion part_reg_2 = part.get_part_region(); - std::vector regions = part_reg_2.get_partition_region(); + const PartitionRegion& part_reg_2 = part.get_part_region(); + const std::vector& regions = part_reg_2.get_regions(); REQUIRE(regions[0].get_sub_tile() == 3); @@ -121,8 +121,7 @@ TEST_CASE("VprConstraints", "[vpr]") { vprcon.add_partition(part); - Partition got_part; - got_part = vprcon.get_partition(part_id); + const Partition& got_part = vprcon.get_partition(part_id); REQUIRE(got_part.get_name() == "part_name"); std::vector partition_atoms; @@ -235,7 +234,7 @@ TEST_CASE("PartRegionIntersect", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector regions = int_pr.get_partition_region(); + const std::vector& regions = int_pr.get_regions(); vtr::Rect int_rect(0, 0, 1, 1); vtr::Rect int_rect_2(1, 1, 2, 2); @@ -268,7 +267,7 @@ TEST_CASE("PartRegionIntersect2", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector regions = int_pr.get_partition_region(); + const std::vector& regions = int_pr.get_regions(); vtr::Rect int_rect(0, 0, 2, 2); REQUIRE(regions.size() == 1); const auto first_reg_coord = regions[0].get_region_rect(); @@ -304,9 +303,9 @@ TEST_CASE("PartRegionIntersect3", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector regions = int_pr.get_partition_region(); + const std::vector& regions = int_pr.get_regions(); - REQUIRE(regions.size() == 0); + REQUIRE(regions.empty()); } //2x2 regions, 1 overlap @@ -337,7 +336,7 @@ TEST_CASE("PartRegionIntersect4", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector regions = int_pr.get_partition_region(); + const std::vector& regions = int_pr.get_regions(); vtr::Rect intersect(1, 2, 3, 4); @@ -374,7 +373,7 @@ TEST_CASE("PartRegionIntersect5", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector regions = int_pr.get_partition_region(); + const std::vector& regions = int_pr.get_regions(); vtr::Rect int_r1r3(2, 6, 4, 7); vtr::Rect int_r2r4(6, 4, 8, 5); @@ -415,7 +414,7 @@ TEST_CASE("PartRegionIntersect6", "[vpr]") { PartitionRegion int_pr; int_pr = intersection(pr1, pr2); - std::vector regions = int_pr.get_partition_region(); + const std::vector& regions = int_pr.get_regions(); vtr::Rect int_r1r3(2, 3, 4, 4); vtr::Rect int_r1r4(2, 6, 4, 7); @@ -455,7 +454,7 @@ TEST_CASE("MacroConstraints", "[vpr]") { PartitionRegion macro_pr = update_macro_member_pr(head_pr, offset, grid_pr, pl_macro); - std::vector mac_regions = macro_pr.get_partition_region(); + const std::vector& mac_regions = macro_pr.get_regions(); const auto mac_first_reg_coord = mac_regions[0].get_region_rect(); From cbe5665e46ae094e633e490a5e7b92038844f3ec Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 15 Feb 2024 19:55:12 -0500 Subject: [PATCH 259/608] pass by ref and range based loops --- libs/libvtrutil/src/vtr_util.cpp | 10 ++--- libs/libvtrutil/src/vtr_util.h | 8 ++-- vpr/src/base/SetupGrid.cpp | 19 ++++----- vpr/src/base/SetupGrid.h | 9 +++-- vpr/src/base/atom_netlist.cpp | 10 ++--- vpr/src/base/atom_netlist.h | 10 ++--- vpr/src/base/constraints_load.cpp | 2 +- vpr/src/base/constraints_load.h | 2 +- vpr/src/base/setup_noc.cpp | 18 ++++----- vpr/src/base/setup_noc.h | 2 +- vpr/src/base/vpr_constraints.cpp | 2 +- vpr/src/base/vpr_constraints_reader.cpp | 2 +- vpr/src/base/vpr_constraints_writer.cpp | 5 +-- vpr/src/base/vpr_constraints_writer.h | 14 ++++++- vpr/src/noc/noc_traffic_flows.cpp | 16 +++++++- vpr/src/noc/noc_traffic_flows.h | 8 +++- .../noc/read_xml_noc_traffic_flows_file.cpp | 39 +++++++++++++------ vpr/src/noc/read_xml_noc_traffic_flows_file.h | 12 ++++-- vpr/src/pack/attraction_groups.cpp | 16 ++++---- vpr/src/pack/attraction_groups.h | 4 +- vpr/src/pack/cluster_util.cpp | 32 +++++++-------- vpr/src/pack/cluster_util.h | 4 +- vpr/src/pack/pack.cpp | 12 ++++-- vpr/src/place/move_utils.cpp | 2 +- 24 files changed, 156 insertions(+), 102 deletions(-) diff --git a/libs/libvtrutil/src/vtr_util.cpp b/libs/libvtrutil/src/vtr_util.cpp index 2a7a247bde1..e73cee1d1a4 100644 --- a/libs/libvtrutil/src/vtr_util.cpp +++ b/libs/libvtrutil/src/vtr_util.cpp @@ -26,7 +26,7 @@ static int cont; /* line continued? (used by strtok)*/ * * The split strings (excluding the delimiters) are returned */ -std::vector split(const char* text, const std::string delims) { +std::vector split(const char* text, const std::string& delims) { if (text) { std::string text_str(text); return split(text_str, delims); @@ -39,7 +39,7 @@ std::vector split(const char* text, const std::string delims) { * * The split strings (excluding the delimiters) are returned */ -std::vector split(const std::string& text, const std::string delims) { +std::vector split(const std::string& text, const std::string& delims) { std::vector tokens; std::string curr_tok; @@ -102,7 +102,7 @@ std::string replace_all(const std::string& input, const std::string& search, con } ///@brief Retruns true if str starts with prefix -bool starts_with(std::string str, std::string prefix) { +bool starts_with(const std::string& str, const std::string& prefix) { return str.find(prefix) == 0; } @@ -461,8 +461,8 @@ bool file_exists(const char* filename) { * * Returns true if the extension is correct, and false otherwise. */ -bool check_file_name_extension(std::string file_name, - std::string file_extension) { +bool check_file_name_extension(const std::string& file_name, + const std::string& file_extension) { auto ext = std::filesystem::path(file_name).extension(); return ext == file_extension; } diff --git a/libs/libvtrutil/src/vtr_util.h b/libs/libvtrutil/src/vtr_util.h index edcb7ba8598..114de793751 100644 --- a/libs/libvtrutil/src/vtr_util.h +++ b/libs/libvtrutil/src/vtr_util.h @@ -14,8 +14,8 @@ namespace vtr { * * The split strings (excluding the delimiters) are returned */ -std::vector split(const char* text, const std::string delims = " \t\n"); -std::vector split(const std::string& text, const std::string delims = " \t\n"); +std::vector split(const char* text, const std::string& delims = " \t\n"); +std::vector split(const std::string& text, const std::string& delims = " \t\n"); ///@brief Returns 'input' with the first instance of 'search' replaced with 'replace' std::string replace_first(const std::string& input, const std::string& search, const std::string& replace); @@ -24,7 +24,7 @@ std::string replace_first(const std::string& input, const std::string& search, c std::string replace_all(const std::string& input, const std::string& search, const std::string& replace); ///@brief Retruns true if str starts with prefix -bool starts_with(std::string str, std::string prefix); +bool starts_with(const std::string& str, const std::string& prefix); ///@brief Returns a std::string formatted using a printf-style format string std::string string_fmt(const char* fmt, ...); @@ -69,7 +69,7 @@ double atod(const std::string& value); */ int get_file_line_number_of_last_opened_file(); bool file_exists(const char* filename); -bool check_file_name_extension(std::string file_name, std::string file_extension); +bool check_file_name_extension(const std::string& file_name, const std::string& file_extension); extern std::string out_file_prefix; diff --git a/vpr/src/base/SetupGrid.cpp b/vpr/src/base/SetupGrid.cpp index 3569f5bff1f..626d3027259 100644 --- a/vpr/src/base/SetupGrid.cpp +++ b/vpr/src/base/SetupGrid.cpp @@ -31,8 +31,8 @@ using vtr::t_formula_data; static DeviceGrid auto_size_device_grid(const std::vector& grid_layouts, const std::map& minimum_instance_counts, float maximum_device_utilization); static std::vector grid_overused_resources(const DeviceGrid& grid, std::map instance_counts); -static bool grid_satisfies_instance_counts(const DeviceGrid& grid, std::map instance_counts, float maximum_utilization); -static DeviceGrid build_device_grid(const t_grid_def& grid_def, size_t width, size_t height, bool warn_out_of_range = true, std::vector limiting_resources = std::vector()); +static bool grid_satisfies_instance_counts(const DeviceGrid& grid, const std::map& instance_counts, float maximum_utilization); +static DeviceGrid build_device_grid(const t_grid_def& grid_def, size_t width, size_t height, bool warn_out_of_range = true, const std::vector& limiting_resources = std::vector()); static void CheckGrid(const DeviceGrid& grid); @@ -46,7 +46,7 @@ static void set_grid_block_type(int priority, const t_metadata_dict* meta); ///@brief Create the device grid based on resource requirements -DeviceGrid create_device_grid(std::string layout_name, const std::vector& grid_layouts, const std::map& minimum_instance_counts, float target_device_utilization) { +DeviceGrid create_device_grid(const std::string& layout_name, const std::vector& grid_layouts, const std::map& minimum_instance_counts, float target_device_utilization) { if (layout_name == "auto") { //Auto-size the device // @@ -78,9 +78,9 @@ DeviceGrid create_device_grid(std::string layout_name, const std::vector& grid_layouts, size_t width, size_t height) { +DeviceGrid create_device_grid(const std::string& layout_name, const std::vector& grid_layouts, size_t width, size_t height) { if (layout_name == "auto") { - VTR_ASSERT(grid_layouts.size() > 0); + VTR_ASSERT(!grid_layouts.empty()); //Auto-size if (grid_layouts[0].grid_type == GridDefType::AUTO) { //Auto layout of the specified dimensions @@ -145,7 +145,7 @@ DeviceGrid create_device_grid(std::string layout_name, const std::vector& grid_layouts, const std::map& minimum_instance_counts, float maximum_device_utilization) { - VTR_ASSERT(grid_layouts.size() > 0); + VTR_ASSERT(!grid_layouts.empty()); DeviceGrid grid; @@ -281,6 +281,7 @@ static std::vector grid_overused_resources(const Devic //Sort so we allocate logical blocks with the fewest equivalent sites first (least flexible) std::vector logical_block_types; + logical_block_types.reserve(device_ctx.logical_block_types.size()); for (auto& block_type : device_ctx.logical_block_types) { logical_block_types.push_back(&block_type); } @@ -316,7 +317,7 @@ static std::vector grid_overused_resources(const Devic return overused_resources; } -static bool grid_satisfies_instance_counts(const DeviceGrid& grid, std::map instance_counts, float maximum_utilization) { +static bool grid_satisfies_instance_counts(const DeviceGrid& grid, const std::map& instance_counts, float maximum_utilization) { //Are the resources satisified? auto overused_resources = grid_overused_resources(grid, instance_counts); @@ -335,7 +336,7 @@ static bool grid_satisfies_instance_counts(const DeviceGrid& grid, std::map limiting_resources) { +static DeviceGrid build_device_grid(const t_grid_def& grid_def, size_t grid_width, size_t grid_height, bool warn_out_of_range, const std::vector& limiting_resources) { if (grid_def.grid_type == GridDefType::FIXED) { if (grid_def.width != int(grid_width) || grid_def.height != int(grid_height)) { VPR_FATAL_ERROR(VPR_ERROR_OTHER, @@ -754,7 +755,7 @@ static void CheckGrid(const DeviceGrid& grid) { } } -float calculate_device_utilization(const DeviceGrid& grid, std::map instance_counts) { +float calculate_device_utilization(const DeviceGrid& grid, const std::map& instance_counts) { //Record the resources of the grid std::map grid_resources; for (int layer_num = 0; layer_num < grid.get_num_layers(); ++layer_num) { diff --git a/vpr/src/base/SetupGrid.h b/vpr/src/base/SetupGrid.h index 4dd80c28539..977ce2f51e2 100644 --- a/vpr/src/base/SetupGrid.h +++ b/vpr/src/base/SetupGrid.h @@ -13,13 +13,16 @@ #include "physical_types.h" ///@brief Find the device satisfying the specified minimum resources -DeviceGrid create_device_grid(std::string layout_name, +DeviceGrid create_device_grid(const std::string& layout_name, const std::vector& grid_layouts, const std::map& minimum_instance_counts, float target_device_utilization); ///@brief Find the device close in size to the specified dimensions -DeviceGrid create_device_grid(std::string layout_name, const std::vector& grid_layouts, size_t min_width, size_t min_height); +DeviceGrid create_device_grid(const std::string& layout_name, + const std::vector& grid_layouts, + size_t min_width, + size_t min_height); /** * @brief Calculate the device utilization @@ -27,7 +30,7 @@ DeviceGrid create_device_grid(std::string layout_name, const std::vector instance_counts); +float calculate_device_utilization(const DeviceGrid& grid, const std::map& instance_counts); /** * @brief Returns the effective size of the device diff --git a/vpr/src/base/atom_netlist.cpp b/vpr/src/base/atom_netlist.cpp index 39af4d23e1c..1cbd2232f1f 100644 --- a/vpr/src/base/atom_netlist.cpp +++ b/vpr/src/base/atom_netlist.cpp @@ -115,7 +115,7 @@ AtomBlockId AtomNetlist::find_atom_pin_driver(const AtomBlockId blk_id, const t_ return AtomBlockId::INVALID(); } -std::unordered_set AtomNetlist::net_aliases(const std::string net_name) const { +std::unordered_set AtomNetlist::net_aliases(const std::string& net_name) const { auto net_id = find_net(net_name); VTR_ASSERT(net_id != AtomNetId::INVALID()); @@ -137,7 +137,7 @@ std::unordered_set AtomNetlist::net_aliases(const std::string net_n * Mutators * */ -AtomBlockId AtomNetlist::create_block(const std::string name, const t_model* model, const TruthTable truth_table) { +AtomBlockId AtomNetlist::create_block(const std::string& name, const t_model* model, const TruthTable& truth_table) { AtomBlockId blk_id = Netlist::create_block(name); //Initialize the data @@ -205,7 +205,7 @@ AtomPinId AtomNetlist::create_pin(const AtomPortId port_id, BitIndex port_bit, c return pin_id; } -AtomNetId AtomNetlist::create_net(const std::string name) { +AtomNetId AtomNetlist::create_net(const std::string& name) { AtomNetId net_id = Netlist::create_net(name); //Check post-conditions: size @@ -214,11 +214,11 @@ AtomNetId AtomNetlist::create_net(const std::string name) { return net_id; } -AtomNetId AtomNetlist::add_net(const std::string name, AtomPinId driver, std::vector sinks) { +AtomNetId AtomNetlist::add_net(const std::string& name, AtomPinId driver, std::vector sinks) { return Netlist::add_net(name, driver, sinks); } -void AtomNetlist::add_net_alias(const std::string net_name, const std::string alias_net_name) { +void AtomNetlist::add_net_alias(const std::string& net_name, const std::string& alias_net_name) { auto net_id = find_net(net_name); VTR_ASSERT(net_id != AtomNetId::INVALID()); diff --git a/vpr/src/base/atom_netlist.h b/vpr/src/base/atom_netlist.h index d639b2d5d57..de1bb4f53bf 100644 --- a/vpr/src/base/atom_netlist.h +++ b/vpr/src/base/atom_netlist.h @@ -157,7 +157,7 @@ class AtomNetlist : public Netlist net_aliases(const std::string net_name) const; + std::unordered_set net_aliases(const std::string& net_name) const; public: //Public Mutators /* @@ -173,7 +173,7 @@ class AtomNetlist : public Netlist sinks); + AtomNetId add_net(const std::string& name, AtomPinId driver, std::vector sinks); /** * @brief Adds a value to the net aliases set for a given net name in the net_aliases_map. @@ -218,7 +218,7 @@ class AtomNetlist : public Netlist arch.noc->router_list.size()) // check whether the noc topology information provided is using all the routers in the FPGA { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "The Provided NoC topology information in the architecture file uses less number of routers than what is available in the FPGA device."); - } else if (noc_router_tiles.size() == 0) // case where no physical router tiles were found + } else if (noc_router_tiles.empty()) // case where no physical router tiles were found { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "No physical NoC routers were found on the FPGA device. Either the provided name for the physical router tile was incorrect or the FPGA device has no routers."); } @@ -58,7 +58,7 @@ void setup_noc(const t_arch& arch) { return; } -void identify_and_store_noc_router_tile_positions(const DeviceGrid& device_grid, std::vector& noc_router_tiles, std::string noc_router_tile_name) { +void identify_and_store_noc_router_tile_positions(const DeviceGrid& device_grid, std::vector& noc_router_tiles, const std::string& noc_router_tile_name) { const int num_layers = device_grid.get_num_layers(); int curr_tile_width; int curr_tile_height; @@ -173,10 +173,10 @@ void create_noc_routers(const t_noc_inf& noc_info, NocStorage* noc_model, std::v error_case_physical_router_index_2 = INVALID_PHYSICAL_ROUTER_INDEX; // determine the physical router tile that is closest to the current user described router in the arch file - for (auto physical_router = noc_router_tiles.begin(); physical_router != noc_router_tiles.end(); physical_router++) { + for (auto & physical_router : noc_router_tiles) { // get the position of the current physical router tile on the FPGA device - curr_physical_router_pos_x = physical_router->tile_centroid_x; - curr_physical_router_pos_y = physical_router->tile_centroid_y; + curr_physical_router_pos_x = physical_router.tile_centroid_x; + curr_physical_router_pos_y = physical_router.tile_centroid_y; // use euclidean distance to calculate the length between the current user described router and the physical router curr_calculated_distance = sqrt(pow(abs(curr_physical_router_pos_x - curr_logical_router_position_x), 2.0) + pow(abs(curr_physical_router_pos_y - curr_logical_router_position_y), 2.0)); @@ -237,14 +237,14 @@ void create_noc_links(const t_noc_inf* noc_info, NocStorage* noc_model) { noc_model->make_room_for_noc_router_link_list(); // go through each router and add its outgoing links to the NoC - for (auto router = noc_info->router_list.begin(); router != noc_info->router_list.end(); router++) { + for (const auto & router : noc_info->router_list) { // get the converted id of the current source router - source_router = noc_model->convert_router_id(router->id); + source_router = noc_model->convert_router_id(router.id); // go through all the routers connected to the current one and add links to the noc - for (auto conn_router_id = router->connection_list.begin(); conn_router_id != router->connection_list.end(); conn_router_id++) { + for (int conn_router_id : router.connection_list) { // get the converted id of the currently connected sink router - sink_router = noc_model->convert_router_id(*conn_router_id); + sink_router = noc_model->convert_router_id(conn_router_id); // add the link to the Noc noc_model->add_link(source_router, sink_router); diff --git a/vpr/src/base/setup_noc.h b/vpr/src/base/setup_noc.h index 23737d1c5b1..62b3ae4d543 100644 --- a/vpr/src/base/setup_noc.h +++ b/vpr/src/base/setup_noc.h @@ -89,7 +89,7 @@ void setup_noc(const t_arch& arch); * tile in the FPGA architecture description * file. */ -void identify_and_store_noc_router_tile_positions(const DeviceGrid& device_grid, std::vector& list_of_noc_router_tiles, std::string noc_router_tile_name); +void identify_and_store_noc_router_tile_positions(const DeviceGrid& device_grid, std::vector& list_of_noc_router_tiles, const std::string& noc_router_tile_name); /** * @brief Creates NoC routers and adds them to the NoC model based diff --git a/vpr/src/base/vpr_constraints.cpp b/vpr/src/base/vpr_constraints.cpp index 8580c9419ca..c44fc490ab3 100644 --- a/vpr/src/base/vpr_constraints.cpp +++ b/vpr/src/base/vpr_constraints.cpp @@ -41,7 +41,7 @@ Partition& VprConstraints::get_mutable_partition(PartitionId part_id) { std::vector VprConstraints::get_part_atoms(PartitionId part_id) const { std::vector part_atoms; - for (auto& it : constrained_atoms) { + for (const auto& it : constrained_atoms) { if (it.second == part_id) { part_atoms.push_back(it.first); } diff --git a/vpr/src/base/vpr_constraints_reader.cpp b/vpr/src/base/vpr_constraints_reader.cpp index 8e69b7b42b4..c1d3f33389b 100644 --- a/vpr/src/base/vpr_constraints_reader.cpp +++ b/vpr/src/base/vpr_constraints_reader.cpp @@ -35,7 +35,7 @@ void load_vpr_constraints_file(const char* read_vpr_constraints_name) { auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); floorplanning_ctx.constraints = reader.constraints_; - VprConstraints ctx_constraints = floorplanning_ctx.constraints; + const auto& ctx_constraints = floorplanning_ctx.constraints; if (getEchoEnabled() && isEchoFileEnabled(E_ECHO_VPR_CONSTRAINTS)) { echo_constraints(getEchoFileName(E_ECHO_VPR_CONSTRAINTS), ctx_constraints); diff --git a/vpr/src/base/vpr_constraints_writer.cpp b/vpr/src/base/vpr_constraints_writer.cpp index 056d0fd7151..073b02dc1f3 100644 --- a/vpr/src/base/vpr_constraints_writer.cpp +++ b/vpr/src/base/vpr_constraints_writer.cpp @@ -55,8 +55,7 @@ void setup_vpr_floorplan_constraints_one_loc(VprConstraints& constraints, int ex * The subtile can also optionally be set in the PartitionRegion, based on the value passed in by the user. */ for (auto blk_id : cluster_ctx.clb_nlist.blocks()) { - std::string part_name; - part_name = cluster_ctx.clb_nlist.block_name(blk_id); + const std::string& part_name = cluster_ctx.clb_nlist.block_name(blk_id); PartitionId partid(part_id); Partition part; @@ -65,7 +64,7 @@ void setup_vpr_floorplan_constraints_one_loc(VprConstraints& constraints, int ex PartitionRegion pr; Region reg; - auto loc = place_ctx.block_locs[blk_id].loc; + const auto& loc = place_ctx.block_locs[blk_id].loc; reg.set_region_rect({loc.x - expand, loc.y - expand, diff --git a/vpr/src/base/vpr_constraints_writer.h b/vpr/src/base/vpr_constraints_writer.h index 9db00bb4612..f99335c7c42 100644 --- a/vpr/src/base/vpr_constraints_writer.h +++ b/vpr/src/base/vpr_constraints_writer.h @@ -37,7 +37,19 @@ */ void write_vpr_floorplan_constraints(const char* file_name, int expand, bool subtile, int horizontal_partitions, int vertical_partitions); -//Generate constraints which lock all blocks to one location. +/** + * @brief Populates VprConstraints by creating a partition for each clustered block. + * All atoms in the clustered block are assigned to the same partition. The created partition + * for each clustered block would include the current location of the clustered block. The + * partition is expanded from four sides by "expand" blocks. + * + * @param constraints The VprConstraints to be populated. + * @param expand The amount the floorplan region will be expanded around the current + * x, y location of the block. Ex. if location is (1, 1) and expand = 1, + * the floorplan region will be from (0, 0) to (2, 2). + * @param subtile Specifies whether to write out the constraint regions with or without + * subtile values. + */ void setup_vpr_floorplan_constraints_one_loc(VprConstraints& constraints, int expand, bool subtile); /* Generate constraints which divide the grid into partition according to the horizontal and vertical partition values passed in diff --git a/vpr/src/noc/noc_traffic_flows.cpp b/vpr/src/noc/noc_traffic_flows.cpp index 426597bd71c..18b03444c91 100644 --- a/vpr/src/noc/noc_traffic_flows.cpp +++ b/vpr/src/noc/noc_traffic_flows.cpp @@ -54,11 +54,23 @@ const std::vector& NocTrafficFlows::get_all_traffic_flow_id(vo // setters for the traffic flows -void NocTrafficFlows::create_noc_traffic_flow(const std::string& source_router_module_name, const std::string& sink_router_module_name, ClusterBlockId source_router_cluster_id, ClusterBlockId sink_router_cluster_id, double traffic_flow_bandwidth, double traffic_flow_latency, int traffic_flow_priority) { +void NocTrafficFlows::create_noc_traffic_flow(const std::string& source_router_module_name, + const std::string& sink_router_module_name, + ClusterBlockId source_router_cluster_id, + ClusterBlockId sink_router_cluster_id, + double traffic_flow_bandwidth, + double traffic_flow_latency, + int traffic_flow_priority) { VTR_ASSERT_MSG(!built_traffic_flows, "NoC traffic flows have already been added, cannot modify further."); // create and add the new traffic flow to the vector - noc_traffic_flows.emplace_back(source_router_module_name, sink_router_module_name, source_router_cluster_id, sink_router_cluster_id, traffic_flow_bandwidth, traffic_flow_latency, traffic_flow_priority); + noc_traffic_flows.emplace_back(source_router_module_name, + sink_router_module_name, + source_router_cluster_id, + sink_router_cluster_id, + traffic_flow_bandwidth, + traffic_flow_latency, + traffic_flow_priority); //since the new traffic flow was added to the back of the vector, its id will be the index of the last element NocTrafficFlowId curr_traffic_flow_id = (NocTrafficFlowId)(noc_traffic_flows.size() - 1); diff --git a/vpr/src/noc/noc_traffic_flows.h b/vpr/src/noc/noc_traffic_flows.h index 8b433ef3599..c1c73f8884f 100644 --- a/vpr/src/noc/noc_traffic_flows.h +++ b/vpr/src/noc/noc_traffic_flows.h @@ -255,7 +255,13 @@ class NocTrafficFlows { * at the sink router. * @param traffic_flow_priority The importance of a given traffic flow. */ - void create_noc_traffic_flow(const std::string& source_router_module_name, const std::string& sink_router_module_name, ClusterBlockId source_router_cluster_id, ClusterBlockId sink_router_cluster_id, double traffic_flow_bandwidth, double traffic_flow_latency, int traffic_flow_priority); + void create_noc_traffic_flow(const std::string& source_router_module_name, + const std::string& sink_router_module_name, + ClusterBlockId source_router_cluster_id, + ClusterBlockId sink_router_cluster_id, + double traffic_flow_bandwidth, + double traffic_flow_latency, + int traffic_flow_priority); /** * @brief Copies the passed in router_cluster_id_in_netlist vector to the diff --git a/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp b/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp index b785d2c4da6..07bd53be7ce 100644 --- a/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp +++ b/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp @@ -76,7 +76,12 @@ void read_xml_noc_traffic_flows_file(const char* noc_flows_file) { return; } -void process_single_flow(pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const ClusteringContext& cluster_ctx, NocContext& noc_ctx, t_physical_tile_type_ptr noc_router_tile_type, const std::vector& cluster_blocks_compatible_with_noc_router_tiles) { +void process_single_flow(pugi::xml_node single_flow_tag, + const pugiutil::loc_data& loc_data, + const ClusteringContext& cluster_ctx, + NocContext& noc_ctx, + t_physical_tile_type_ptr noc_router_tile_type, + const std::vector& cluster_blocks_compatible_with_noc_router_tiles) { // contains all traffic flows NocTrafficFlows* noc_traffic_flow_storage = &noc_ctx.noc_traffic_flows_storage; @@ -113,7 +118,13 @@ void process_single_flow(pugi::xml_node single_flow_tag, const pugiutil::loc_dat verify_traffic_flow_properties(traffic_flow_bandwidth, max_traffic_flow_latency, traffic_flow_priority, single_flow_tag, loc_data); // The current flow information is legal, so store it - noc_traffic_flow_storage->create_noc_traffic_flow(source_router_module_name, sink_router_module_name, source_router_id, sink_router_id, traffic_flow_bandwidth, max_traffic_flow_latency, traffic_flow_priority); + noc_traffic_flow_storage->create_noc_traffic_flow(source_router_module_name, + sink_router_module_name, + source_router_id, + sink_router_id, + traffic_flow_bandwidth, + max_traffic_flow_latency, + traffic_flow_priority); return; } @@ -169,7 +180,7 @@ int get_traffic_flow_priority(pugi::xml_node single_flow_tag, const pugiutil::lo return traffic_flow_priority; } -void verify_traffic_flow_router_modules(std::string source_router_name, std::string sink_router_name, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data) { +void verify_traffic_flow_router_modules(const std::string& source_router_name, const std::string& sink_router_name, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data) { // check that the source router module name is not empty if (source_router_name == "") { vpr_throw(VPR_ERROR_OTHER, loc_data.filename_c_str(), loc_data.line(single_flow_tag), "Invalid name for the source NoC router module."); @@ -206,7 +217,11 @@ void verify_traffic_flow_properties(double traffic_flow_bandwidth, double max_tr return; } -ClusterBlockId get_router_module_cluster_id(std::string router_module_name, const ClusteringContext& cluster_ctx, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const std::vector& cluster_blocks_compatible_with_noc_router_tiles) { +ClusterBlockId get_router_module_cluster_id(const std::string& router_module_name, + const ClusteringContext& cluster_ctx, + pugi::xml_node single_flow_tag, + const pugiutil::loc_data& loc_data, + const std::vector& cluster_blocks_compatible_with_noc_router_tiles) { ClusterBlockId router_module_id = ClusterBlockId::INVALID(); // Given a regex pattern, use it to match a name of a cluster router block within the clustered netlist. If a matching cluster block is found, then return its cluster block id. @@ -226,7 +241,7 @@ ClusterBlockId get_router_module_cluster_id(std::string router_module_name, cons return router_module_id; } -void check_traffic_flow_router_module_type(std::string router_module_name, ClusterBlockId router_module_id, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const ClusteringContext& cluster_ctx, t_physical_tile_type_ptr noc_router_tile_type) { +void check_traffic_flow_router_module_type(const std::string& router_module_name, ClusterBlockId router_module_id, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const ClusteringContext& cluster_ctx, t_physical_tile_type_ptr noc_router_tile_type) { // get the logical type of the provided router module t_logical_block_type_ptr router_module_logical_type = cluster_ctx.clb_nlist.block_type(router_module_id); @@ -257,7 +272,7 @@ t_physical_tile_type_ptr get_physical_type_of_noc_router_tile(const DeviceContex physical_noc_router->get_router_layer_position()}); } -bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& noc_ctx, t_physical_tile_type_ptr noc_router_tile_type, std::string noc_flows_file) { +bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& noc_ctx, t_physical_tile_type_ptr noc_router_tile_type, const std::string& noc_flows_file) { bool result = true; // contains the number of all the noc router blocks in the design @@ -269,10 +284,10 @@ bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& no /* * Go through the router subtiles and get the router logical block types the subtiles support. Then determine how many of each router logical block types there are in the clustered netlist. The accumulated sum of all these clusters is the total number of router blocks in the design. */ - for (auto subtile = noc_router_subtiles->begin(); subtile != noc_router_subtiles->end(); subtile++) { - for (auto router_logical_block = subtile->equivalent_sites.begin(); router_logical_block != subtile->equivalent_sites.end(); router_logical_block++) { + for (const auto & noc_router_subtile : *noc_router_subtiles) { + for (auto router_logical_block : noc_router_subtile.equivalent_sites) { // get the number of logical blocks in the design of the current logical block type - number_of_router_blocks_in_design += clustered_netlist_stats.num_blocks_type[(*router_logical_block)->index]; + number_of_router_blocks_in_design += clustered_netlist_stats.num_blocks_type[router_logical_block->index]; } } @@ -299,14 +314,14 @@ std::vector get_cluster_blocks_compatible_with_noc_router_tiles( // vector to store all the cluster blocks ids that can be placed within a physical NoC router tile on the FPGA std::vector cluster_blocks_compatible_with_noc_router_tiles; - for (auto cluster_block_id = cluster_netlist_blocks.begin(); cluster_block_id != cluster_netlist_blocks.end(); cluster_block_id++) { + for (auto cluster_blk_id : cluster_netlist_blocks) { // get the logical type of the block - t_logical_block_type_ptr cluster_block_type = cluster_ctx.clb_nlist.block_type(*cluster_block_id); + t_logical_block_type_ptr cluster_block_type = cluster_ctx.clb_nlist.block_type(cluster_blk_id); // check if the current block is compatible with a NoC router tile // if it is, then this block is a NoC outer instantiated by the user in the design, so add it to the vector compatible blocks if (is_tile_compatible(noc_router_tile_type, cluster_block_type)) { - cluster_blocks_compatible_with_noc_router_tiles.push_back(*cluster_block_id); + cluster_blocks_compatible_with_noc_router_tiles.push_back(cluster_blk_id); } } diff --git a/vpr/src/noc/read_xml_noc_traffic_flows_file.h b/vpr/src/noc/read_xml_noc_traffic_flows_file.h index e8005665b3c..55cecc38bc1 100644 --- a/vpr/src/noc/read_xml_noc_traffic_flows_file.h +++ b/vpr/src/noc/read_xml_noc_traffic_flows_file.h @@ -142,7 +142,7 @@ int get_traffic_flow_priority(pugi::xml_node single_flow_tag, const pugiutil::lo * @param loc_data Contains location data about the current line in the xml * file. Passed in for error logging. */ -void verify_traffic_flow_router_modules(std::string source_router_name, std::string sink_router_name, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data); +void verify_traffic_flow_router_modules(const std::string& source_router_name, const std::string& sink_router_name, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data); /** * @brief Ensures the traffic flow's bandwidth, latency constraint and @@ -181,7 +181,11 @@ void verify_traffic_flow_properties(double traffic_flow_bandwidth, double max_tr * @return ClusterBlockId The corresponding router block id of the provided * router module name. */ -ClusterBlockId get_router_module_cluster_id(std::string router_module_name, const ClusteringContext& cluster_ctx, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const std::vector& cluster_blocks_compatible_with_noc_router_tiles); +ClusterBlockId get_router_module_cluster_id(const std::string& router_module_name, + const ClusteringContext& cluster_ctx, + pugi::xml_node single_flow_tag, + const pugiutil::loc_data& loc_data, + const std::vector& cluster_blocks_compatible_with_noc_router_tiles); /** * @brief Checks to see whether a given router block is compatible with a NoC @@ -204,7 +208,7 @@ ClusterBlockId get_router_module_cluster_id(std::string router_module_name, cons * FPGA. Used to check if the router block is * compatible with a router tile. */ -void check_traffic_flow_router_module_type(std::string router_module_name, ClusterBlockId router_module_id, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const ClusteringContext& cluster_ctx, t_physical_tile_type_ptr noc_router_tile_type); +void check_traffic_flow_router_module_type(const std::string& router_module_name, ClusterBlockId router_module_id, pugi::xml_node single_flow_tag, const pugiutil::loc_data& loc_data, const ClusteringContext& cluster_ctx, t_physical_tile_type_ptr noc_router_tile_type); /** * @brief Retrieves the physical type of a noc router tile. @@ -237,7 +241,7 @@ t_physical_tile_type_ptr get_physical_type_of_noc_router_tile(const DeviceContex * associated traffic flow. False means there are some router * blocks that do not have a an associated traffic flow. */ -bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& noc_ctx, t_physical_tile_type_ptr noc_router_tile_type, std::string noc_flows_file); +bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& noc_ctx, t_physical_tile_type_ptr noc_router_tile_type, const std::string& noc_flows_file); /** * @brief Goes through the blocks within the clustered netlist and identifies diff --git a/vpr/src/pack/attraction_groups.cpp b/vpr/src/pack/attraction_groups.cpp index e4bd17620e4..60e72546e51 100644 --- a/vpr/src/pack/attraction_groups.cpp +++ b/vpr/src/pack/attraction_groups.cpp @@ -1,7 +1,7 @@ #include "attraction_groups.h" AttractionInfo::AttractionInfo(bool attraction_groups_on) { - auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); + const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); auto& atom_ctx = g_vpr_ctx.atom(); int num_parts = floorplanning_ctx.constraints.get_num_partitions(); @@ -33,7 +33,7 @@ AttractionInfo::AttractionInfo(bool attraction_groups_on) { } void AttractionInfo::create_att_groups_for_overfull_regions() { - auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); + const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); auto& atom_ctx = g_vpr_ctx.atom(); int num_parts = floorplanning_ctx.constraints.get_num_partitions(); @@ -47,10 +47,10 @@ void AttractionInfo::create_att_groups_for_overfull_regions() { atom_attraction_group.resize(num_atoms); fill(atom_attraction_group.begin(), atom_attraction_group.end(), AttractGroupId::INVALID()); - auto& overfull_regions = floorplanning_ctx.overfull_regions; + const auto& overfull_regions = floorplanning_ctx.overfull_regions; PartitionRegion overfull_regions_pr; - for (unsigned int i = 0; i < overfull_regions.size(); i++) { - overfull_regions_pr.add_to_part_region(overfull_regions[i]); + for (const auto & overfull_region : overfull_regions) { + overfull_regions_pr.add_to_part_region(overfull_region); } /* * Create a PartitionRegion that contains all the overfull regions so that you can @@ -88,7 +88,7 @@ void AttractionInfo::create_att_groups_for_overfull_regions() { } void AttractionInfo::create_att_groups_for_all_regions() { - auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); + const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); auto& atom_ctx = g_vpr_ctx.atom(); int num_parts = floorplanning_ctx.constraints.get_num_partitions(); @@ -137,8 +137,8 @@ void AttractionInfo::assign_atom_attraction_ids() { AttractionGroup att_group = attraction_groups[group_id]; - for (unsigned int iatom = 0; iatom < att_group.group_atoms.size(); iatom++) { - atom_attraction_group[att_group.group_atoms[iatom]] = group_id; + for (auto group_atom : att_group.group_atoms) { + atom_attraction_group[group_atom] = group_id; } } } diff --git a/vpr/src/pack/attraction_groups.h b/vpr/src/pack/attraction_groups.h index 109afa667cc..813d6e0fb1b 100644 --- a/vpr/src/pack/attraction_groups.h +++ b/vpr/src/pack/attraction_groups.h @@ -80,7 +80,7 @@ class AttractionInfo { int num_attraction_groups(); - int get_att_group_pulls(); + int get_att_group_pulls() const; void set_att_group_pulls(int num_pulls); @@ -101,7 +101,7 @@ class AttractionInfo { int att_group_pulls = 1; }; -inline int AttractionInfo::get_att_group_pulls() { +inline int AttractionInfo::get_att_group_pulls() const { return att_group_pulls; } diff --git a/vpr/src/pack/cluster_util.cpp b/vpr/src/pack/cluster_util.cpp index 48fe7a9dd71..0c1891c7927 100644 --- a/vpr/src/pack/cluster_util.cpp +++ b/vpr/src/pack/cluster_util.cpp @@ -76,22 +76,21 @@ static void echo_clusters(char* filename) { cluster_atoms[clb_index].push_back(atom_blk_id); } - for (auto i = cluster_atoms.begin(); i != cluster_atoms.end(); i++) { - std::string cluster_name; - cluster_name = cluster_ctx.clb_nlist.block_name(i->first); - fprintf(fp, "Cluster %s Id: %zu \n", cluster_name.c_str(), size_t(i->first)); + for (auto & cluster_atom : cluster_atoms) { + const std::string& cluster_name = cluster_ctx.clb_nlist.block_name(cluster_atom.first); + fprintf(fp, "Cluster %s Id: %zu \n", cluster_name.c_str(), size_t(cluster_atom.first)); fprintf(fp, "\tAtoms in cluster: \n"); - int num_atoms = i->second.size(); + int num_atoms = cluster_atom.second.size(); for (auto j = 0; j < num_atoms; j++) { - AtomBlockId atom_id = i->second[j]; + AtomBlockId atom_id = cluster_atom.second[j]; fprintf(fp, "\t %s \n", atom_ctx.nlist.block_name(atom_id).c_str()); } } fprintf(fp, "\nCluster Floorplanning Constraints:\n"); - auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); + const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); for (ClusterBlockId clb_id : cluster_ctx.clb_nlist.blocks()) { const std::vector& regions = floorplanning_ctx.cluster_constraints[clb_id].get_regions(); @@ -1318,9 +1317,6 @@ enum e_block_pack_status atom_cluster_floorplanning_check(const AtomBlockId blk_ PartitionId partid; partid = floorplanning_ctx.constraints.get_atom_partition(blk_id); - PartitionRegion atom_pr; - PartitionRegion cluster_pr; - //if the atom does not belong to a partition, it can be put in the cluster //regardless of what the cluster's PartitionRegion is because it has no constraints if (partid == PartitionId::INVALID()) { @@ -1331,12 +1327,12 @@ enum e_block_pack_status atom_cluster_floorplanning_check(const AtomBlockId blk_ return BLK_PASSED; } else { //get pr of that partition - atom_pr = floorplanning_ctx.constraints.get_partition_pr(partid); + const PartitionRegion& atom_pr = floorplanning_ctx.constraints.get_partition_pr(partid); //intersect it with the pr of the current cluster - cluster_pr = floorplanning_ctx.cluster_constraints[clb_index]; + PartitionRegion cluster_pr = floorplanning_ctx.cluster_constraints[clb_index]; - if (cluster_pr.empty() == true) { + if (cluster_pr.empty()) { temp_cluster_pr = atom_pr; cluster_pr_needs_update = true; if (verbosity > 3) { @@ -1349,7 +1345,7 @@ enum e_block_pack_status atom_cluster_floorplanning_check(const AtomBlockId blk_ update_cluster_part_reg(cluster_pr, atom_pr); } - if (cluster_pr.empty() == true) { + if (cluster_pr.empty()) { if (verbosity > 3) { VTR_LOG("\t\t\t Intersect: Atom block %d failed floorplanning check for cluster %d \n", blk_id, clb_index); } @@ -2036,7 +2032,7 @@ void start_new_cluster(t_cluster_placement_stats* cluster_placement_stats, const int num_models, const int max_cluster_size, const t_arch* arch, - std::string device_layout_name, + const std::string& device_layout_name, std::vector* lb_type_rr_graphs, t_lb_router_data** router_data, const int detailed_routing_stage, @@ -3466,10 +3462,10 @@ enum e_block_pack_status check_chain_root_placement_feasibility(const t_pb_graph } else { block_pack_status = BLK_FAILED_FEASIBLE; for (const auto& chain : chain_root_pins) { - for (size_t tieOff = 0; tieOff < chain.size(); tieOff++) { + for (auto tieOff : chain) { // check if this chosen primitive is one of the possible // starting points for this chain. - if (pb_graph_node == chain[tieOff]->parent_node) { + if (pb_graph_node == tieOff->parent_node) { // this location matches with the one of the dedicated chain // input from outside logic block, therefore it is feasible block_pack_status = BLK_PASSED; @@ -3624,7 +3620,7 @@ void update_le_count(const t_pb* pb, const t_logical_block_type_ptr logic_block_ * This function returns true if the given physical block has * a primitive matching the given blif model and is used */ -bool pb_used_for_blif_model(const t_pb* pb, std::string blif_model_name) { +bool pb_used_for_blif_model(const t_pb* pb, const std::string& blif_model_name) { auto pb_graph_node = pb->pb_graph_node; auto pb_type = pb_graph_node->pb_type; auto mode = &pb_type->modes[pb->mode]; diff --git a/vpr/src/pack/cluster_util.h b/vpr/src/pack/cluster_util.h index 6c05272e1e7..2f01e38b1e5 100644 --- a/vpr/src/pack/cluster_util.h +++ b/vpr/src/pack/cluster_util.h @@ -331,7 +331,7 @@ void start_new_cluster(t_cluster_placement_stats* cluster_placement_stats, const int num_models, const int max_cluster_size, const t_arch* arch, - std::string device_layout_name, + const std::string& device_layout_name, std::vector* lb_type_rr_graphs, t_lb_router_data** router_data, const int detailed_routing_stage, @@ -442,7 +442,7 @@ t_logical_block_type_ptr identify_logic_block_type(std::map& le_count, const t_pb_type* le_pb_type); diff --git a/vpr/src/pack/pack.cpp b/vpr/src/pack/pack.cpp index 9fd61587cde..e561ca59365 100644 --- a/vpr/src/pack/pack.cpp +++ b/vpr/src/pack/pack.cpp @@ -27,7 +27,10 @@ /* #define DUMP_PB_GRAPH 1 */ /* #define DUMP_BLIF_INPUT 1 */ -static bool try_size_device_grid(const t_arch& arch, const std::map& num_type_instances, float target_device_utilization, std::string device_layout_name); +static bool try_size_device_grid(const t_arch& arch, + const std::map& num_type_instances, + float target_device_utilization, + const std::string& device_layout_name); /** * @brief Counts the total number of logic models that the architecture can implement. @@ -153,7 +156,7 @@ bool try_pack(t_packer_opts* packer_opts, * is not dense enough and there are floorplan constraints, it is presumed that the constraints are the cause * of the floorplan not fitting, so attraction groups are turned on for later iterations. */ - bool floorplan_not_fitting = (floorplan_regions_overfull || g_vpr_ctx.mutable_floorplanning().constraints.get_num_partitions() > 0); + bool floorplan_not_fitting = (floorplan_regions_overfull || g_vpr_ctx.floorplanning().constraints.get_num_partitions() > 0); if (fits_on_device && !floorplan_regions_overfull) { break; //Done @@ -331,7 +334,10 @@ std::unordered_set alloc_and_load_is_clock(bool global_clocks) { return (is_clock); } -static bool try_size_device_grid(const t_arch& arch, const std::map& num_type_instances, float target_device_utilization, std::string device_layout_name) { +static bool try_size_device_grid(const t_arch& arch, + const std::map& num_type_instances, + float target_device_utilization, + const std::string& device_layout_name) { auto& device_ctx = g_vpr_ctx.mutable_device(); //Build the device diff --git a/vpr/src/place/move_utils.cpp b/vpr/src/place/move_utils.cpp index b7692581a61..7dec20a1d01 100644 --- a/vpr/src/place/move_utils.cpp +++ b/vpr/src/place/move_utils.cpp @@ -28,7 +28,7 @@ void report_aborted_moves() { if (f_move_abort_reasons.empty()) { VTR_LOG(" No moves aborted\n"); } - for (auto kv : f_move_abort_reasons) { + for (const auto& kv : f_move_abort_reasons) { VTR_LOG(" %s: %zu\n", kv.first.c_str(), kv.second); } } From 3777e5f420e3895237b3169415ec245caad00f4f Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 16 Feb 2024 10:36:39 -0500 Subject: [PATCH 260/608] find noc router atoms --- vpr/src/pack/pack.cpp | 25 +++++++++++++++++++++++++ 1 file changed, 25 insertions(+) diff --git a/vpr/src/pack/pack.cpp b/vpr/src/pack/pack.cpp index e561ca59365..bd740b7821c 100644 --- a/vpr/src/pack/pack.cpp +++ b/vpr/src/pack/pack.cpp @@ -40,6 +40,26 @@ static bool try_size_device_grid(const t_arch& arch, */ static int count_models(const t_model* user_models); +static std::vector find_noc_router_atoms() { + const auto& atom_ctx = g_vpr_ctx.atom(); + + // NoC router atoms are expected to have a specific blif model + const std::string noc_router_blif_model_name = "noc_router_adapter_block"; + + // stores found NoC router atoms + std::vector noc_router_atoms; + + // iterate over all atoms and find those whose blif model matches + for (auto atom_id : atom_ctx.nlist.blocks()) { + const t_model* model = atom_ctx.nlist.block_model(atom_id); + if (noc_router_blif_model_name == model->name) { + noc_router_atoms.push_back(atom_id); + } + } + + return noc_router_atoms; +} + bool try_pack(t_packer_opts* packer_opts, const t_analysis_opts* analysis_opts, const t_arch* arch, @@ -131,6 +151,11 @@ bool try_pack(t_packer_opts* packer_opts, int pack_iteration = 1; bool floorplan_regions_overfull = false; + auto noc_atoms = find_noc_router_atoms(); + for (auto noc_atom : noc_atoms) { + std::cout << "NoC Atom: " << atom_ctx.nlist.block_name(noc_atom) << std::endl; + } + while (true) { free_clustering_data(*packer_opts, clustering_data); From 71f85c5d980694f4f7003476eea885a0e5ef2cfe Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 16 Feb 2024 13:06:05 -0500 Subject: [PATCH 261/608] add exclusivity index to PartitionRegion --- vpr/src/base/partition_region.cpp | 48 ++++++++++++++++++++++++++----- vpr/src/base/partition_region.h | 9 ++++-- 2 files changed, 48 insertions(+), 9 deletions(-) diff --git a/vpr/src/base/partition_region.cpp b/vpr/src/base/partition_region.cpp index 2676b6d1035..77afc4fa5e7 100644 --- a/vpr/src/base/partition_region.cpp +++ b/vpr/src/base/partition_region.cpp @@ -36,6 +36,22 @@ bool PartitionRegion::is_loc_in_part_reg(const t_pl_loc& loc) const { return is_in_pr; } +int PartitionRegion::get_exclusivity_index() const { + return exclusivity_index; +} + +void PartitionRegion::set_exclusivity_index(int index) { + /* negative exclusivity index means this PartitionRegion is compatible + * with other PartitionsRegions as long as the intersection of their + * regions is not empty. + */ + if (index < 0) { + index = -1; + } + + exclusivity_index = index; +} + PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionRegion& new_pr) { /**for N regions in part_region and M in the calling object you can get anywhere from * 0 to M*N regions in the resulting vector. Only intersection regions with non-zero area rectangles and @@ -43,11 +59,20 @@ PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionR * Rectangles are not merged even if it would be possible */ PartitionRegion pr; + + const int cluster_exclusivity = cluster_pr.get_exclusivity_index(); + const int new_exclusivity = new_pr.get_exclusivity_index(); + + // PartitionRegion are not compatible even if their regions overlap + if (cluster_exclusivity != new_exclusivity) { + return pr; + } + auto& pr_regions = pr.get_mutable_regions(); - Region intersect_region; + for (const auto& cluster_region : cluster_pr.get_regions()) { for (const auto& new_region : new_pr.get_regions()) { - intersect_region = intersection(cluster_region, new_region); + Region intersect_region = intersection(cluster_region, new_region); if (!intersect_region.empty()) { pr_regions.push_back(intersect_region); } @@ -60,14 +85,23 @@ PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionR void update_cluster_part_reg(PartitionRegion& cluster_pr, const PartitionRegion& new_pr) { std::vector int_regions; - for (const auto& cluster_region : cluster_pr.get_regions()) { - for (const auto& new_region : new_pr.get_regions()) { - Region intersect_region = intersection(cluster_region, new_region); - if (!intersect_region.empty()) { - int_regions.push_back(intersect_region); + const int cluster_exclusivity = cluster_pr.get_exclusivity_index(); + const int new_exclusivity = new_pr.get_exclusivity_index(); + + // check whether PartitionRegions are compatible in the first place + if (cluster_exclusivity == new_exclusivity) { + + // now that we know PartitionRegions are compatible, look for overlapping regions + for (const auto& cluster_region : cluster_pr.get_regions()) { + for (const auto& new_region : new_pr.get_regions()) { + Region intersect_region = intersection(cluster_region, new_region); + if (!intersect_region.empty()) { + int_regions.push_back(intersect_region); + } } } } + cluster_pr.set_partition_region(int_regions); } diff --git a/vpr/src/base/partition_region.h b/vpr/src/base/partition_region.h index 2ea9796091b..ec4d24a065f 100644 --- a/vpr/src/base/partition_region.h +++ b/vpr/src/base/partition_region.h @@ -50,15 +50,20 @@ class PartitionRegion { */ bool is_loc_in_part_reg(const t_pl_loc& loc) const; + int get_exclusivity_index() const; + + void set_exclusivity_index(int index); + private: std::vector regions; ///< union of rectangular regions that a partition can be placed in + int exclusivity_index = -1; ///< PartitionRegions with different exclusivity_index values are not compatible }; ///@brief used to print data from a PartitionRegion void print_partition_region(FILE* fp, const PartitionRegion& pr); /** -* @brief Global friend function that returns the intersection of two PartitionRegions +* @brief Global function that returns the intersection of two PartitionRegions * * @param cluster_pr One of the PartitionRegions to be intersected * @param new_pr One of the PartitionRegions to be intersected @@ -66,7 +71,7 @@ void print_partition_region(FILE* fp, const PartitionRegion& pr); PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionRegion& new_pr); /** -* @brief Global friend function that updates the PartitionRegion of a cluster with the intersection +* @brief Global function that updates the PartitionRegion of a cluster with the intersection * of the cluster PartitionRegion and a new PartitionRegion * * @param cluster_pr The cluster PartitionRegion that is to be updated From 2917fca40ccc55423900c2174c5b551671e7d56f Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 16 Feb 2024 14:12:34 -0500 Subject: [PATCH 262/608] add update_noc_reachability_partitions() --- vpr/src/pack/pack.cpp | 105 ++++++++++++++++++++++++++++++++++++++++-- 1 file changed, 102 insertions(+), 3 deletions(-) diff --git a/vpr/src/pack/pack.cpp b/vpr/src/pack/pack.cpp index bd740b7821c..b491fe0d362 100644 --- a/vpr/src/pack/pack.cpp +++ b/vpr/src/pack/pack.cpp @@ -5,6 +5,8 @@ #include #include #include +#include +#include #include "vtr_assert.h" #include "vtr_log.h" @@ -60,6 +62,104 @@ static std::vector find_noc_router_atoms() { return noc_router_atoms; } +static void update_noc_reachability_partitions(const std::vector& noc_atoms) { + const auto& atom_ctx = g_vpr_ctx.atom(); + auto& constraints = g_vpr_ctx.mutable_floorplanning().constraints; + const auto& high_fanout_thresholds = g_vpr_ctx.cl_helper().high_fanout_thresholds; + + const size_t high_fanout_threshold = high_fanout_thresholds.get_threshold(""); + + // get the total number of atoms + const size_t n_atoms = atom_ctx.nlist.blocks().size(); + + vtr::vector atom_visited(n_atoms, false); + + int exclusivity_cnt = 0; + + RegionRectCoord unconstrained_rect{std::numeric_limits::min(), + std::numeric_limits::min(), + std::numeric_limits::max(), + std::numeric_limits::max(), + -1}; + Region unconstrained_region; + unconstrained_region.set_region_rect(unconstrained_rect); + + for (auto noc_atom_id : noc_atoms) { + // check if this NoC router has already been visited + if (atom_visited[noc_atom_id]) { + continue; + } + + exclusivity_cnt++; + + PartitionRegion associated_noc_partition_region; + associated_noc_partition_region.set_exclusivity_index(exclusivity_cnt); + associated_noc_partition_region.add_to_part_region(unconstrained_region); + + Partition associated_noc_partition; + associated_noc_partition.set_name(atom_ctx.nlist.block_name(noc_atom_id)); + associated_noc_partition.set_part_region(associated_noc_partition_region); + auto associated_noc_partition_id = (PartitionId)constraints.get_num_partitions(); + constraints.add_partition(associated_noc_partition); + + const PartitionId noc_partition_id = constraints.get_atom_partition(noc_atom_id); + + if (noc_partition_id == PartitionId::INVALID()) { + constraints.add_constrained_atom(noc_atom_id, associated_noc_partition_id); + } else { // noc atom is already in a partition + auto& noc_partition = constraints.get_mutable_partition(noc_partition_id); + auto& noc_partition_region = noc_partition.get_mutable_part_region(); + VTR_ASSERT(noc_partition_region.get_exclusivity_index() < 0); + noc_partition_region.set_exclusivity_index(exclusivity_cnt); + } + + std::queue q; + q.push(noc_atom_id); + atom_visited[noc_atom_id] = true; + + while (!q.empty()) { + AtomBlockId current_atom = q.front(); + q.pop(); + + PartitionId atom_partition_id = constraints.get_atom_partition(noc_atom_id); + if (atom_partition_id == PartitionId::INVALID()) { + constraints.add_constrained_atom(current_atom, associated_noc_partition_id); + } else { + auto& atom_partition = constraints.get_mutable_partition(atom_partition_id); + auto& atom_partition_region = atom_partition.get_mutable_part_region(); + VTR_ASSERT(atom_partition_region.get_exclusivity_index() < 0); + atom_partition_region.set_exclusivity_index(exclusivity_cnt); + } + + for(auto pin : atom_ctx.nlist.block_pins(current_atom)) { + AtomNetId net_id = atom_ctx.nlist.pin_net(pin); + size_t net_fanout = atom_ctx.nlist.net_sinks(net_id).size(); + + if (net_fanout >= high_fanout_threshold) { + continue; + } + + AtomBlockId driver_atom_id = atom_ctx.nlist.net_driver_block(net_id); + if (!atom_visited[driver_atom_id]) { + q.push(driver_atom_id); + atom_visited[driver_atom_id] = true; + } + + for (auto sink_pin : atom_ctx.nlist.net_sinks(net_id)) { + AtomBlockId sink_atom_id = atom_ctx.nlist.pin_block(sink_pin); + if (!atom_visited[sink_atom_id]) { + q.push(sink_atom_id); + atom_visited[sink_atom_id] = true; + } + } + + } + } + + } +} + + bool try_pack(t_packer_opts* packer_opts, const t_analysis_opts* analysis_opts, const t_arch* arch, @@ -151,10 +251,9 @@ bool try_pack(t_packer_opts* packer_opts, int pack_iteration = 1; bool floorplan_regions_overfull = false; + // find all NoC router atoms auto noc_atoms = find_noc_router_atoms(); - for (auto noc_atom : noc_atoms) { - std::cout << "NoC Atom: " << atom_ctx.nlist.block_name(noc_atom) << std::endl; - } + update_noc_reachability_partitions(noc_atoms); while (true) { free_clustering_data(*packer_opts, clustering_data); From ca55771a81b54616af3949e8d2c2c30757d34a5e Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 16 Feb 2024 14:24:20 -0500 Subject: [PATCH 263/608] changed unconstrained region coordinates --- vpr/src/pack/pack.cpp | 14 ++++++++------ 1 file changed, 8 insertions(+), 6 deletions(-) diff --git a/vpr/src/pack/pack.cpp b/vpr/src/pack/pack.cpp index b491fe0d362..67ab28d8bbc 100644 --- a/vpr/src/pack/pack.cpp +++ b/vpr/src/pack/pack.cpp @@ -66,6 +66,7 @@ static void update_noc_reachability_partitions(const std::vector& n const auto& atom_ctx = g_vpr_ctx.atom(); auto& constraints = g_vpr_ctx.mutable_floorplanning().constraints; const auto& high_fanout_thresholds = g_vpr_ctx.cl_helper().high_fanout_thresholds; + const auto& device_ctx = g_vpr_ctx.device(); const size_t high_fanout_threshold = high_fanout_thresholds.get_threshold(""); @@ -76,10 +77,10 @@ static void update_noc_reachability_partitions(const std::vector& n int exclusivity_cnt = 0; - RegionRectCoord unconstrained_rect{std::numeric_limits::min(), - std::numeric_limits::min(), - std::numeric_limits::max(), - std::numeric_limits::max(), + RegionRectCoord unconstrained_rect{0, + 0, + (int)device_ctx.grid.width() - 1, + (int)device_ctx.grid.height() - 1, -1}; Region unconstrained_region; unconstrained_region.set_region_rect(unconstrained_rect); @@ -121,13 +122,14 @@ static void update_noc_reachability_partitions(const std::vector& n AtomBlockId current_atom = q.front(); q.pop(); - PartitionId atom_partition_id = constraints.get_atom_partition(noc_atom_id); + PartitionId atom_partition_id = constraints.get_atom_partition(current_atom); if (atom_partition_id == PartitionId::INVALID()) { constraints.add_constrained_atom(current_atom, associated_noc_partition_id); } else { auto& atom_partition = constraints.get_mutable_partition(atom_partition_id); auto& atom_partition_region = atom_partition.get_mutable_part_region(); - VTR_ASSERT(atom_partition_region.get_exclusivity_index() < 0); +// std::cout << "ss" << atom_partition_region.get_exclusivity_index() << std::endl; + VTR_ASSERT(atom_partition_region.get_exclusivity_index() < 0 || current_atom == noc_atom_id); atom_partition_region.set_exclusivity_index(exclusivity_cnt); } From d5a98a4d25cca831df7805b0700cfa72efb718d0 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 16 Feb 2024 15:56:08 -0500 Subject: [PATCH 264/608] bugfix: check if there is any unfixed Noc routers If all routers are constrained, place_noc_routers_randomly crashes. --- vpr/src/place/initial_noc_placement.cpp | 5 +++++ vpr/src/place/initial_placement.cpp | 14 +++++++++++--- 2 files changed, 16 insertions(+), 3 deletions(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 9294f3b291b..a8c619f8dbd 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -103,6 +103,11 @@ static void place_noc_routers_randomly(std::vector& unfixed_rout * only once. */ + // check if all NoC routers have already been placed + if (unfixed_routers.empty()) { + return; + } + // Make a copy of NoC physical routers because we want to change its order vtr::vector noc_phy_routers = noc_ctx.noc_model.get_noc_routers(); diff --git a/vpr/src/place/initial_placement.cpp b/vpr/src/place/initial_placement.cpp index b9b97b1998f..30e3cc190ae 100644 --- a/vpr/src/place/initial_placement.cpp +++ b/vpr/src/place/initial_placement.cpp @@ -67,7 +67,11 @@ static void clear_all_grid_locs(); * * @return true if macro was placed, false if not. */ -static bool place_macro(int macros_max_num_tries, const t_pl_macro& pl_macro, enum e_pad_loc_type pad_loc_type, std::vector* blk_types_empty_locs_in_grid, vtr::vector& block_scores); +static bool place_macro(int macros_max_num_tries, + const t_pl_macro& pl_macro, + enum e_pad_loc_type pad_loc_type, + std::vector* blk_types_empty_locs_in_grid, + vtr::vector& block_scores); /* * Assign scores to each block based on macro size and floorplanning constraints. @@ -107,7 +111,10 @@ static int get_blk_type_first_loc(t_pl_loc& loc, const t_pl_macro& pl_macro, std * @param blk_types_empty_locs_in_grid first location (lowest y) and number of remaining blocks in each column for the blk_id type * */ -static void update_blk_type_first_loc(int blk_type_column_index, t_logical_block_type_ptr block_type, const t_pl_macro& pl_macro, std::vector* blk_types_empty_locs_in_grid); +static void update_blk_type_first_loc(int blk_type_column_index, + t_logical_block_type_ptr block_type, + const t_pl_macro& pl_macro, + std::vector* blk_types_empty_locs_in_grid); /** * @brief Initializes empty locations of the grid with a specific block type into vector for dense initial placement @@ -212,7 +219,8 @@ static void check_initial_placement_legality() { for (auto blk_id : cluster_ctx.clb_nlist.blocks()) { if (place_ctx.block_locs[blk_id].loc.x == INVALID_X) { - VTR_LOG("Block %s (# %d) of type %s could not be placed during initial placement iteration %d\n", cluster_ctx.clb_nlist.block_name(blk_id).c_str(), blk_id, cluster_ctx.clb_nlist.block_type(blk_id)->name, MAX_INIT_PLACE_ATTEMPTS - 1); + VTR_LOG("Block %s (# %d) of type %s could not be placed during initial placement iteration %d\n", + cluster_ctx.clb_nlist.block_name(blk_id).c_str(), blk_id, cluster_ctx.clb_nlist.block_type(blk_id)->name, MAX_INIT_PLACE_ATTEMPTS - 1); unplaced_blocks++; } } From 5eb7a07b2a4f39cff390d49019ada68309d67636 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 16 Feb 2024 16:44:41 -0500 Subject: [PATCH 265/608] clean modified cluster_constraints elements --- vpr/src/pack/pack.cpp | 24 +++++++++++++++++++----- vpr/src/place/place_constraints.cpp | 5 ++--- 2 files changed, 21 insertions(+), 8 deletions(-) diff --git a/vpr/src/pack/pack.cpp b/vpr/src/pack/pack.cpp index 67ab28d8bbc..013edc51b77 100644 --- a/vpr/src/pack/pack.cpp +++ b/vpr/src/pack/pack.cpp @@ -66,7 +66,6 @@ static void update_noc_reachability_partitions(const std::vector& n const auto& atom_ctx = g_vpr_ctx.atom(); auto& constraints = g_vpr_ctx.mutable_floorplanning().constraints; const auto& high_fanout_thresholds = g_vpr_ctx.cl_helper().high_fanout_thresholds; - const auto& device_ctx = g_vpr_ctx.device(); const size_t high_fanout_threshold = high_fanout_thresholds.get_threshold(""); @@ -79,9 +78,9 @@ static void update_noc_reachability_partitions(const std::vector& n RegionRectCoord unconstrained_rect{0, 0, - (int)device_ctx.grid.width() - 1, - (int)device_ctx.grid.height() - 1, - -1}; + std::numeric_limits::max(), + std::numeric_limits::max(), + 0}; Region unconstrained_region; unconstrained_region.set_region_rect(unconstrained_rect); @@ -128,7 +127,6 @@ static void update_noc_reachability_partitions(const std::vector& n } else { auto& atom_partition = constraints.get_mutable_partition(atom_partition_id); auto& atom_partition_region = atom_partition.get_mutable_part_region(); -// std::cout << "ss" << atom_partition_region.get_exclusivity_index() << std::endl; VTR_ASSERT(atom_partition_region.get_exclusivity_index() < 0 || current_atom == noc_atom_id); atom_partition_region.set_exclusivity_index(exclusivity_cnt); } @@ -253,6 +251,7 @@ bool try_pack(t_packer_opts* packer_opts, int pack_iteration = 1; bool floorplan_regions_overfull = false; + auto constraints_backup = g_vpr_ctx.floorplanning().constraints; // find all NoC router atoms auto noc_atoms = find_noc_router_atoms(); update_noc_reachability_partitions(noc_atoms); @@ -398,6 +397,21 @@ bool try_pack(t_packer_opts* packer_opts, //check clustering and output it check_and_output_clustering(*packer_opts, is_clock, arch, helper_ctx.total_clb_num, clustering_data.intra_lb_routing); + + g_vpr_ctx.mutable_floorplanning().constraints = constraints_backup; + const int max_y = (int)g_vpr_ctx.device().grid.height(); + const int max_x = (int)g_vpr_ctx.device().grid.width(); + for (auto& cluster_partition_region : g_vpr_ctx.mutable_floorplanning().cluster_constraints) { + const auto& regions = cluster_partition_region.get_regions(); + if (regions.size() == 1) { + const auto rect = regions[0].get_region_rect(); + + if (rect.xmin <= 0 && rect.ymin <= 0 && rect.xmax >= max_x && rect.ymax >= max_y) { + cluster_partition_region = PartitionRegion(); + } + } + } + // Free Data Structures free_clustering_data(*packer_opts, clustering_data); diff --git a/vpr/src/place/place_constraints.cpp b/vpr/src/place/place_constraints.cpp index e1b153d9d71..6a425401718 100644 --- a/vpr/src/place/place_constraints.cpp +++ b/vpr/src/place/place_constraints.cpp @@ -33,8 +33,7 @@ int check_placement_floorplanning() { /*returns true if cluster has floorplanning constraints, false if it doesn't*/ bool is_cluster_constrained(ClusterBlockId blk_id) { auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); - PartitionRegion pr; - pr = floorplanning_ctx.cluster_constraints[blk_id]; + const PartitionRegion& pr = floorplanning_ctx.cluster_constraints[blk_id]; return (!pr.empty()); } @@ -250,7 +249,7 @@ void load_cluster_constraints() { PartitionRegion empty_pr; floorplanning_ctx.cluster_constraints[cluster_id] = empty_pr; - //if there are any constrainted atoms in the cluster, + //if there are any constrained atoms in the cluster, //we update the cluster's PartitionRegion for (auto atom : *atoms) { PartitionId partid = floorplanning_ctx.constraints.get_atom_partition(atom); From 1667eebde9106b637b324ba99807a7d89bcf3682 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 19 Feb 2024 15:00:54 -0500 Subject: [PATCH 266/608] architecture: fix 3d delay of siv full opin --- .../3d_full_OPIN_inter_die_stratixiv_arch.timing.xml | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/vtr_flow/arch/multi_die/stratixiv_3d/3d_full_OPIN_inter_die_stratixiv_arch.timing.xml b/vtr_flow/arch/multi_die/stratixiv_3d/3d_full_OPIN_inter_die_stratixiv_arch.timing.xml index fdf81e678b4..7043961214a 100644 --- a/vtr_flow/arch/multi_die/stratixiv_3d/3d_full_OPIN_inter_die_stratixiv_arch.timing.xml +++ b/vtr_flow/arch/multi_die/stratixiv_3d/3d_full_OPIN_inter_die_stratixiv_arch.timing.xml @@ -5115,9 +5115,11 @@ while keeping the switch delay a reasonable (see comment in ) portion of the overall delay. --> + + @@ -5215,14 +5217,14 @@ --> - + 1 1 1 1 1 1 1 1 1 - + - + - + From 9262224a5615050301bf8de13ef157ae33a1474c Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 19 Feb 2024 15:07:09 -0500 Subject: [PATCH 268/608] architecture: update the readme for 3d arch --- vtr_flow/arch/multi_die/README.md | 1 + 1 file changed, 1 insertion(+) diff --git a/vtr_flow/arch/multi_die/README.md b/vtr_flow/arch/multi_die/README.md index 23aa7bcff79..d8e511368eb 100644 --- a/vtr_flow/arch/multi_die/README.md +++ b/vtr_flow/arch/multi_die/README.md @@ -28,6 +28,7 @@ This directory contains architecture files for 3D FPGAs. The architectures are d - The architecture has two dice. - Both dice are SIV-like FPGA fabric. - All pins can cross die. + - This is a completely hypothetical architecture, as the area required to place drivers on every channel segment to drive an IPIN on the other die would be too large. For the purpose of this scenario, we assume an inter-die connection delay of 0. - `3d_full_OPIN_inter_die_stratixiv_arch.timing.xml` - The architecture has two dice. - Both dice are SIV-like FPGA fabric. From ad0c69a80136ecbc55f4be145725eeedbe6ec619 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 19 Feb 2024 19:23:42 -0500 Subject: [PATCH 269/608] vpr: place: add layer_coord to placer context --- vpr/src/place/placer_context.h | 1 + 1 file changed, 1 insertion(+) diff --git a/vpr/src/place/placer_context.h b/vpr/src/place/placer_context.h index f5e56bbf37f..6cdd7684c6e 100644 --- a/vpr/src/place/placer_context.h +++ b/vpr/src/place/placer_context.h @@ -113,6 +113,7 @@ struct PlacerMoveContext : public Context { // These vectors will grow up with the net size as it is mostly used to save coords of the net pins or net bb edges std::vector X_coord; std::vector Y_coord; + std::vector layer_coord; // Container to save the highly critical pins (higher than a timing criticality limit setted by commandline option) std::vector> highly_crit_pins; From 125f0b1787684db19ec38277f3fd9dd2c90aaf70 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 19 Feb 2024 19:24:12 -0500 Subject: [PATCH 270/608] vpr: place: initialize layer_coord --- vpr/src/place/place.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 2e30d2f3c43..a58bb29d1ee 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -933,6 +933,7 @@ void try_place(const Netlist<>& net_list, //allocate helper vectors that are used by many move generators place_move_ctx.X_coord.resize(10, 0); place_move_ctx.Y_coord.resize(10, 0); + place_move_ctx.layer_coord.resize(10, 0); //allocate move type statistics vectors MoveTypeStat move_type_stat; From a40af3ffbc504c3e1eb0276c6ccf891b4edd2cc9 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 19 Feb 2024 19:29:31 -0500 Subject: [PATCH 271/608] vpr: place: update median move generator to get median of layers --- vpr/src/place/median_move_generator.cpp | 52 ++++++++++++++----------- 1 file changed, 29 insertions(+), 23 deletions(-) diff --git a/vpr/src/place/median_move_generator.cpp b/vpr/src/place/median_move_generator.cpp index 324d0cd3e44..a107c85cd77 100644 --- a/vpr/src/place/median_move_generator.cpp +++ b/vpr/src/place/median_move_generator.cpp @@ -49,6 +49,7 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ //reused to save allocation time place_move_ctx.X_coord.clear(); place_move_ctx.Y_coord.clear(); + place_move_ctx.layer_coord.clear(); std::vector layer_blk_cnt(num_layers, 0); //true if the net is a feedback from the block to itself @@ -112,27 +113,19 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ place_move_ctx.X_coord.push_back(coords.xmax); place_move_ctx.Y_coord.push_back(coords.ymin); place_move_ctx.Y_coord.push_back(coords.ymax); - if (is_multi_layer) { - for (int layer_num = 0; layer_num < num_layers; layer_num++) { - layer_blk_cnt[layer_num] += place_move_ctx.num_sink_pin_layer[size_t(net_id)][layer_num]; - } - // If the pin under consideration is of type sink, it shouldn't be added to layer_blk_cnt since the block - // is moving - if (cluster_ctx.clb_nlist.pin_type(pin_id) == PinType::SINK) { - VTR_ASSERT_SAFE(layer_blk_cnt[from_layer] > 0); - layer_blk_cnt[from_layer]--; - } - } + place_move_ctx.layer_coord.push_back(coords.layer_min); + place_move_ctx.layer_coord.push_back(coords.layer_max); } - if ((place_move_ctx.X_coord.empty()) || (place_move_ctx.Y_coord.empty())) { - VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\tMove aborted - X_coord and y_coord are empty\n"); + if ((place_move_ctx.X_coord.empty()) || (place_move_ctx.Y_coord.empty()) || (place_move_ctx.layer_coord.empty())) { + VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\tMove aborted - X_coord or y_coord or layer_coord are empty\n"); return e_create_move::ABORT; } //calculate the median region std::sort(place_move_ctx.X_coord.begin(), place_move_ctx.X_coord.end()); std::sort(place_move_ctx.Y_coord.begin(), place_move_ctx.Y_coord.end()); + std::sort(place_move_ctx.layer_coord.begin(), place_move_ctx.layer_coord.end()); limit_coords.xmin = place_move_ctx.X_coord[floor((place_move_ctx.X_coord.size() - 1) / 2)]; limit_coords.xmax = place_move_ctx.X_coord[floor((place_move_ctx.X_coord.size() - 1) / 2) + 1]; @@ -140,6 +133,9 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ limit_coords.ymin = place_move_ctx.Y_coord[floor((place_move_ctx.Y_coord.size() - 1) / 2)]; limit_coords.ymax = place_move_ctx.Y_coord[floor((place_move_ctx.Y_coord.size() - 1) / 2) + 1]; + limit_coords.layer_min = place_move_ctx.layer_coord[floor((place_move_ctx.layer_coord.size() - 1) / 2)]; + limit_coords.layer_max = place_move_ctx.layer_coord[floor((place_move_ctx.layer_coord.size() - 1) / 2) + 1]; + //arrange the different range limiters t_range_limiters range_limiters{rlim, place_move_ctx.first_rlim, @@ -149,17 +145,8 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ t_pl_loc median_point; median_point.x = (limit_coords.xmin + limit_coords.xmax) / 2; median_point.y = (limit_coords.ymin + limit_coords.ymax) / 2; + median_point.layer = (limit_coords.layer_min + limit_coords.layer_max) / 2; - // Before calling find_to_loc_centroid a valid layer should be assigned to "to" location. If there are multiple layers, the layer - // with highest number of sinks will be used. Otherwise, the same layer as "from" loc is assigned. - if (is_multi_layer) { - int layer_num = std::distance(layer_blk_cnt.begin(), std::max_element(layer_blk_cnt.begin(), layer_blk_cnt.end())); - median_point.layer = layer_num; - to.layer = layer_num; - } else { - median_point.layer = from.layer; - to.layer = from.layer; - } if (!find_to_loc_centroid(cluster_from_type, from, median_point, range_limiters, to, b_from)) { return e_create_move::ABORT; } @@ -194,6 +181,9 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co int ymin = OPEN; int ymax = OPEN; + int layer_min = OPEN; + int layer_max = OPEN; + int pnum; auto& cluster_ctx = g_vpr_ctx.clustering(); @@ -208,11 +198,14 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co pnum = net_pin_to_tile_pin_index(net_id, 0); int src_x = place_ctx.block_locs[bnum].loc.x + physical_tile_type(bnum)->pin_width_offset[pnum]; int src_y = place_ctx.block_locs[bnum].loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; + int src_layer = place_ctx.block_locs[bnum].loc.layer; xmin = src_x; ymin = src_y; xmax = src_x; ymax = src_y; + layer_min = src_layer; + layer_max = src_layer; first_block = true; } @@ -225,12 +218,15 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co const auto& block_loc = place_ctx.block_locs[bnum].loc; int x = block_loc.x + physical_tile_type(bnum)->pin_width_offset[pnum]; int y = block_loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; + int layer = block_loc.layer; if (!first_block) { xmin = x; ymin = y; xmax = x; ymax = y; + layer_max = layer; + layer_min = layer; first_block = true; continue; } @@ -245,6 +241,12 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co } else if (y > ymax) { ymax = y; } + + if (layer < layer_min) { + layer_min = layer; + } else if (layer > layer_max) { + layer_max = layer; + } } /* Now I've found the coordinates of the bounding box. There are no * @@ -258,6 +260,10 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co bb_coord_new.ymin = std::max(std::min(ymin, device_ctx.grid.height() - 2), 1); //-2 for no perim channels bb_coord_new.xmax = std::max(std::min(xmax, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymax = std::max(std::min(ymax, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + VTR_ASSERT(layer_min >= 0); + bb_coord_new.layer_min = layer_min; + VTR_ASSERT(layer_max < device_ctx.grid.get_num_layers()); + bb_coord_new.layer_max = layer_max; } /* From bdc1d81707201b96e657bc7db1e774a1fc88b452 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 20 Feb 2024 09:34:22 -0500 Subject: [PATCH 272/608] vpr: place: update increamental update of median move to include the layer --- vpr/src/place/median_move_generator.cpp | 84 +++++++++++++++++++++++-- 1 file changed, 80 insertions(+), 4 deletions(-) diff --git a/vpr/src/place/median_move_generator.cpp b/vpr/src/place/median_move_generator.cpp index a107c85cd77..5f7f8a5976e 100644 --- a/vpr/src/place/median_move_generator.cpp +++ b/vpr/src/place/median_move_generator.cpp @@ -5,7 +5,14 @@ #include "placer_globals.h" #include "move_utils.h" -static bool get_bb_incrementally(ClusterNetId net_id, t_bb& bb_coord_new, int xold, int yold, int xnew, int ynew); +static bool get_bb_incrementally(ClusterNetId net_id, + t_bb& bb_coord_new, + int xold, + int yold, + int layer_old, + int xnew, + int ynew, + int layer_old); static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_coord_new, ClusterBlockId block_id, bool& skip_net); @@ -43,7 +50,7 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ t_bb coords(OPEN, OPEN, OPEN, OPEN, OPEN, OPEN); t_bb limit_coords; ClusterBlockId bnum; - int pnum, xnew, xold, ynew, yold; + int pnum, xnew, xold, ynew, yold, layer_new, layer_old; //clear the vectors that saves X & Y coords //reused to save allocation time @@ -85,8 +92,11 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ VTR_ASSERT(pnum >= 0); xold = place_ctx.block_locs[bnum].loc.x + physical_tile_type(bnum)->pin_width_offset[pnum]; yold = place_ctx.block_locs[bnum].loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; + layer_old = place_ctx.block_locs[bnum].loc.layer; xold = std::max(std::min(xold, (int)device_ctx.grid.width() - 2), 1); //-2 for no perim channels yold = std::max(std::min(yold, (int)device_ctx.grid.height() - 2), 1); //-2 for no perim channels + VTR_ASSERT(layer_old >= 0); + VTR_ASSERT(layer_old < device_ctx.grid.get_num_layers()); //To calulate the bb incrementally while excluding the moving block //assume that the moving block is moved to a non-critical coord of the bb @@ -102,7 +112,20 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ ynew = net_bb_coords.ymin; } - if (!get_bb_incrementally(net_id, coords, xold, yold, xnew, ynew)) { + if (net_bb_coords.layer_min == layer_old) { + layer_new = net_bb_coords.layer_max; + } else { + layer_new = net_bb_coords.layer_min; + } + + if (!get_bb_incrementally(net_id, + coords, + xold, + yold, + layer_old + xnew, + ynew, + layer_new)) { get_bb_from_scratch_excluding_block(net_id, coords, b_from, skip_net); if (skip_net) continue; @@ -279,7 +302,14 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co * the pins always lie on the outside of the bounding box. * * The x and y coordinates are the pin's x and y coordinates. */ /* IO blocks are considered to be one cell in for simplicity. */ -static bool get_bb_incrementally(ClusterNetId net_id, t_bb& bb_coord_new, int xold, int yold, int xnew, int ynew) { +static bool get_bb_incrementally(ClusterNetId net_id, + t_bb& bb_coord_new, + int xold, + int yold, + int layer_old, + int xnew, + int ynew, + int layer_new) { //TODO: account for multiple physical pin instances per logical pin auto& device_ctx = g_vpr_ctx.device(); @@ -287,8 +317,12 @@ static bool get_bb_incrementally(ClusterNetId net_id, t_bb& bb_coord_new, int xo xnew = std::max(std::min(xnew, device_ctx.grid.width() - 2), 1); //-2 for no perim channels ynew = std::max(std::min(ynew, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + VTR_ASSERT(layer_new > 0); + VTR_ASSERT(layer_new < device_ctx.grid.get_num_layers()); xold = std::max(std::min(xold, device_ctx.grid.width() - 2), 1); //-2 for no perim channels yold = std::max(std::min(yold, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + VTR_ASSERT(layer_old > 0); + VTR_ASSERT(layer_old < device_ctx.grid.get_num_layers()); t_bb union_bb_edge; t_bb union_bb; @@ -416,5 +450,47 @@ static bool get_bb_incrementally(ClusterNetId net_id, t_bb& bb_coord_new, int xo bb_coord_new.ymin = curr_bb_coord.ymin; bb_coord_new.ymax = curr_bb_coord.ymax; } + + if (layer_new < layer_old) { + if (layer_old == curr_bb_coord.layer_max) { + if (curr_bb_edge.layer_max == 1) { + return false; + } else { + bb_coord_new.layer_max = curr_bb_coord.layer_max; + } + } else { + bb_coord_new.layer_max = curr_bb_coord.layer_max; + } + + if (layer_new < curr_bb_coord.layer_min) { + bb_coord_new.layer_min = layer_new; + } else if (layer_new == curr_bb_coord.layer_min) { + bb_coord_new.layer_min = layer_new; + } else { + bb_coord_new.layer_min = curr_bb_coord.layer_min; + } + + } else if (layer_new > layer_old) { + if (layer_old == curr_bb_coord.layer_min) { + if (curr_bb_edge.layer_min == 1) { + return false; + } else { + bb_coord_new.layer_min = curr_bb_coord.layer_min; + } + } else { + bb_coord_new.layer_min = curr_bb_coord.layer_min; + } + + if (layer_new > curr_bb_coord.layer_max) { + bb_coord_new.layer_max = layer_new; + } else if (layer_new == curr_bb_coord.layer_max) { + bb_coord_new.layer_max = layer_new; + } else { + bb_coord_new.layer_max = curr_bb_coord.layer_max; + } + } else { + bb_coord_new.layer_min = curr_bb_coord.layer_min; + bb_coord_new.layer_max = curr_bb_coord.layer_max; + } return true; } From bebec3c4d991fee8ce95c0b110d3cc830726739b Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 20 Feb 2024 10:03:29 -0500 Subject: [PATCH 273/608] vpr: place: update weighted median move generator bb calculator to consider layers --- vpr/src/place/move_utils.h | 2 ++ .../place/weighted_median_move_generator.cpp | 30 +++++++++++++++++-- 2 files changed, 30 insertions(+), 2 deletions(-) diff --git a/vpr/src/place/move_utils.h b/vpr/src/place/move_utils.h index 3ff8e729833..38488da37a2 100644 --- a/vpr/src/place/move_utils.h +++ b/vpr/src/place/move_utils.h @@ -69,6 +69,8 @@ struct t_bb_cost { t_edge_cost xmax = {0, 0.0}; t_edge_cost ymin = {0, 0.0}; t_edge_cost ymax = {0, 0.0}; + t_edge_cost layer_min = {0, 0.}; + t_edge_cost layer_max = {0, 0.}; }; /** diff --git a/vpr/src/place/weighted_median_move_generator.cpp b/vpr/src/place/weighted_median_move_generator.cpp index 2d343cd3347..4549bfa9dca 100644 --- a/vpr/src/place/weighted_median_move_generator.cpp +++ b/vpr/src/place/weighted_median_move_generator.cpp @@ -162,8 +162,8 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& * - criticalities: the timing criticalities of all connections */ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBlockId, ClusterPinId moving_pin_id, const PlacerCriticalities* criticalities, t_bb_cost* coords, bool& skip_net) { - int pnum, x, y, xmin, xmax, ymin, ymax; - float xmin_cost, xmax_cost, ymin_cost, ymax_cost, cost; + int pnum, x, y, layer, xmin, xmax, ymin, ymax, layer_min, layer_max; + float xmin_cost, xmax_cost, ymin_cost, ymax_cost, layer_min_cost, layer_max_cost, cost; skip_net = true; @@ -171,11 +171,16 @@ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBloc xmax = 0; ymin = 0; ymax = 0; + layer_min = 0; + layer_max = 0; + cost = 0.0; xmin_cost = 0.0; xmax_cost = 0.0; ymin_cost = 0.0; ymax_cost = 0.0; + layer_min_cost = 0.; + layer_max_cost = 0.; auto& cluster_ctx = g_vpr_ctx.clustering(); auto& place_ctx = g_vpr_ctx.placement(); @@ -187,6 +192,7 @@ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBloc int ipin; for (auto pin_id : cluster_ctx.clb_nlist.net_pins(net_id)) { bnum = cluster_ctx.clb_nlist.pin_block(pin_id); + layer = place_ctx.block_locs[bnum].loc.layer; if (pin_id != moving_pin_id) { skip_net = false; @@ -220,6 +226,10 @@ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBloc xmax_cost = cost; ymax = y; ymax_cost = cost; + layer_min = layer; + layer_min_cost = cost; + layer_max = layer; + layer_max_cost = cost; is_first_block = false; } else { if (x < xmin) { @@ -237,6 +247,20 @@ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBloc ymax = y; ymax_cost = cost; } + + if (layer < layer_min) { + layer_min = layer; + layer_min_cost = cost; + } else if (layer > layer_max) { + layer_max = layer; + layer_max_cost = cost; + } else if (layer == layer_min) { + if (cost > layer_min_cost) + layer_min_cost = cost; + } else if (layer == layer_max) { + if (cost > layer_max_cost) + layer_max_cost = cost; + } } } } @@ -246,4 +270,6 @@ static void get_bb_cost_for_net_excluding_block(ClusterNetId net_id, ClusterBloc coords->xmax = {xmax, xmax_cost}; coords->ymin = {ymin, ymin_cost}; coords->ymax = {ymax, ymax_cost}; + coords->layer_min = {layer_min, layer_min_cost}; + coords->layer_max = {layer_max, layer_max_cost}; } From 27a3705d840083f86cea2ad295633f27ada6cf13 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 20 Feb 2024 10:14:20 -0500 Subject: [PATCH 274/608] vpr: place: update weigted median to get the layer of bbs --- .../place/weighted_median_move_generator.cpp | 38 ++++++++----------- 1 file changed, 15 insertions(+), 23 deletions(-) diff --git a/vpr/src/place/weighted_median_move_generator.cpp b/vpr/src/place/weighted_median_move_generator.cpp index 4549bfa9dca..e886238064c 100644 --- a/vpr/src/place/weighted_median_move_generator.cpp +++ b/vpr/src/place/weighted_median_move_generator.cpp @@ -45,6 +45,7 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& //reused to save allocation time place_move_ctx.X_coord.clear(); place_move_ctx.Y_coord.clear(); + place_move_ctx.layer_coord.clear(); std::vector layer_blk_cnt(num_layers, 0); //true if the net is a feedback from the block to itself (all the net terminals are connected to the same block) @@ -76,27 +77,19 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& place_move_ctx.X_coord.insert(place_move_ctx.X_coord.end(), ceil(coords.xmax.criticality * CRIT_MULT_FOR_W_MEDIAN), coords.xmax.edge); place_move_ctx.Y_coord.insert(place_move_ctx.Y_coord.end(), ceil(coords.ymin.criticality * CRIT_MULT_FOR_W_MEDIAN), coords.ymin.edge); place_move_ctx.Y_coord.insert(place_move_ctx.Y_coord.end(), ceil(coords.ymax.criticality * CRIT_MULT_FOR_W_MEDIAN), coords.ymax.edge); - // If multile layers are available, I need to keep track of how many sinks are in each layer. - if (is_multi_layer) { - for (int layer_num = 0; layer_num < num_layers; layer_num++) { - layer_blk_cnt[layer_num] += place_move_ctx.num_sink_pin_layer[size_t(net_id)][layer_num]; - } - // If the pin under consideration if of type sink, it is counted in place_move_ctx.num_sink_pin_layer, and we don't want to consider the moving pins - if (cluster_ctx.clb_nlist.pin_type(pin_id) != PinType::DRIVER) { - VTR_ASSERT(layer_blk_cnt[from.layer] > 0); - layer_blk_cnt[from.layer]--; - } - } + place_move_ctx.layer_coord.insert(place_move_ctx.layer_coord.end(), ceil(coords.layer_min.criticality * CRIT_MULT_FOR_W_MEDIAN), coords.layer_min.edge); + place_move_ctx.layer_coord.insert(place_move_ctx.layer_coord.end(), ceil(coords.layer_max.criticality * CRIT_MULT_FOR_W_MEDIAN), coords.layer_max.edge); } - if ((place_move_ctx.X_coord.empty()) || (place_move_ctx.Y_coord.empty())) { - VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\tMove aborted - X_coord and y_coord are empty\n"); + if ((place_move_ctx.X_coord.empty()) || (place_move_ctx.Y_coord.empty()) || (place_move_ctx.layer_coord.empty())) { + VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\tMove aborted - X_coord or y_coord or layer_coord are empty\n"); return e_create_move::ABORT; } //calculate the weighted median region std::sort(place_move_ctx.X_coord.begin(), place_move_ctx.X_coord.end()); std::sort(place_move_ctx.Y_coord.begin(), place_move_ctx.Y_coord.end()); + std::sort(place_move_ctx.layer_coord.begin(), place_move_ctx.layer_coord.end()); if (place_move_ctx.X_coord.size() == 1) { limit_coords.xmin = place_move_ctx.X_coord[0]; @@ -114,6 +107,14 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& limit_coords.ymax = place_move_ctx.Y_coord[floor((place_move_ctx.Y_coord.size() - 1) / 2) + 1]; } + if (place_move_ctx.layer_coord.size() == 1) { + limit_coords.layer_min = place_move_ctx.layer_coord[0]; + limit_coords.layer_max = limit_coords.layer_min; + } else { + limit_coords.layer_min = place_move_ctx.layer_coord[floor((place_move_ctx.layer_coord.size() - 1) / 2)]; + limit_coords.layer_max = place_move_ctx.layer_coord[floor((place_move_ctx.layer_coord.size() - 1) / 2) + 1]; + } + t_range_limiters range_limiters{rlim, place_move_ctx.first_rlim, placer_opts.place_dm_rlim}; @@ -121,17 +122,8 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& t_pl_loc w_median_point; w_median_point.x = (limit_coords.xmin + limit_coords.xmax) / 2; w_median_point.y = (limit_coords.ymin + limit_coords.ymax) / 2; + w_median_point.layer = ((limit_coords.layer_min + limit_coords.layer_max) / 2); - // If multiple layers are available, we would choose the median layer, otherwise the same layer (layer #0) as the from_loc would be chosen - //#TODO: Since we are now only considering 2 layers, the layer with maximum number of sinks should be chosen. we need to update it to get the true median - if (is_multi_layer) { - int layer_num = std::distance(layer_blk_cnt.begin(), std::max_element(layer_blk_cnt.begin(), layer_blk_cnt.end())); - w_median_point.layer = layer_num; - to.layer = layer_num; - } else { - w_median_point.layer = from.layer; - to.layer = from.layer; - } if (!find_to_loc_centroid(cluster_from_type, from, w_median_point, range_limiters, to, b_from)) { return e_create_move::ABORT; } From 0e73635de1d85719e7eeda814772cb59a3e0c873 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 20 Feb 2024 10:31:38 -0500 Subject: [PATCH 275/608] vpr: place: remove unused variable --- vpr/src/place/median_move_generator.cpp | 6 +++--- vpr/src/place/weighted_median_move_generator.cpp | 2 +- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/vpr/src/place/median_move_generator.cpp b/vpr/src/place/median_move_generator.cpp index 5f7f8a5976e..2cf77a5c9ed 100644 --- a/vpr/src/place/median_move_generator.cpp +++ b/vpr/src/place/median_move_generator.cpp @@ -12,7 +12,7 @@ static bool get_bb_incrementally(ClusterNetId net_id, int layer_old, int xnew, int ynew, - int layer_old); + int layer_new); static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_coord_new, ClusterBlockId block_id, bool& skip_net); @@ -36,7 +36,7 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ auto& place_move_ctx = g_placer_ctx.mutable_move(); const int num_layers = device_ctx.grid.get_num_layers(); - bool is_multi_layer = (num_layers > 1); + t_pl_loc from = place_ctx.block_locs[b_from].loc; int from_layer = from.layer; @@ -122,7 +122,7 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ coords, xold, yold, - layer_old + layer_old, xnew, ynew, layer_new)) { diff --git a/vpr/src/place/weighted_median_move_generator.cpp b/vpr/src/place/weighted_median_move_generator.cpp index e886238064c..058671c5121 100644 --- a/vpr/src/place/weighted_median_move_generator.cpp +++ b/vpr/src/place/weighted_median_move_generator.cpp @@ -28,7 +28,7 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& auto& place_move_ctx = g_placer_ctx.mutable_move(); int num_layers = g_vpr_ctx.device().grid.get_num_layers(); - bool is_multi_layer = (num_layers > 1); + t_pl_loc from = place_ctx.block_locs[b_from].loc; auto cluster_from_type = cluster_ctx.clb_nlist.block_type(b_from); From cf4ad7883815bf3e5342837417518c97b5012084 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 20 Feb 2024 10:48:27 -0500 Subject: [PATCH 276/608] vpr: place: assing valid layer to the centroid location passed to find_to_centroid_loc method --- vpr/src/place/centroid_move_generator.cpp | 4 ++-- vpr/src/place/move_utils.cpp | 2 +- vpr/src/place/weighted_centroid_move_generator.cpp | 2 +- 3 files changed, 4 insertions(+), 4 deletions(-) diff --git a/vpr/src/place/centroid_move_generator.cpp b/vpr/src/place/centroid_move_generator.cpp index f1316701998..9e9dbe70150 100644 --- a/vpr/src/place/centroid_move_generator.cpp +++ b/vpr/src/place/centroid_move_generator.cpp @@ -39,8 +39,8 @@ e_create_move CentroidMoveGenerator::propose_move(t_pl_blocks_to_be_moved& block calculate_centroid_loc(b_from, false, centroid, nullptr); // Centroid location is not necessarily a valid location, and the downstream location expect a valid - // layer for "to" location. So if the layer is not valid, we set it to the same layer as from loc. - to.layer = (centroid.layer < 0) ? from.layer : centroid.layer; + // layer for the centroid location. So if the layer is not valid, we set it to the same layer as from loc. + centroid.layer = (centroid.layer < 0) ? from.layer : centroid.layer; /* Find a location near the weighted centroid_loc */ if (!find_to_loc_centroid(cluster_from_type, from, centroid, range_limiters, to, b_from)) { return e_create_move::ABORT; diff --git a/vpr/src/place/move_utils.cpp b/vpr/src/place/move_utils.cpp index 2c62d6ec371..83c33961634 100644 --- a/vpr/src/place/move_utils.cpp +++ b/vpr/src/place/move_utils.cpp @@ -923,7 +923,7 @@ bool find_to_loc_centroid(t_logical_block_type_ptr blk_type, ClusterBlockId b_from) { //Retrieve the compressed block grid for this block type const auto& compressed_block_grid = g_vpr_ctx.placement().compressed_block_grids[blk_type->index]; - const int to_layer_num = to_loc.layer; + const int to_layer_num = centroid.layer; VTR_ASSERT(to_layer_num >= 0); const int num_layers = g_vpr_ctx.device().grid.get_num_layers(); diff --git a/vpr/src/place/weighted_centroid_move_generator.cpp b/vpr/src/place/weighted_centroid_move_generator.cpp index d33b6fa2ebe..93dd5c796f8 100644 --- a/vpr/src/place/weighted_centroid_move_generator.cpp +++ b/vpr/src/place/weighted_centroid_move_generator.cpp @@ -40,7 +40,7 @@ e_create_move WeightedCentroidMoveGenerator::propose_move(t_pl_blocks_to_be_move // Centroid location is not necessarily a valid location, and the downstream location expect a valid // layer for "to" location. So if the layer is not valid, we set it to the same layer as from loc. - to.layer = (centroid.layer < 0) ? from.layer : centroid.layer; + centroid.layer = (centroid.layer < 0) ? from.layer : centroid.layer; if (!find_to_loc_centroid(cluster_from_type, from, centroid, range_limiters, to, b_from)) { return e_create_move::ABORT; } From 96e3cd90750c2441c4cddb5b343a2e25f234603f Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 20 Feb 2024 15:40:15 -0500 Subject: [PATCH 277/608] vpr: router: accept to dump to both capnp and bin extension --- vpr/src/route/router_lookahead_map.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 1bbbdb86b7e..41f7562cd02 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -463,7 +463,7 @@ void MapLookahead::write(const std::string& file_name) const { } dump_readable_router_lookahead_map(file_name, wire_cost_map_size, get_wire_cost_entry); } else { - VTR_ASSERT(vtr::check_file_name_extension(file_name, ".capnp")); + VTR_ASSERT(vtr::check_file_name_extension(file_name, ".capnp") || vtr::check_file_name_extension(file_name, ".bin")); write_router_lookahead(file_name); } } From 3f8d4058177ff4b32f448ed802e0f4f2d2abb7f1 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 20 Feb 2024 15:49:40 -0500 Subject: [PATCH 278/608] document: add the file extensions of router lookahead file to the doc --- doc/src/vpr/command_line_usage.rst | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/doc/src/vpr/command_line_usage.rst b/doc/src/vpr/command_line_usage.rst index fa0cd49017b..68943d2ea06 100644 --- a/doc/src/vpr/command_line_usage.rst +++ b/doc/src/vpr/command_line_usage.rst @@ -350,7 +350,7 @@ Use the options below to override this default naming behaviour. .. option:: --read_rr_graph - Reads in the routing resource graph named loads it for use during the placement and routing stages. Expects a file extension of either ``.xml`` and ``.bin``. + Reads in the routing resource graph named loads it for use during the placement and routing stages. Expects a file extension of either ``.xml`` or ``.bin``. The routing resource graph overthrows all the architecture definitions regarding switches, nodes, and edges. Other information such as grid information, block types, and segment information are matched with the architecture file to ensure accuracy. @@ -368,22 +368,22 @@ Use the options below to override this default naming behaviour. .. option:: --read_router_lookahead - Reads the lookahead data from the specified file instead of computing it. + Reads the lookahead data from the specified file instead of computing it. Expects a file extension of either ``.capnp`` or ``.bin``. .. option:: --write_router_lookahead - Writes the lookahead data to the specified file. + Writes the lookahead data to the specified file. Accepted fine extensions are ``.capnp``, ``.bin``, and ``.csv``. .. option:: --read_placement_delay_lookup - Reads the placement delay lookup from the specified file instead of computing it. + Reads the placement delay lookup from the specified file instead of computing it. Expects a file extension of either ``.capnp`` or ``.bin``. .. option:: --write_placement_delay_lookup - Writes the placement delay lookup to the specified file. + Writes the placement delay lookup to the specified file. Expects a file extension of either ``.capnp`` or ``.bin``. .. option:: --write_initial_place_file - Writes out the the placement chosen by the initial placement algorithm to the specified file + Writes out the the placement chosen by the initial placement algorithm to the specified file. .. option:: --outfile_prefix From 77711955f4a27de325b1dad91cc46f99ea6b6294 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 20 Feb 2024 16:00:28 -0500 Subject: [PATCH 279/608] document: fix a type --- doc/src/vpr/command_line_usage.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/src/vpr/command_line_usage.rst b/doc/src/vpr/command_line_usage.rst index 68943d2ea06..692098afadc 100644 --- a/doc/src/vpr/command_line_usage.rst +++ b/doc/src/vpr/command_line_usage.rst @@ -372,7 +372,7 @@ Use the options below to override this default naming behaviour. .. option:: --write_router_lookahead - Writes the lookahead data to the specified file. Accepted fine extensions are ``.capnp``, ``.bin``, and ``.csv``. + Writes the lookahead data to the specified file. Accepted file extensions are ``.capnp``, ``.bin``, and ``.csv``. .. option:: --read_placement_delay_lookup From 02460a1a4c3cc781c1bf640431162f71fd7933ac Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Fahrican=20Ko=C5=9Far?= Date: Wed, 21 Feb 2024 01:45:15 -0500 Subject: [PATCH 280/608] add parallel cost updates --- vpr/src/route/route_common.cpp | 23 +++++++++++++++++++++++ vpr/src/route/route_net.cpp | 18 ++++++++++++++++++ 2 files changed, 41 insertions(+) diff --git a/vpr/src/route/route_common.cpp b/vpr/src/route/route_common.cpp index ccc179320fb..6b5be2d9edf 100644 --- a/vpr/src/route/route_common.cpp +++ b/vpr/src/route/route_common.cpp @@ -190,6 +190,28 @@ void pathfinder_update_acc_cost_and_overuse_info(float acc_fac, OveruseInfo& ove auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; auto& route_ctx = g_vpr_ctx.mutable_routing(); + +#ifdef VPR_USE_TBB + tbb::combinable overused_nodes(0), total_overuse(0), worst_overuse(0); + tbb::parallel_for_each(rr_graph.nodes().begin(), rr_graph.nodes().end(), [&](RRNodeId rr_id) { + int overuse = route_ctx.rr_node_route_inf[rr_id].occ() - rr_graph.node_capacity(rr_id); + + // If overused, update the acc_cost and add this node to the overuse info + // If not, do nothing + if (overuse > 0) { + route_ctx.rr_node_route_inf[rr_id].acc_cost += overuse * acc_fac; + + ++overused_nodes.local(); + total_overuse.local() += overuse; + worst_overuse.local() = std::max(worst_overuse.local(), size_t(overuse)); + } + }); + + // Update overuse info + overuse_info.overused_nodes = overused_nodes.combine(std::plus()); + overuse_info.total_overuse = total_overuse.combine(std::plus()); + overuse_info.worst_overuse = worst_overuse.combine([](size_t a, size_t b) { return std::max(a, b); }); +#else size_t overused_nodes = 0, total_overuse = 0, worst_overuse = 0; for (const RRNodeId& rr_id : rr_graph.nodes()) { @@ -210,6 +232,7 @@ void pathfinder_update_acc_cost_and_overuse_info(float acc_fac, OveruseInfo& ove overuse_info.overused_nodes = overused_nodes; overuse_info.total_overuse = total_overuse; overuse_info.worst_overuse = worst_overuse; +#endif } /** Update pathfinder cost of all nodes rooted at rt_node, including rt_node itself */ diff --git a/vpr/src/route/route_net.cpp b/vpr/src/route/route_net.cpp index 39ff6d06742..9be057ad983 100644 --- a/vpr/src/route/route_net.cpp +++ b/vpr/src/route/route_net.cpp @@ -251,6 +251,23 @@ WirelengthInfo calculate_wirelength_info(const Netlist<>& net_list, size_t avail auto& route_ctx = g_vpr_ctx.routing(); +#ifdef VPR_USE_TBB + tbb::combinable thread_used_wirelength(0); + + tbb::parallel_for_each(net_list.nets().begin(), net_list.nets().end(), [&](ParentNetId net_id) { + if (!net_list.net_is_ignored(net_id) + && net_list.net_sinks(net_id).size() != 0 /* Globals don't count. */ + && route_ctx.route_trees[net_id]) { + int bends, wirelength, segments; + bool is_absorbed; + get_num_bends_and_length(net_id, &bends, &wirelength, &segments, &is_absorbed); + + thread_used_wirelength.local() += wirelength; + } + }); + + used_wirelength = thread_used_wirelength.combine(std::plus()); +#else for (auto net_id : net_list.nets()) { if (!net_list.net_is_ignored(net_id) && net_list.net_sinks(net_id).size() != 0 /* Globals don't count. */ @@ -262,6 +279,7 @@ WirelengthInfo calculate_wirelength_info(const Netlist<>& net_list, size_t avail used_wirelength += wirelength; } } +#endif return WirelengthInfo(available_wirelength, used_wirelength); } From 375ce0942515365eedf2e3ad1a5345803a7a7135 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 22 Feb 2024 14:50:13 -0500 Subject: [PATCH 281/608] vpr: router: get the max ptc of pins from the pin physical number instead of rr graph --- vpr/src/route/router_lookahead_map_utils.cpp | 58 ++++---------------- 1 file changed, 11 insertions(+), 47 deletions(-) diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index f34b45db594..3094c97e4d5 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -26,7 +26,7 @@ static void dijkstra_flood_to_ipins(RRNodeId node, util::t_chan_ipins_delays& ch * @param itile * @return Return the maximum ptc number of the SOURCE/OPINs of a tile type */ -static int get_tile_src_opin_max_ptc_from_rr_graph(int itile); +static int get_tile_src_opin_max_ptc(int itile); static t_physical_tile_loc pick_sample_tile(int layer_num, t_physical_tile_type_ptr tile_type, t_physical_tile_loc prev); @@ -338,7 +338,7 @@ t_src_opin_delays compute_router_src_opin_lookahead(bool is_flat) { // Get the maximum OPIN ptc for each tile type to reserve src_opin_delays for (int itile = 0; itile < (int)device_ctx.physical_tile_types.size(); itile++) { - tile_max_ptc[itile] = get_tile_src_opin_max_ptc_from_rr_graph(itile); + tile_max_ptc[itile] = get_tile_src_opin_max_ptc(itile); } // Resize src_opin_delays to accomodate enough ptc and layer @@ -1114,55 +1114,19 @@ static void dijkstra_flood_to_ipins(RRNodeId node, util::t_chan_ipins_delays& ch } } -static int get_tile_src_opin_max_ptc_from_rr_graph(int itile) { +static int get_tile_src_opin_max_ptc(int itile) { const auto& device_ctx = g_vpr_ctx.device(); const auto& physical_tile = device_ctx.physical_tile_types[itile]; - const auto& rr_graph = device_ctx.rr_graph; - const int num_layers = device_ctx.grid.get_num_layers(); - int max_ptc = OPEN; + int max_ptc = 0; - // Find a layer that has instances of the tile type - int tile_layer_num = OPEN; - for (int layer_num = 0; layer_num < num_layers; layer_num++) { - if (device_ctx.grid.num_instances(&physical_tile, layer_num) > 0) { - tile_layer_num = layer_num; - break; + // Output pin + for (const auto& class_inf: physical_tile.class_inf) { + if (class_inf.type != e_pin_type::DRIVER) { + continue; } - } - - if (tile_layer_num == OPEN) { - VTR_LOG_WARN("Found no sample locations for %s\n", - physical_tile.name); - max_ptc = OPEN; - } else { - for (e_rr_type rr_type : {SOURCE, OPIN}) { - t_physical_tile_loc sample_loc(OPEN, OPEN, OPEN); - sample_loc = pick_sample_tile(tile_layer_num, &physical_tile, sample_loc); - - if (sample_loc.x == OPEN && sample_loc.y == OPEN && sample_loc.layer_num == OPEN) { - //No untried instances of the current tile type left - VTR_LOG_WARN("Found no sample locations for %s in %s\n", - rr_node_typename[rr_type], - physical_tile.name); - return OPEN; - } - - const std::vector& rr_nodes_at_loc = device_ctx.rr_graph.node_lookup().find_grid_nodes_at_all_sides(sample_loc.layer_num, - sample_loc.x, - sample_loc.y, - rr_type); - for (RRNodeId node_id : rr_nodes_at_loc) { - int ptc = rr_graph.node_ptc_num(node_id); - // For the time being, we decide to not let the lookahead explore the node inside the clusters - if (!is_inter_cluster_node(&physical_tile, - rr_type, - ptc)) { - continue; - } - - if (ptc >= max_ptc) { - max_ptc = ptc; - } + for (const auto& pin_ptc : class_inf.pinlist) { + if (pin_ptc > max_ptc) { + max_ptc = pin_ptc; } } } From f7dec22e1085f13be58b606716057c40caceb122 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 22 Feb 2024 15:59:56 -0500 Subject: [PATCH 282/608] vpr: placement: fix a typo in assertion --- vpr/src/place/median_move_generator.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/place/median_move_generator.cpp b/vpr/src/place/median_move_generator.cpp index 2cf77a5c9ed..ae8c1b335a7 100644 --- a/vpr/src/place/median_move_generator.cpp +++ b/vpr/src/place/median_move_generator.cpp @@ -321,7 +321,7 @@ static bool get_bb_incrementally(ClusterNetId net_id, VTR_ASSERT(layer_new < device_ctx.grid.get_num_layers()); xold = std::max(std::min(xold, device_ctx.grid.width() - 2), 1); //-2 for no perim channels yold = std::max(std::min(yold, device_ctx.grid.height() - 2), 1); //-2 for no perim channels - VTR_ASSERT(layer_old > 0); + VTR_ASSERT(layer_old >= 0); VTR_ASSERT(layer_old < device_ctx.grid.get_num_layers()); t_bb union_bb_edge; From 097e8810227bae64f5cdc19dd729324d4567ad5e Mon Sep 17 00:00:00 2001 From: amin1377 Date: Thu, 22 Feb 2024 16:03:26 -0500 Subject: [PATCH 283/608] vpr: placement: (2) fix a typo in assertion --- vpr/src/place/median_move_generator.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/place/median_move_generator.cpp b/vpr/src/place/median_move_generator.cpp index ae8c1b335a7..69358781c7d 100644 --- a/vpr/src/place/median_move_generator.cpp +++ b/vpr/src/place/median_move_generator.cpp @@ -317,7 +317,7 @@ static bool get_bb_incrementally(ClusterNetId net_id, xnew = std::max(std::min(xnew, device_ctx.grid.width() - 2), 1); //-2 for no perim channels ynew = std::max(std::min(ynew, device_ctx.grid.height() - 2), 1); //-2 for no perim channels - VTR_ASSERT(layer_new > 0); + VTR_ASSERT(layer_new >= 0); VTR_ASSERT(layer_new < device_ctx.grid.get_num_layers()); xold = std::max(std::min(xold, device_ctx.grid.width() - 2), 1); //-2 for no perim channels yold = std::max(std::min(yold, device_ctx.grid.height() - 2), 1); //-2 for no perim channels From a3c8aae7378723ec844e199014f24859feee07e9 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 23 Feb 2024 08:45:15 -0500 Subject: [PATCH 284/608] vpr: place: assign a valid value to layer if it is not valie --- vpr/src/place/median_move_generator.cpp | 6 ++---- 1 file changed, 2 insertions(+), 4 deletions(-) diff --git a/vpr/src/place/median_move_generator.cpp b/vpr/src/place/median_move_generator.cpp index 69358781c7d..6356083d40f 100644 --- a/vpr/src/place/median_move_generator.cpp +++ b/vpr/src/place/median_move_generator.cpp @@ -281,12 +281,10 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co * is 0). See route_common.cpp for a channel diagram. */ bb_coord_new.xmin = std::max(std::min(xmin, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymin = std::max(std::min(ymin, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + bb_coord_new.layer_min = std::max(std::min(layer_min, device_ctx.grid.get_num_layers()), 0); bb_coord_new.xmax = std::max(std::min(xmax, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymax = std::max(std::min(ymax, device_ctx.grid.height() - 2), 1); //-2 for no perim channels - VTR_ASSERT(layer_min >= 0); - bb_coord_new.layer_min = layer_min; - VTR_ASSERT(layer_max < device_ctx.grid.get_num_layers()); - bb_coord_new.layer_max = layer_max; + bb_coord_new.layer_max = std::max(std::min(layer_max, device_ctx.grid.get_num_layers()), 0); } /* From d8b48827a79971411977de5a6ed3896370975ad5 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 23 Feb 2024 09:29:30 -0500 Subject: [PATCH 285/608] vpr: place: update median increamental bb update to take a valid layer num --- vpr/src/place/median_move_generator.cpp | 11 +++++------ 1 file changed, 5 insertions(+), 6 deletions(-) diff --git a/vpr/src/place/median_move_generator.cpp b/vpr/src/place/median_move_generator.cpp index 6356083d40f..60475a0c8d3 100644 --- a/vpr/src/place/median_move_generator.cpp +++ b/vpr/src/place/median_move_generator.cpp @@ -281,10 +281,10 @@ static void get_bb_from_scratch_excluding_block(ClusterNetId net_id, t_bb& bb_co * is 0). See route_common.cpp for a channel diagram. */ bb_coord_new.xmin = std::max(std::min(xmin, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymin = std::max(std::min(ymin, device_ctx.grid.height() - 2), 1); //-2 for no perim channels - bb_coord_new.layer_min = std::max(std::min(layer_min, device_ctx.grid.get_num_layers()), 0); + bb_coord_new.layer_min = std::max(std::min(layer_min, device_ctx.grid.get_num_layers() - 1), 0); bb_coord_new.xmax = std::max(std::min(xmax, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymax = std::max(std::min(ymax, device_ctx.grid.height() - 2), 1); //-2 for no perim channels - bb_coord_new.layer_max = std::max(std::min(layer_max, device_ctx.grid.get_num_layers()), 0); + bb_coord_new.layer_max = std::max(std::min(layer_max, device_ctx.grid.get_num_layers() - 1), 0); } /* @@ -315,12 +315,11 @@ static bool get_bb_incrementally(ClusterNetId net_id, xnew = std::max(std::min(xnew, device_ctx.grid.width() - 2), 1); //-2 for no perim channels ynew = std::max(std::min(ynew, device_ctx.grid.height() - 2), 1); //-2 for no perim channels - VTR_ASSERT(layer_new >= 0); - VTR_ASSERT(layer_new < device_ctx.grid.get_num_layers()); + layer_new = std::max(std::min(layer_new, device_ctx.grid.get_num_layers() -1 ), 0); + xold = std::max(std::min(xold, device_ctx.grid.width() - 2), 1); //-2 for no perim channels yold = std::max(std::min(yold, device_ctx.grid.height() - 2), 1); //-2 for no perim channels - VTR_ASSERT(layer_old >= 0); - VTR_ASSERT(layer_old < device_ctx.grid.get_num_layers()); + layer_old = std::max(std::min(layer_old, device_ctx.grid.get_num_layers() - 1), 0); t_bb union_bb_edge; t_bb union_bb; From 67a898903547f02ab04c0cdaa7a3123cf464148e Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 23 Feb 2024 09:55:42 -0500 Subject: [PATCH 286/608] make format --- vpr/src/place/median_move_generator.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/place/median_move_generator.cpp b/vpr/src/place/median_move_generator.cpp index 60475a0c8d3..13c418ddf6b 100644 --- a/vpr/src/place/median_move_generator.cpp +++ b/vpr/src/place/median_move_generator.cpp @@ -315,7 +315,7 @@ static bool get_bb_incrementally(ClusterNetId net_id, xnew = std::max(std::min(xnew, device_ctx.grid.width() - 2), 1); //-2 for no perim channels ynew = std::max(std::min(ynew, device_ctx.grid.height() - 2), 1); //-2 for no perim channels - layer_new = std::max(std::min(layer_new, device_ctx.grid.get_num_layers() -1 ), 0); + layer_new = std::max(std::min(layer_new, device_ctx.grid.get_num_layers() - 1), 0); xold = std::max(std::min(xold, device_ctx.grid.width() - 2), 1); //-2 for no perim channels yold = std::max(std::min(yold, device_ctx.grid.height() - 2), 1); //-2 for no perim channels From e2da96087bf5c6b385a0c9f2c5eb171cbb4181b9 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 23 Feb 2024 14:43:21 -0500 Subject: [PATCH 287/608] vpr: place: update get_bb_from_scratch to keep track of bb layer --- vpr/src/place/place.cpp | 32 ++++++++++++++++++++++++++++++-- 1 file changed, 30 insertions(+), 2 deletions(-) diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index a58bb29d1ee..f860edab422 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -2817,8 +2817,8 @@ static void get_bb_from_scratch(ClusterNetId net_id, t_bb& coords, t_bb& num_on_edges, vtr::NdMatrixProxy num_sink_pin_layer) { - int pnum, x, y, pin_layer, xmin, xmax, ymin, ymax; - int xmin_edge, xmax_edge, ymin_edge, ymax_edge; + int pnum, x, y, pin_layer, xmin, xmax, ymin, ymax, layer_min, layer_max; + int xmin_edge, xmax_edge, ymin_edge, ymax_edge, layer_min_edge, layer_max_edge; auto& cluster_ctx = g_vpr_ctx.clustering(); auto& place_ctx = g_vpr_ctx.placement(); @@ -2832,18 +2832,25 @@ static void get_bb_from_scratch(ClusterNetId net_id, + physical_tile_type(bnum)->pin_width_offset[pnum]; y = place_ctx.block_locs[bnum].loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; + pin_layer = place_ctx.block_locs[bnum].loc.layer; x = max(min(x, grid.width() - 2), 1); y = max(min(y, grid.height() - 2), 1); + pin_layer = max(min(pin_layer, grid.get_num_layers() - 1), 0); xmin = x; ymin = y; + layer_min = pin_layer; xmax = x; ymax = y; + layer_max = pin_layer; + xmin_edge = 1; ymin_edge = 1; + layer_min_edge = 1; xmax_edge = 1; ymax_edge = 1; + layer_max_edge = 1; for (int layer_num = 0; layer_num < grid.get_num_layers(); layer_num++) { num_sink_pin_layer[layer_num] = 0; @@ -2867,6 +2874,7 @@ static void get_bb_from_scratch(ClusterNetId net_id, x = max(min(x, grid.width() - 2), 1); //-2 for no perim channels y = max(min(y, grid.height() - 2), 1); //-2 for no perim channels + pin_layer = max(min(pin_layer, grid.get_num_layers() - 1), 0); if (x == xmin) { xmin_edge++; @@ -2894,6 +2902,19 @@ static void get_bb_from_scratch(ClusterNetId net_id, ymax_edge = 1; } + if (pin_layer == layer_min) { + layer_min_edge++; + } + if (pin_layer == layer_max) { + layer_max_edge++; + } else if (pin_layer < layer_min) { + layer_min = pin_layer; + layer_min_edge = 1; + } else if (pin_layer > layer_max) { + layer_max = pin_layer; + layer_max_edge = 1; + } + num_sink_pin_layer[pin_layer]++; } @@ -2903,11 +2924,18 @@ static void get_bb_from_scratch(ClusterNetId net_id, coords.xmax = xmax; coords.ymin = ymin; coords.ymax = ymax; + coords.layer_min = layer_min; + coords.layer_max = layer_max; + VTR_ASSERT(layer_min >= 0 && layer_min < device_ctx.grid.get_num_layers()); + VTR_ASSERT(layer_max >= 0 && layer_max < device_ctx.grid.get_num_layers()); + num_on_edges.xmin = xmin_edge; num_on_edges.xmax = xmax_edge; num_on_edges.ymin = ymin_edge; num_on_edges.ymax = ymax_edge; + num_on_edges.layer_min = layer_min_edge; + num_on_edges.layer_max = layer_max_edge; } /* This routine finds the bounding box of each net from scratch when the bounding box is of type per-layer (i.e. * From 755e74d2df7a1592d8f9846d49972d44eef7c71a Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 23 Feb 2024 14:48:58 -0500 Subject: [PATCH 288/608] vpr: place: update get_non_updateable_bb to keep track of layer of bb --- vpr/src/place/place.cpp | 13 ++++++++++++- 1 file changed, 12 insertions(+), 1 deletion(-) diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index f860edab422..eabdcd6c13e 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -3190,7 +3190,7 @@ static void get_non_updateable_bb(ClusterNetId net_id, vtr::NdMatrixProxy num_sink_pin_layer) { //TODO: account for multiple physical pin instances per logical pin - int xmax, ymax, xmin, ymin, x, y, layer; + int xmax, ymax, layer_max, xmin, ymin, layer_min, x, y, layer; int pnum; auto& cluster_ctx = g_vpr_ctx.clustering(); @@ -3204,11 +3204,14 @@ static void get_non_updateable_bb(ClusterNetId net_id, + physical_tile_type(bnum)->pin_width_offset[pnum]; y = place_ctx.block_locs[bnum].loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; + layer = place_ctx.block_locs[bnum].loc.layer; xmin = x; ymin = y; + layer_min = layer; xmax = x; ymax = y; + layer_max = layer; for (int layer_num = 0; layer_num < device_ctx.grid.get_num_layers(); layer_num++) { num_sink_pin_layer[layer_num] = 0; @@ -3235,6 +3238,12 @@ static void get_non_updateable_bb(ClusterNetId net_id, ymax = y; } + if (layer < layer_min) { + layer_min = layer; + } else if (layer > layer_max) { + layer_max = layer; + } + num_sink_pin_layer[layer]++; } @@ -3248,8 +3257,10 @@ static void get_non_updateable_bb(ClusterNetId net_id, bb_coord_new.xmin = max(min(xmin, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymin = max(min(ymin, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + bb_coord_new.layer_min = max(min(layer_min, device_ctx.grid.get_num_layers() - 1), 0); bb_coord_new.xmax = max(min(xmax, device_ctx.grid.width() - 2), 1); //-2 for no perim channels bb_coord_new.ymax = max(min(ymax, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + bb_coord_new.layer_max = max(min(layer_max, device_ctx.grid.get_num_layers() - 1), 0); } static void get_non_updateable_layer_bb(ClusterNetId net_id, From 26d24d461177447295e0d7421b6f231e760e758e Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 23 Feb 2024 14:49:41 -0500 Subject: [PATCH 289/608] vpr: place: update update_bb to keep track of layer --- vpr/src/place/place.cpp | 71 +++++++++++++++++++++++++++++++++++++++++ 1 file changed, 71 insertions(+) diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index eabdcd6c13e..9107028db80 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -3360,8 +3360,10 @@ static void update_bb(ClusterNetId net_id, pin_new_loc.x = max(min(pin_new_loc.x, device_ctx.grid.width() - 2), 1); //-2 for no perim channels pin_new_loc.y = max(min(pin_new_loc.y, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + pin_new_loc.layer_num = max(min(pin_new_loc.layer_num, device_ctx.grid.get_num_layers() - 1), 0); pin_old_loc.x = max(min(pin_old_loc.x, device_ctx.grid.width() - 2), 1); //-2 for no perim channels pin_old_loc.y = max(min(pin_old_loc.y, device_ctx.grid.height() - 2), 1); //-2 for no perim channels + pin_old_loc.layer_num = max(min(pin_old_loc.layer_num, device_ctx.grid.get_num_layers() - 1), 0); /* Check if the net had been updated before. */ if (bb_updated_before[net_id] == GOT_FROM_SCRATCH) { @@ -3541,6 +3543,75 @@ static void update_bb(ClusterNetId net_id, num_sink_pin_layer_new[pin_new_loc.layer_num] = (curr_num_sink_pin_layer)[pin_new_loc.layer_num] + 1; } } + + if (pin_new_loc.layer_num < pin_old_loc.layer_num) { + if (pin_old_loc.layer_num == curr_bb_coord->layer_max) { + if (curr_bb_edge->layer_max == 1) { + get_bb_from_scratch(net_id, bb_coord_new, bb_edge_new, num_sink_pin_layer_new); + bb_updated_before[net_id] = GOT_FROM_SCRATCH; + return; + } else { + bb_edge_new.layer_max = curr_bb_edge->layer_max - 1; + bb_coord_new.layer_max = curr_bb_coord->layer_max; + } + } else { + bb_coord_new.layer_max = curr_bb_coord->layer_max; + bb_edge_new.layer_max = curr_bb_edge->layer_max; + } + + + if (pin_new_loc.layer_num < curr_bb_coord->layer_min) { + bb_coord_new.layer_min = pin_new_loc.layer_num; + bb_edge_new.layer_min = 1; + } else if (pin_new_loc.layer_num == curr_bb_coord->layer_min) { + bb_coord_new.layer_min = pin_new_loc.layer_num; + bb_edge_new.layer_min = curr_bb_edge->layer_min + 1; + } else { + bb_coord_new.layer_min = curr_bb_coord->layer_min; + bb_edge_new.layer_min = curr_bb_edge->layer_min; + } + + } else if (pin_new_loc.layer_num > pin_old_loc.layer_num) { + + + if (pin_old_loc.layer_num == curr_bb_coord->layer_min) { + if (curr_bb_edge->layer_min == 1) { + get_bb_from_scratch(net_id, bb_coord_new, bb_edge_new, num_sink_pin_layer_new); + bb_updated_before[net_id] = GOT_FROM_SCRATCH; + return; + } else { + bb_edge_new.layer_min = curr_bb_edge->layer_min - 1; + bb_coord_new.layer_min = curr_bb_coord->layer_min; + } + } else { + bb_coord_new.layer_min = curr_bb_coord->layer_min; + bb_edge_new.layer_min = curr_bb_edge->layer_min; + } + + if (pin_new_loc.layer_num > curr_bb_coord->layer_max) { + bb_coord_new.layer_max = pin_new_loc.layer_num; + bb_edge_new.layer_max = 1; + } else if (pin_new_loc.layer_num == curr_bb_coord->layer_max) { + bb_coord_new.layer_max = pin_new_loc.layer_num; + bb_edge_new.layer_max = curr_bb_edge->layer_max + 1; + } else { + bb_coord_new.layer_max = curr_bb_coord->layer_max; + bb_edge_new.layer_max = curr_bb_edge->layer_max; + } + + + } else { + bb_coord_new.layer_min = curr_bb_coord->layer_min; + bb_coord_new.layer_max = curr_bb_coord->layer_max; + bb_edge_new.layer_min = curr_bb_edge->layer_min; + bb_edge_new.layer_max = curr_bb_edge->layer_max; + } + + } else { + bb_coord_new.layer_min = curr_bb_coord->layer_min; + bb_coord_new.layer_max = curr_bb_coord->layer_max; + bb_edge_new.layer_min = curr_bb_edge->layer_min; + bb_edge_new.layer_max = curr_bb_edge->layer_max; } if (bb_updated_before[net_id] == NOT_UPDATED_YET) { From 66ef6c64d85f9b6c5eb435982183ffd89807d38e Mon Sep 17 00:00:00 2001 From: amin1377 Date: Fri, 23 Feb 2024 14:50:47 -0500 Subject: [PATCH 290/608] vpr: place: set valid value of old layer if if it is not valid --- vpr/src/place/median_move_generator.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/place/median_move_generator.cpp b/vpr/src/place/median_move_generator.cpp index 13c418ddf6b..1c9db0b1b44 100644 --- a/vpr/src/place/median_move_generator.cpp +++ b/vpr/src/place/median_move_generator.cpp @@ -93,10 +93,10 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ xold = place_ctx.block_locs[bnum].loc.x + physical_tile_type(bnum)->pin_width_offset[pnum]; yold = place_ctx.block_locs[bnum].loc.y + physical_tile_type(bnum)->pin_height_offset[pnum]; layer_old = place_ctx.block_locs[bnum].loc.layer; + xold = std::max(std::min(xold, (int)device_ctx.grid.width() - 2), 1); //-2 for no perim channels yold = std::max(std::min(yold, (int)device_ctx.grid.height() - 2), 1); //-2 for no perim channels - VTR_ASSERT(layer_old >= 0); - VTR_ASSERT(layer_old < device_ctx.grid.get_num_layers()); + layer_old = std::max(std::min(layer_old, (int)device_ctx.grid.get_num_layers() - 1), 0); //To calulate the bb incrementally while excluding the moving block //assume that the moving block is moved to a non-critical coord of the bb From 895e34f2957b068f41a21e4d4abdb59c25929a0d Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 23 Feb 2024 15:40:51 -0500 Subject: [PATCH 291/608] revert noc-aware clustering --- vpr/src/pack/pack.cpp | 138 ------------------------------------------ 1 file changed, 138 deletions(-) diff --git a/vpr/src/pack/pack.cpp b/vpr/src/pack/pack.cpp index 013edc51b77..62558798ad5 100644 --- a/vpr/src/pack/pack.cpp +++ b/vpr/src/pack/pack.cpp @@ -5,8 +5,6 @@ #include #include #include -#include -#include #include "vtr_assert.h" #include "vtr_log.h" @@ -42,124 +40,6 @@ static bool try_size_device_grid(const t_arch& arch, */ static int count_models(const t_model* user_models); -static std::vector find_noc_router_atoms() { - const auto& atom_ctx = g_vpr_ctx.atom(); - - // NoC router atoms are expected to have a specific blif model - const std::string noc_router_blif_model_name = "noc_router_adapter_block"; - - // stores found NoC router atoms - std::vector noc_router_atoms; - - // iterate over all atoms and find those whose blif model matches - for (auto atom_id : atom_ctx.nlist.blocks()) { - const t_model* model = atom_ctx.nlist.block_model(atom_id); - if (noc_router_blif_model_name == model->name) { - noc_router_atoms.push_back(atom_id); - } - } - - return noc_router_atoms; -} - -static void update_noc_reachability_partitions(const std::vector& noc_atoms) { - const auto& atom_ctx = g_vpr_ctx.atom(); - auto& constraints = g_vpr_ctx.mutable_floorplanning().constraints; - const auto& high_fanout_thresholds = g_vpr_ctx.cl_helper().high_fanout_thresholds; - - const size_t high_fanout_threshold = high_fanout_thresholds.get_threshold(""); - - // get the total number of atoms - const size_t n_atoms = atom_ctx.nlist.blocks().size(); - - vtr::vector atom_visited(n_atoms, false); - - int exclusivity_cnt = 0; - - RegionRectCoord unconstrained_rect{0, - 0, - std::numeric_limits::max(), - std::numeric_limits::max(), - 0}; - Region unconstrained_region; - unconstrained_region.set_region_rect(unconstrained_rect); - - for (auto noc_atom_id : noc_atoms) { - // check if this NoC router has already been visited - if (atom_visited[noc_atom_id]) { - continue; - } - - exclusivity_cnt++; - - PartitionRegion associated_noc_partition_region; - associated_noc_partition_region.set_exclusivity_index(exclusivity_cnt); - associated_noc_partition_region.add_to_part_region(unconstrained_region); - - Partition associated_noc_partition; - associated_noc_partition.set_name(atom_ctx.nlist.block_name(noc_atom_id)); - associated_noc_partition.set_part_region(associated_noc_partition_region); - auto associated_noc_partition_id = (PartitionId)constraints.get_num_partitions(); - constraints.add_partition(associated_noc_partition); - - const PartitionId noc_partition_id = constraints.get_atom_partition(noc_atom_id); - - if (noc_partition_id == PartitionId::INVALID()) { - constraints.add_constrained_atom(noc_atom_id, associated_noc_partition_id); - } else { // noc atom is already in a partition - auto& noc_partition = constraints.get_mutable_partition(noc_partition_id); - auto& noc_partition_region = noc_partition.get_mutable_part_region(); - VTR_ASSERT(noc_partition_region.get_exclusivity_index() < 0); - noc_partition_region.set_exclusivity_index(exclusivity_cnt); - } - - std::queue q; - q.push(noc_atom_id); - atom_visited[noc_atom_id] = true; - - while (!q.empty()) { - AtomBlockId current_atom = q.front(); - q.pop(); - - PartitionId atom_partition_id = constraints.get_atom_partition(current_atom); - if (atom_partition_id == PartitionId::INVALID()) { - constraints.add_constrained_atom(current_atom, associated_noc_partition_id); - } else { - auto& atom_partition = constraints.get_mutable_partition(atom_partition_id); - auto& atom_partition_region = atom_partition.get_mutable_part_region(); - VTR_ASSERT(atom_partition_region.get_exclusivity_index() < 0 || current_atom == noc_atom_id); - atom_partition_region.set_exclusivity_index(exclusivity_cnt); - } - - for(auto pin : atom_ctx.nlist.block_pins(current_atom)) { - AtomNetId net_id = atom_ctx.nlist.pin_net(pin); - size_t net_fanout = atom_ctx.nlist.net_sinks(net_id).size(); - - if (net_fanout >= high_fanout_threshold) { - continue; - } - - AtomBlockId driver_atom_id = atom_ctx.nlist.net_driver_block(net_id); - if (!atom_visited[driver_atom_id]) { - q.push(driver_atom_id); - atom_visited[driver_atom_id] = true; - } - - for (auto sink_pin : atom_ctx.nlist.net_sinks(net_id)) { - AtomBlockId sink_atom_id = atom_ctx.nlist.pin_block(sink_pin); - if (!atom_visited[sink_atom_id]) { - q.push(sink_atom_id); - atom_visited[sink_atom_id] = true; - } - } - - } - } - - } -} - - bool try_pack(t_packer_opts* packer_opts, const t_analysis_opts* analysis_opts, const t_arch* arch, @@ -252,9 +132,6 @@ bool try_pack(t_packer_opts* packer_opts, bool floorplan_regions_overfull = false; auto constraints_backup = g_vpr_ctx.floorplanning().constraints; - // find all NoC router atoms - auto noc_atoms = find_noc_router_atoms(); - update_noc_reachability_partitions(noc_atoms); while (true) { free_clustering_data(*packer_opts, clustering_data); @@ -397,21 +274,6 @@ bool try_pack(t_packer_opts* packer_opts, //check clustering and output it check_and_output_clustering(*packer_opts, is_clock, arch, helper_ctx.total_clb_num, clustering_data.intra_lb_routing); - - g_vpr_ctx.mutable_floorplanning().constraints = constraints_backup; - const int max_y = (int)g_vpr_ctx.device().grid.height(); - const int max_x = (int)g_vpr_ctx.device().grid.width(); - for (auto& cluster_partition_region : g_vpr_ctx.mutable_floorplanning().cluster_constraints) { - const auto& regions = cluster_partition_region.get_regions(); - if (regions.size() == 1) { - const auto rect = regions[0].get_region_rect(); - - if (rect.xmin <= 0 && rect.ymin <= 0 && rect.xmax >= max_x && rect.ymax >= max_y) { - cluster_partition_region = PartitionRegion(); - } - } - } - // Free Data Structures free_clustering_data(*packer_opts, clustering_data); From f778c8723399b4b1480c23d91bb1ffa6749a3a3c Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 23 Feb 2024 15:49:52 -0500 Subject: [PATCH 292/608] remove exclusivity_index and fix some typos --- vpr/src/base/partition_region.cpp | 43 ++++------------------------- vpr/src/base/partition_region.h | 5 ---- vpr/src/base/setup_noc.cpp | 8 +++--- vpr/src/pack/attraction_groups.cpp | 2 +- vpr/src/pack/cluster_util.cpp | 4 +-- vpr/src/place/place_constraints.cpp | 14 +++++----- 6 files changed, 20 insertions(+), 56 deletions(-) diff --git a/vpr/src/base/partition_region.cpp b/vpr/src/base/partition_region.cpp index 77afc4fa5e7..1f0c9dbd62c 100644 --- a/vpr/src/base/partition_region.cpp +++ b/vpr/src/base/partition_region.cpp @@ -36,22 +36,6 @@ bool PartitionRegion::is_loc_in_part_reg(const t_pl_loc& loc) const { return is_in_pr; } -int PartitionRegion::get_exclusivity_index() const { - return exclusivity_index; -} - -void PartitionRegion::set_exclusivity_index(int index) { - /* negative exclusivity index means this PartitionRegion is compatible - * with other PartitionsRegions as long as the intersection of their - * regions is not empty. - */ - if (index < 0) { - index = -1; - } - - exclusivity_index = index; -} - PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionRegion& new_pr) { /**for N regions in part_region and M in the calling object you can get anywhere from * 0 to M*N regions in the resulting vector. Only intersection regions with non-zero area rectangles and @@ -60,14 +44,6 @@ PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionR */ PartitionRegion pr; - const int cluster_exclusivity = cluster_pr.get_exclusivity_index(); - const int new_exclusivity = new_pr.get_exclusivity_index(); - - // PartitionRegion are not compatible even if their regions overlap - if (cluster_exclusivity != new_exclusivity) { - return pr; - } - auto& pr_regions = pr.get_mutable_regions(); for (const auto& cluster_region : cluster_pr.get_regions()) { @@ -85,19 +61,12 @@ PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionR void update_cluster_part_reg(PartitionRegion& cluster_pr, const PartitionRegion& new_pr) { std::vector int_regions; - const int cluster_exclusivity = cluster_pr.get_exclusivity_index(); - const int new_exclusivity = new_pr.get_exclusivity_index(); - - // check whether PartitionRegions are compatible in the first place - if (cluster_exclusivity == new_exclusivity) { - - // now that we know PartitionRegions are compatible, look for overlapping regions - for (const auto& cluster_region : cluster_pr.get_regions()) { - for (const auto& new_region : new_pr.get_regions()) { - Region intersect_region = intersection(cluster_region, new_region); - if (!intersect_region.empty()) { - int_regions.push_back(intersect_region); - } + // now that we know PartitionRegions are compatible, look for overlapping regions + for (const auto& cluster_region : cluster_pr.get_regions()) { + for (const auto& new_region : new_pr.get_regions()) { + Region intersect_region = intersection(cluster_region, new_region); + if (!intersect_region.empty()) { + int_regions.push_back(intersect_region); } } } diff --git a/vpr/src/base/partition_region.h b/vpr/src/base/partition_region.h index ec4d24a065f..db73d2d7f09 100644 --- a/vpr/src/base/partition_region.h +++ b/vpr/src/base/partition_region.h @@ -50,13 +50,8 @@ class PartitionRegion { */ bool is_loc_in_part_reg(const t_pl_loc& loc) const; - int get_exclusivity_index() const; - - void set_exclusivity_index(int index); - private: std::vector regions; ///< union of rectangular regions that a partition can be placed in - int exclusivity_index = -1; ///< PartitionRegions with different exclusivity_index values are not compatible }; ///@brief used to print data from a PartitionRegion diff --git a/vpr/src/base/setup_noc.cpp b/vpr/src/base/setup_noc.cpp index de3bbb6840c..a2975b9683c 100644 --- a/vpr/src/base/setup_noc.cpp +++ b/vpr/src/base/setup_noc.cpp @@ -139,7 +139,7 @@ void create_noc_routers(const t_noc_inf& noc_info, NocStorage* noc_model, std::v double curr_logical_router_position_x; double curr_logical_router_position_y; - // keep track of the index of each physical router (this helps uniqely identify them) + // keep track of the index of each physical router (this helps uniquely identify them) int curr_physical_router_index = 0; // keep track of the ids of the routers that create the case where multiple routers have the same distance to a physical router tile @@ -153,7 +153,7 @@ void create_noc_routers(const t_noc_inf& noc_info, NocStorage* noc_model, std::v // Below we create all the routers within the NoC // - // go through each user desctibed router in the arch file and assign it to a physical router on the FPGA + // go through each user described router in the arch file and assign it to a physical router on the FPGA for (auto logical_router = noc_info.router_list.begin(); logical_router != noc_info.router_list.end(); logical_router++) { // assign the shortest distance to a large value (this is done so that the first distance calculated and we can replace this) shortest_distance = LLONG_MAX; @@ -173,7 +173,7 @@ void create_noc_routers(const t_noc_inf& noc_info, NocStorage* noc_model, std::v error_case_physical_router_index_2 = INVALID_PHYSICAL_ROUTER_INDEX; // determine the physical router tile that is closest to the current user described router in the arch file - for (auto & physical_router : noc_router_tiles) { + for (auto& physical_router : noc_router_tiles) { // get the position of the current physical router tile on the FPGA device curr_physical_router_pos_x = physical_router.tile_centroid_x; curr_physical_router_pos_y = physical_router.tile_centroid_y; @@ -237,7 +237,7 @@ void create_noc_links(const t_noc_inf* noc_info, NocStorage* noc_model) { noc_model->make_room_for_noc_router_link_list(); // go through each router and add its outgoing links to the NoC - for (const auto & router : noc_info->router_list) { + for (const auto& router : noc_info->router_list) { // get the converted id of the current source router source_router = noc_model->convert_router_id(router.id); diff --git a/vpr/src/pack/attraction_groups.cpp b/vpr/src/pack/attraction_groups.cpp index 60e72546e51..b8f0351d6a7 100644 --- a/vpr/src/pack/attraction_groups.cpp +++ b/vpr/src/pack/attraction_groups.cpp @@ -49,7 +49,7 @@ void AttractionInfo::create_att_groups_for_overfull_regions() { const auto& overfull_regions = floorplanning_ctx.overfull_regions; PartitionRegion overfull_regions_pr; - for (const auto & overfull_region : overfull_regions) { + for (const auto& overfull_region : overfull_regions) { overfull_regions_pr.add_to_part_region(overfull_region); } /* diff --git a/vpr/src/pack/cluster_util.cpp b/vpr/src/pack/cluster_util.cpp index 0c1891c7927..84dd08f3a0e 100644 --- a/vpr/src/pack/cluster_util.cpp +++ b/vpr/src/pack/cluster_util.cpp @@ -76,7 +76,7 @@ static void echo_clusters(char* filename) { cluster_atoms[clb_index].push_back(atom_blk_id); } - for (auto & cluster_atom : cluster_atoms) { + for (auto& cluster_atom : cluster_atoms) { const std::string& cluster_name = cluster_ctx.clb_nlist.block_name(cluster_atom.first); fprintf(fp, "Cluster %s Id: %zu \n", cluster_name.c_str(), size_t(cluster_atom.first)); fprintf(fp, "\tAtoms in cluster: \n"); @@ -96,7 +96,7 @@ static void echo_clusters(char* filename) { const std::vector& regions = floorplanning_ctx.cluster_constraints[clb_id].get_regions(); if (!regions.empty()) { fprintf(fp, "\nRegions in Cluster %zu:\n", size_t(clb_id)); - for (const auto & region : regions) { + for (const auto& region : regions) { print_region(fp, region); } } diff --git a/vpr/src/place/place_constraints.cpp b/vpr/src/place/place_constraints.cpp index 6a425401718..72f7925ff28 100644 --- a/vpr/src/place/place_constraints.cpp +++ b/vpr/src/place/place_constraints.cpp @@ -41,7 +41,7 @@ bool is_macro_constrained(const t_pl_macro& pl_macro) { bool is_macro_constrained = false; bool is_member_constrained = false; - for (const auto & member : pl_macro.members) { + for (const auto& member : pl_macro.members) { ClusterBlockId iblk = member.blk_index; is_member_constrained = is_cluster_constrained(iblk); @@ -61,7 +61,7 @@ PartitionRegion update_macro_head_pr(const t_pl_macro& pl_macro, const Partition int num_constrained_members = 0; auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); - for (const auto & member : pl_macro.members) { + for (const auto& member : pl_macro.members) { ClusterBlockId iblk = member.blk_index; is_member_constrained = is_cluster_constrained(iblk); @@ -75,7 +75,7 @@ PartitionRegion update_macro_head_pr(const t_pl_macro& pl_macro, const Partition const PartitionRegion& block_pr = floorplanning_ctx.cluster_constraints[iblk]; const std::vector& block_regions = block_pr.get_regions(); - for (const auto & block_region : block_regions) { + for (const auto& block_region : block_regions) { Region modified_reg; auto offset = member.offset; @@ -118,7 +118,7 @@ PartitionRegion update_macro_member_pr(PartitionRegion& head_pr, const t_pl_offs const std::vector& block_regions = head_pr.get_regions(); PartitionRegion macro_pr; - for (const auto & block_region : block_regions) { + for (const auto& block_region : block_regions) { Region modified_reg; const auto block_reg_coord = block_region.get_region_rect(); @@ -153,7 +153,7 @@ void print_macro_constraint_error(const t_pl_macro& pl_macro) { VTR_LOG( "Feasible floorplanning constraints could not be calculated for the placement macro. \n" "The placement macro contains the following blocks: \n"); - for (const auto & member : pl_macro.members) { + for (const auto& member : pl_macro.members) { std::string blk_name = cluster_ctx.clb_nlist.block_name((member.blk_index)); VTR_LOG("Block %s (#%zu) ", blk_name.c_str(), size_t(member.blk_index)); } @@ -371,7 +371,7 @@ int region_tile_cover(const Region& reg, t_logical_block_type_ptr block_type, t_ } /* - * Used when marking fixed blocks to check whether the ParitionRegion associated with a block + * Used when marking fixed blocks to check whether the PartitionRegion associated with a block * covers one tile. If it covers one tile, it is marked as fixed. If it covers 0 tiles or * more than one tile, it will not be marked as fixed. As soon as it is known that the * PartitionRegion covers more than one tile, there is no need to check further regions @@ -441,7 +441,7 @@ int get_part_reg_size(PartitionRegion& pr, t_logical_block_type_ptr block_type, const std::vector& regions = pr.get_regions(); int num_tiles = 0; - for (const auto & region : regions) { + for (const auto& region : regions) { num_tiles += grid_tiles.region_tile_count(region, block_type); } From 9ff6fef3389c981c54938e52d4e6e71cd1b7ee92 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 23 Feb 2024 19:16:45 -0500 Subject: [PATCH 293/608] add bucket sort traffic flow file It has eight processing lines, each with 8 PEs. PEs are connected in chain. There are two fully-connected layers. --- .../complex_64_noc_bucket_sort.flows | 213 ++++++++++++++++++ 1 file changed, 213 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows new file mode 100644 index 00000000000..de20395bbf0 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows @@ -0,0 +1,213 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From f23d7b5457365ed8d75caf73106ffdf0ffccb372 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 26 Feb 2024 10:08:52 -0500 Subject: [PATCH 294/608] Revert "remove exclusivity_index and fix some typos" This reverts commit f778c8723399b4b1480c23d91bb1ffa6749a3a3c. --- vpr/src/base/partition_region.cpp | 43 ++++++++++++++++++++++++++----- vpr/src/base/partition_region.h | 5 ++++ 2 files changed, 42 insertions(+), 6 deletions(-) diff --git a/vpr/src/base/partition_region.cpp b/vpr/src/base/partition_region.cpp index 1f0c9dbd62c..77afc4fa5e7 100644 --- a/vpr/src/base/partition_region.cpp +++ b/vpr/src/base/partition_region.cpp @@ -36,6 +36,22 @@ bool PartitionRegion::is_loc_in_part_reg(const t_pl_loc& loc) const { return is_in_pr; } +int PartitionRegion::get_exclusivity_index() const { + return exclusivity_index; +} + +void PartitionRegion::set_exclusivity_index(int index) { + /* negative exclusivity index means this PartitionRegion is compatible + * with other PartitionsRegions as long as the intersection of their + * regions is not empty. + */ + if (index < 0) { + index = -1; + } + + exclusivity_index = index; +} + PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionRegion& new_pr) { /**for N regions in part_region and M in the calling object you can get anywhere from * 0 to M*N regions in the resulting vector. Only intersection regions with non-zero area rectangles and @@ -44,6 +60,14 @@ PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionR */ PartitionRegion pr; + const int cluster_exclusivity = cluster_pr.get_exclusivity_index(); + const int new_exclusivity = new_pr.get_exclusivity_index(); + + // PartitionRegion are not compatible even if their regions overlap + if (cluster_exclusivity != new_exclusivity) { + return pr; + } + auto& pr_regions = pr.get_mutable_regions(); for (const auto& cluster_region : cluster_pr.get_regions()) { @@ -61,12 +85,19 @@ PartitionRegion intersection(const PartitionRegion& cluster_pr, const PartitionR void update_cluster_part_reg(PartitionRegion& cluster_pr, const PartitionRegion& new_pr) { std::vector int_regions; - // now that we know PartitionRegions are compatible, look for overlapping regions - for (const auto& cluster_region : cluster_pr.get_regions()) { - for (const auto& new_region : new_pr.get_regions()) { - Region intersect_region = intersection(cluster_region, new_region); - if (!intersect_region.empty()) { - int_regions.push_back(intersect_region); + const int cluster_exclusivity = cluster_pr.get_exclusivity_index(); + const int new_exclusivity = new_pr.get_exclusivity_index(); + + // check whether PartitionRegions are compatible in the first place + if (cluster_exclusivity == new_exclusivity) { + + // now that we know PartitionRegions are compatible, look for overlapping regions + for (const auto& cluster_region : cluster_pr.get_regions()) { + for (const auto& new_region : new_pr.get_regions()) { + Region intersect_region = intersection(cluster_region, new_region); + if (!intersect_region.empty()) { + int_regions.push_back(intersect_region); + } } } } diff --git a/vpr/src/base/partition_region.h b/vpr/src/base/partition_region.h index db73d2d7f09..ec4d24a065f 100644 --- a/vpr/src/base/partition_region.h +++ b/vpr/src/base/partition_region.h @@ -50,8 +50,13 @@ class PartitionRegion { */ bool is_loc_in_part_reg(const t_pl_loc& loc) const; + int get_exclusivity_index() const; + + void set_exclusivity_index(int index); + private: std::vector regions; ///< union of rectangular regions that a partition can be placed in + int exclusivity_index = -1; ///< PartitionRegions with different exclusivity_index values are not compatible }; ///@brief used to print data from a PartitionRegion From 5dd2025f02f32edcfc37f516c299dbb262e27310 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 26 Feb 2024 10:09:12 -0500 Subject: [PATCH 295/608] Revert "revert noc-aware clustering" This reverts commit 895e34f2957b068f41a21e4d4abdb59c25929a0d. --- vpr/src/pack/pack.cpp | 138 ++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 138 insertions(+) diff --git a/vpr/src/pack/pack.cpp b/vpr/src/pack/pack.cpp index 62558798ad5..013edc51b77 100644 --- a/vpr/src/pack/pack.cpp +++ b/vpr/src/pack/pack.cpp @@ -5,6 +5,8 @@ #include #include #include +#include +#include #include "vtr_assert.h" #include "vtr_log.h" @@ -40,6 +42,124 @@ static bool try_size_device_grid(const t_arch& arch, */ static int count_models(const t_model* user_models); +static std::vector find_noc_router_atoms() { + const auto& atom_ctx = g_vpr_ctx.atom(); + + // NoC router atoms are expected to have a specific blif model + const std::string noc_router_blif_model_name = "noc_router_adapter_block"; + + // stores found NoC router atoms + std::vector noc_router_atoms; + + // iterate over all atoms and find those whose blif model matches + for (auto atom_id : atom_ctx.nlist.blocks()) { + const t_model* model = atom_ctx.nlist.block_model(atom_id); + if (noc_router_blif_model_name == model->name) { + noc_router_atoms.push_back(atom_id); + } + } + + return noc_router_atoms; +} + +static void update_noc_reachability_partitions(const std::vector& noc_atoms) { + const auto& atom_ctx = g_vpr_ctx.atom(); + auto& constraints = g_vpr_ctx.mutable_floorplanning().constraints; + const auto& high_fanout_thresholds = g_vpr_ctx.cl_helper().high_fanout_thresholds; + + const size_t high_fanout_threshold = high_fanout_thresholds.get_threshold(""); + + // get the total number of atoms + const size_t n_atoms = atom_ctx.nlist.blocks().size(); + + vtr::vector atom_visited(n_atoms, false); + + int exclusivity_cnt = 0; + + RegionRectCoord unconstrained_rect{0, + 0, + std::numeric_limits::max(), + std::numeric_limits::max(), + 0}; + Region unconstrained_region; + unconstrained_region.set_region_rect(unconstrained_rect); + + for (auto noc_atom_id : noc_atoms) { + // check if this NoC router has already been visited + if (atom_visited[noc_atom_id]) { + continue; + } + + exclusivity_cnt++; + + PartitionRegion associated_noc_partition_region; + associated_noc_partition_region.set_exclusivity_index(exclusivity_cnt); + associated_noc_partition_region.add_to_part_region(unconstrained_region); + + Partition associated_noc_partition; + associated_noc_partition.set_name(atom_ctx.nlist.block_name(noc_atom_id)); + associated_noc_partition.set_part_region(associated_noc_partition_region); + auto associated_noc_partition_id = (PartitionId)constraints.get_num_partitions(); + constraints.add_partition(associated_noc_partition); + + const PartitionId noc_partition_id = constraints.get_atom_partition(noc_atom_id); + + if (noc_partition_id == PartitionId::INVALID()) { + constraints.add_constrained_atom(noc_atom_id, associated_noc_partition_id); + } else { // noc atom is already in a partition + auto& noc_partition = constraints.get_mutable_partition(noc_partition_id); + auto& noc_partition_region = noc_partition.get_mutable_part_region(); + VTR_ASSERT(noc_partition_region.get_exclusivity_index() < 0); + noc_partition_region.set_exclusivity_index(exclusivity_cnt); + } + + std::queue q; + q.push(noc_atom_id); + atom_visited[noc_atom_id] = true; + + while (!q.empty()) { + AtomBlockId current_atom = q.front(); + q.pop(); + + PartitionId atom_partition_id = constraints.get_atom_partition(current_atom); + if (atom_partition_id == PartitionId::INVALID()) { + constraints.add_constrained_atom(current_atom, associated_noc_partition_id); + } else { + auto& atom_partition = constraints.get_mutable_partition(atom_partition_id); + auto& atom_partition_region = atom_partition.get_mutable_part_region(); + VTR_ASSERT(atom_partition_region.get_exclusivity_index() < 0 || current_atom == noc_atom_id); + atom_partition_region.set_exclusivity_index(exclusivity_cnt); + } + + for(auto pin : atom_ctx.nlist.block_pins(current_atom)) { + AtomNetId net_id = atom_ctx.nlist.pin_net(pin); + size_t net_fanout = atom_ctx.nlist.net_sinks(net_id).size(); + + if (net_fanout >= high_fanout_threshold) { + continue; + } + + AtomBlockId driver_atom_id = atom_ctx.nlist.net_driver_block(net_id); + if (!atom_visited[driver_atom_id]) { + q.push(driver_atom_id); + atom_visited[driver_atom_id] = true; + } + + for (auto sink_pin : atom_ctx.nlist.net_sinks(net_id)) { + AtomBlockId sink_atom_id = atom_ctx.nlist.pin_block(sink_pin); + if (!atom_visited[sink_atom_id]) { + q.push(sink_atom_id); + atom_visited[sink_atom_id] = true; + } + } + + } + } + + } +} + + bool try_pack(t_packer_opts* packer_opts, const t_analysis_opts* analysis_opts, const t_arch* arch, @@ -132,6 +252,9 @@ bool try_pack(t_packer_opts* packer_opts, bool floorplan_regions_overfull = false; auto constraints_backup = g_vpr_ctx.floorplanning().constraints; + // find all NoC router atoms + auto noc_atoms = find_noc_router_atoms(); + update_noc_reachability_partitions(noc_atoms); while (true) { free_clustering_data(*packer_opts, clustering_data); @@ -274,6 +397,21 @@ bool try_pack(t_packer_opts* packer_opts, //check clustering and output it check_and_output_clustering(*packer_opts, is_clock, arch, helper_ctx.total_clb_num, clustering_data.intra_lb_routing); + + g_vpr_ctx.mutable_floorplanning().constraints = constraints_backup; + const int max_y = (int)g_vpr_ctx.device().grid.height(); + const int max_x = (int)g_vpr_ctx.device().grid.width(); + for (auto& cluster_partition_region : g_vpr_ctx.mutable_floorplanning().cluster_constraints) { + const auto& regions = cluster_partition_region.get_regions(); + if (regions.size() == 1) { + const auto rect = regions[0].get_region_rect(); + + if (rect.xmin <= 0 && rect.ymin <= 0 && rect.xmax >= max_x && rect.ymax >= max_y) { + cluster_partition_region = PartitionRegion(); + } + } + } + // Free Data Structures free_clustering_data(*packer_opts, clustering_data); From 0d0d311f5f2f3692149ddd35b6156c0444718051 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 26 Feb 2024 10:13:50 -0500 Subject: [PATCH 296/608] reduced fanout threshold in update_noc_reachability_partitions() --- vpr/src/pack/pack.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/pack/pack.cpp b/vpr/src/pack/pack.cpp index 013edc51b77..36b911f393d 100644 --- a/vpr/src/pack/pack.cpp +++ b/vpr/src/pack/pack.cpp @@ -65,9 +65,9 @@ static std::vector find_noc_router_atoms() { static void update_noc_reachability_partitions(const std::vector& noc_atoms) { const auto& atom_ctx = g_vpr_ctx.atom(); auto& constraints = g_vpr_ctx.mutable_floorplanning().constraints; - const auto& high_fanout_thresholds = g_vpr_ctx.cl_helper().high_fanout_thresholds; +// const auto& high_fanout_thresholds = g_vpr_ctx.cl_helper().high_fanout_thresholds; - const size_t high_fanout_threshold = high_fanout_thresholds.get_threshold(""); + const size_t high_fanout_threshold = 32; //;high_fanout_thresholds.get_threshold(""); // get the total number of atoms const size_t n_atoms = atom_ctx.nlist.blocks().size(); From e4168ee3de4cae8f61945d4e9cec46294fa5d4e7 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 27 Feb 2024 15:55:35 -0500 Subject: [PATCH 297/608] ignore reset --- vpr/src/place/place.cpp | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 2e30d2f3c43..e60f2c3a153 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -618,6 +618,13 @@ void try_place(const Netlist<>& net_list, num_swap_aborted = 0; num_ts_called = 0; + for (auto net_id : cluster_ctx.clb_nlist.nets()) { + if (cluster_ctx.clb_nlist.net_name(net_id) == "reset") { + g_vpr_ctx.mutable_clustering().clb_nlist.set_net_is_global(net_id, true); + g_vpr_ctx.mutable_clustering().clb_nlist.set_net_is_ignored(net_id, true); + } + } + if (placer_opts.place_algorithm.is_timing_driven()) { /*do this before the initial placement to avoid messing up the initial placement */ place_delay_model = alloc_lookups_and_delay_model(net_list, From 04e2f2aaec985ff24d96ad3487cae7dcd28d2409 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 28 Feb 2024 12:37:16 -0500 Subject: [PATCH 298/608] lock NoC routers and constrain other blocks --- vpr/src/place/initial_noc_placement.cpp | 71 +++++++++++++++++++++++++ vpr/src/place/initial_placement.cpp | 1 + 2 files changed, 72 insertions(+) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index a8c619f8dbd..ba09c456ca5 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -5,6 +5,9 @@ #include "noc_place_checkpoint.h" #include "vtr_math.h" +#include +#include + /** * @brief Evaluates whether a NoC router swap should be accepted or not. * If delta cost is non-positive, the move is always accepted. If the cost @@ -251,6 +254,7 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { void initial_noc_placement(const t_noc_opts& noc_opts, int seed) { auto& noc_ctx = g_vpr_ctx.noc(); + auto& cluster_ctx = g_vpr_ctx.clustering(); // Get all the router clusters const std::vector& router_blk_ids = noc_ctx.noc_traffic_flows_storage.get_router_clusters_in_netlist(); @@ -280,4 +284,71 @@ void initial_noc_placement(const t_noc_opts& noc_opts, int seed) { // Run the simulated annealing optimizer for NoC routers noc_routers_anneal(noc_opts); + + auto& device_ctx = g_vpr_ctx.device(); + + for (auto router_blk_id : router_blk_ids) { + g_vpr_ctx.mutable_placement().block_locs[router_blk_id].is_fixed = true; + + vtr::vector block_visited(cluster_ctx.clb_nlist.blocks().size(), false); + + std::queue q; + q.push(router_blk_id); + block_visited[router_blk_id] = true; + + const auto& noc_loc = g_vpr_ctx.placement().block_locs[router_blk_id].loc; + + const int height = device_ctx.grid.height(); + const int width = device_ctx.grid.width(); + + RegionRectCoord rect_coord{std::max(0, noc_loc.x - 20), + std::max(0, noc_loc.y - 20), + std::min(width-1, noc_loc.x + 20), + std::min(height-1, noc_loc.y + 20), 0}; + Region region; + region.set_region_rect(rect_coord); + + while (!q.empty()) { + ClusterBlockId current_block_id = q.front(); + q.pop(); + + auto block_type = cluster_ctx.clb_nlist.block_type(current_block_id); + if (std::strcmp(block_type->name, "io") != 0) { + auto& constraint = g_vpr_ctx.mutable_floorplanning().cluster_constraints[current_block_id]; + constraint.add_to_part_region(region); + } + + for (ClusterPinId pin_id : cluster_ctx.clb_nlist.block_pins(current_block_id)) { + ClusterNetId net_id = cluster_ctx.clb_nlist.pin_net(pin_id); + + if (cluster_ctx.clb_nlist.net_is_ignored(net_id)) { + continue; + } + + if (cluster_ctx.clb_nlist.net_sinks(net_id).size() >= 32) { + continue; + } + + if (cluster_ctx.clb_nlist.pin_type(pin_id) == PinType::DRIVER) { + //ignore nets that are globally routed + + for (auto sink_pin_id : cluster_ctx.clb_nlist.net_sinks(net_id)) { + auto sink_block_id = cluster_ctx.clb_nlist.pin_block(sink_pin_id); + if (!block_visited[sink_block_id]) { + block_visited[sink_block_id] = true; + q.push(sink_block_id); + } + } + } else { //else the pin is sink --> only care about its driver + ClusterPinId source_pin = cluster_ctx.clb_nlist.net_driver(net_id); + auto source_blk_id = cluster_ctx.clb_nlist.pin_block(source_pin); + if (!block_visited[source_blk_id]) { + block_visited[source_blk_id] = true; + q.push(source_blk_id); + } + } + } + } + + } } \ No newline at end of file diff --git a/vpr/src/place/initial_placement.cpp b/vpr/src/place/initial_placement.cpp index 30e3cc190ae..8c75deb0713 100644 --- a/vpr/src/place/initial_placement.cpp +++ b/vpr/src/place/initial_placement.cpp @@ -1123,6 +1123,7 @@ void initial_placement(const t_placer_opts& placer_opts, if (noc_opts.noc) { // NoC routers are placed before other blocks initial_noc_placement(noc_opts, placer_opts.seed); + propagate_place_constraints(); } //Assign scores to blocks and placement macros according to how difficult they are to place From 970a38a1c729c285ac38710fa40c19f7a6fc3ff9 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 1 Mar 2024 15:05:53 -0500 Subject: [PATCH 299/608] fix errors in bucket sort traffic flow file --- .../complex_64_noc_bucket_sort.flows | 308 +++++++++--------- 1 file changed, 152 insertions(+), 156 deletions(-) diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows index de20395bbf0..3148cee4997 100644 --- a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows @@ -52,162 +52,158 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 71f5d58d5aeae5f29ac61f1ec8fb86889482ef9f Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 1 Mar 2024 18:50:50 -0500 Subject: [PATCH 300/608] fix illegal placement of fixed NoC routers --- vpr/src/place/initial_placement.cpp | 9 +++++++-- vpr/src/place/move_utils.cpp | 2 +- 2 files changed, 8 insertions(+), 3 deletions(-) diff --git a/vpr/src/place/initial_placement.cpp b/vpr/src/place/initial_placement.cpp index f32de6d8596..3f6ffa62dff 100644 --- a/vpr/src/place/initial_placement.cpp +++ b/vpr/src/place/initial_placement.cpp @@ -925,8 +925,8 @@ static void place_all_blocks(const t_placer_opts& /* placer_opts */, vtr::vector clear_block_type_grid_locs(unplaced_blk_type_in_curr_itr); unplaced_blk_type_in_curr_itr.clear(); - //Check whether the constraint file is NULL, if not, read in the block locations from the constraints file here - if (strlen(constraints_file) != 0) { + // read the constraint file if the user has provided one and this is not the first attempt + if (strlen(constraints_file) != 0 && iter_no != 0) { read_constraints(constraints_file); } @@ -1112,6 +1112,11 @@ void initial_placement(const t_placer_opts& placer_opts, * as fixed so they do not get moved during initial placement or later during the simulated annealing stage of placement*/ mark_fixed_blocks(); + + if (strlen(constraints_file) != 0) { + read_constraints(constraints_file); + } + if (noc_opts.noc) { // NoC routers are placed before other blocks initial_noc_placement(noc_opts, placer_opts.seed); diff --git a/vpr/src/place/move_utils.cpp b/vpr/src/place/move_utils.cpp index 2c62d6ec371..23a64cafd78 100644 --- a/vpr/src/place/move_utils.cpp +++ b/vpr/src/place/move_utils.cpp @@ -51,7 +51,7 @@ e_create_move create_move(t_pl_blocks_to_be_moved& blocks_affected, ClusterBlock outcome = find_affected_blocks(blocks_affected, b_to, from); if (outcome == e_block_move_result::INVERT) { - log_move_abort("inverted move recurrsion"); + log_move_abort("inverted move recursion"); outcome = e_block_move_result::ABORT; } } From efe5f3d48764d98761b9b7d8b9113d6e2559b613 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 1 Mar 2024 18:51:44 -0500 Subject: [PATCH 301/608] add constraint file for bucket sort benchmark --- .../complex_64_noc_bucket_sort.fixed | 17 +++++++++++++++++ 1 file changed, 17 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.fixed diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.fixed b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.fixed new file mode 100644 index 00000000000..bf80d00c84c --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.fixed @@ -0,0 +1,17 @@ +noc_router_adapter_block:noc_router_adapter_block_8|slave_tready~reg0 4 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_16|slave_tready~reg0 27 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_24|slave_tready~reg0 73 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_32|slave_tready~reg0 96 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_40|slave_tready~reg0 119 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_48|slave_tready~reg0 142 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_56|slave_tready~reg0 188 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_64|slave_tready~reg0 211 157 0 0 + +noc_router_adapter_block:noc_router_adapter_block_1|slave_tready~reg0 4 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_9|slave_tready~reg0 27 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_17|slave_tready~reg0 73 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_25|slave_tready~reg0 96 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_33|slave_tready~reg0 119 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_41|slave_tready~reg0 142 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_49|slave_tready~reg0 188 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_57|slave_tready~reg0 211 4 0 0 From 1a5b51939372940dd5aa514d24b097b14865bcfa Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 1 Mar 2024 19:25:53 -0500 Subject: [PATCH 302/608] add traffic flow and constraint files for genome benchmark --- .../complex_64_noc_genome_seq.fixed | 2 + .../complex_64_noc_genome_seq.flows | 83 +++++++++++++++++++ 2 files changed, 85 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.fixed create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.fixed b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.fixed new file mode 100644 index 00000000000..f7dfa340bf8 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.fixed @@ -0,0 +1,2 @@ +noc_router_adapter_block:noc_router_adapter_block_1|slave_tready~reg0 119 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_64|slave_tready~reg0 96 157 0 0 \ No newline at end of file diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.flows new file mode 100644 index 00000000000..35f3d5a90f6 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.flows @@ -0,0 +1,83 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 7f2f77e3327e121c92ebafdb6ea16c81af10841a Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Sun, 3 Mar 2024 14:32:12 -0500 Subject: [PATCH 303/608] add traffic flow and constraint files for gaussian elimination --- .../complex_64_noc_gaussian_elimination.fixed | 5 + .../complex_64_noc_gaussian_elimination.flows | 96 +++++++++++++++++++ 2 files changed, 101 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.fixed create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.fixed b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.fixed new file mode 100644 index 00000000000..36531f3d11d --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.fixed @@ -0,0 +1,5 @@ +noc_router_adapter_block:noc_router_adapter_block_64|slave_tready~reg0 188 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_59|slave_tready~reg0 211 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_58|slave_tready~reg0 188 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_57|slave_tready~reg0 211 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_1|slave_tready~reg0 4 4 0 0 diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.flows new file mode 100644 index 00000000000..a00c1b5718c --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.flows @@ -0,0 +1,96 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From fa97eef5b04f21ea65a4786f7c45c1768fcc20c4 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Sun, 3 Mar 2024 15:26:46 -0500 Subject: [PATCH 304/608] fix noc unit test compilation erros --- vpr/src/noc/noc_routing.h | 8 +- vpr/test/test_bfs_routing.cpp | 22 +-- vpr/test/test_noc_place_utils.cpp | 232 +++++++++++++++++----------- vpr/test/test_noc_storage.cpp | 2 +- vpr/test/test_noc_traffic_flows.cpp | 8 +- vpr/test/test_xy_routing.cpp | 76 +++++---- 6 files changed, 207 insertions(+), 141 deletions(-) diff --git a/vpr/src/noc/noc_routing.h b/vpr/src/noc/noc_routing.h index fa2152b888c..3f9af36e7b9 100644 --- a/vpr/src/noc/noc_routing.h +++ b/vpr/src/noc/noc_routing.h @@ -30,7 +30,7 @@ class NocRouting { // pure virtual functions that should be implemented in derived classes. public: - virtual ~NocRouting() {} + virtual ~NocRouting() = default; /** * @brief Finds a route that goes from the starting router in a @@ -57,7 +57,11 @@ class NocRouting { * @param noc_model A model of the NoC. This is used to traverse the * NoC and find a route between the two routers. */ - virtual void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) = 0; + virtual void route_flow(NocRouterId src_router_id, + NocRouterId sink_router_id, + NocTrafficFlowId traffic_flow_id, + std::vector& flow_route, + const NocStorage& noc_model) = 0; }; #endif diff --git a/vpr/test/test_bfs_routing.cpp b/vpr/test/test_bfs_routing.cpp index 21d96d75d48..92afda2798c 100644 --- a/vpr/test/test_bfs_routing.cpp +++ b/vpr/test/test_bfs_routing.cpp @@ -62,23 +62,25 @@ TEST_CASE("test_route_flow", "[vpr_noc_bfs_routing]") { SECTION("Test case where the destination router and the starting routers are the same") { // choosing the start eand end routers as router 10 - NocRouterId start_router_id = NocRouterId(10); - NocRouterId sink_router_id = NocRouterId(10); + auto start_router_id = NocRouterId(10); + auto sink_router_id = NocRouterId(10); + auto traffic_flow_id = NocTrafficFlowId(33); // store the route found by the algorithm std::vector found_path; // make sure that a legal route was found (no error should be thrown) - REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model)); + REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model)); // now make sure that the found route is empty, we shouldn't be moving anywhere as the start and end routers are the same REQUIRE(found_path.empty() == true); } SECTION("Test case where there is a single shortest path between the source and destination routers in the NoC.") { // choosing the starting router (source) as the top left corner of the mesh - NocRouterId start_router_id = NocRouterId(12); + auto start_router_id = NocRouterId(12); // choosing the destination router as the bottom right corner of the mesh - NocRouterId sink_router_id = NocRouterId(3); + auto sink_router_id = NocRouterId(3); + auto traffic_flow_id = NocTrafficFlowId(33); // store the route to be found by the algorithm std::vector found_path; @@ -104,7 +106,7 @@ TEST_CASE("test_route_flow", "[vpr_noc_bfs_routing]") { // now run the routinjg algorithm // make sure that a legal route was found (no error should be thrown) - REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model)); + REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model)); // check that the found route has the exact same number of links as the expected path REQUIRE(golden_path.size() == found_path.size()); @@ -116,9 +118,10 @@ TEST_CASE("test_route_flow", "[vpr_noc_bfs_routing]") { } SECTION("Test case where no path could be found") { // choosing the starting router (source) as the top left corner of the mesh - NocRouterId start_router_id = NocRouterId(12); + auto start_router_id = NocRouterId(12); // choosing the destination router as the bottom right corner of the mesh - NocRouterId sink_router_id = NocRouterId(3); + auto sink_router_id = NocRouterId(3); + auto traffic_flow_id = NocTrafficFlowId(33); // Remove any direct links to router 3 noc_model.remove_link(NocRouterId(2), NocRouterId(3)); @@ -128,7 +131,8 @@ TEST_CASE("test_route_flow", "[vpr_noc_bfs_routing]") { std::vector found_path; // run the routing algorithm and we expect ir ro fail - REQUIRE_THROWS_WITH(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model), "No route could be found from starting router with id:'12' and the destination router with id:'3' using the breadth-first search routing algorithm."); + REQUIRE_THROWS_WITH(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model), + "No route could be found from starting router with id:'12' and the destination router with id:'3' using the breadth-first search routing algorithm."); } } diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 8e53ec68ed9..0f0da5a5086 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -162,7 +162,11 @@ TEST_CASE("test_initial_noc_placement", "[noc_place_utils]") { int source_hard_router_id = (size_t)curr_traffic_flow.source_router_cluster_id; int sink_hard_routed_id = (size_t)curr_traffic_flow.sink_router_cluster_id; // route it - routing_algorithm->route_flow((NocRouterId)source_hard_router_id, (NocRouterId)sink_hard_routed_id, traffic_flow_route, noc_ctx.noc_model); + routing_algorithm->route_flow((NocRouterId)source_hard_router_id, + (NocRouterId)sink_hard_routed_id, + (NocTrafficFlowId) traffic_flow_number, + traffic_flow_route, + noc_ctx.noc_model); } /* in the test function we will be routing all the traffic flows and then updating their link usages. So we will be generating a vector of all links @@ -360,7 +364,11 @@ TEST_CASE("test_initial_comp_cost_functions", "[noc_place_utils]") { int source_hard_router_id = (size_t)curr_traffic_flow.source_router_cluster_id; int sink_hard_routed_id = (size_t)curr_traffic_flow.sink_router_cluster_id; // route it - routing_algorithm->route_flow((NocRouterId)source_hard_router_id, (NocRouterId)sink_hard_routed_id, traffic_flow_route, noc_ctx.noc_model); + routing_algorithm->route_flow((NocRouterId)source_hard_router_id, + (NocRouterId)sink_hard_routed_id, + (NocTrafficFlowId)traffic_flow_number, + traffic_flow_route, + noc_ctx.noc_model); // store the number of links in the traffic flow golden_traffic_flow_route_sizes[traffic_flow_number] = traffic_flow_route.size(); @@ -647,7 +655,11 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ int source_hard_router_id = (size_t)curr_traffic_flow.source_router_cluster_id; int sink_hard_routed_id = (size_t)curr_traffic_flow.sink_router_cluster_id; // route it - routing_algorithm->route_flow((NocRouterId)source_hard_router_id, (NocRouterId)sink_hard_routed_id, golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number], noc_ctx.noc_model); + routing_algorithm->route_flow((NocRouterId)source_hard_router_id, + (NocRouterId)sink_hard_routed_id, + (NocTrafficFlowId)traffic_flow_number, + golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number], + noc_ctx.noc_model); } // assume this works @@ -756,78 +768,86 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ place_ctx.block_locs[swap_router_block_two].loc = blocks_affected.moved_blocks[1].new_loc; // get all the associated traffic flows of the moved cluster blocks - const std::vector* assoc_traffic_flows_block_one = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_one); - const std::vector* assoc_traffic_flows_block_two = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_two); + const std::vector& assoc_traffic_flows_block_one = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_one); + const std::vector& assoc_traffic_flows_block_two = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_two); // now go through the traffic flows and update the link bandwidths and traffic flow routes locally - for (auto& traffic_flow : *assoc_traffic_flows_block_one) { - if (routed_traffic_flows.find(traffic_flow) == routed_traffic_flows.end()) { + for (auto traffic_flow_id : assoc_traffic_flows_block_one) { + if (routed_traffic_flows.find(traffic_flow_id) == routed_traffic_flows.end()) { // get the current traffic flow - const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow); + const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // go through the current traffic flow and reduce the bandwidths of the links - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow - routing_algorithm->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); + routing_algorithm->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], + router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], + traffic_flow_id, + golden_traffic_flow_routes[traffic_flow_id], + noc_ctx.noc_model); // go through the current traffic flow and increase the bandwidths of the links - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now - golden_traffic_flow_bandwidth_costs[traffic_flow] = golden_traffic_flow_routes[traffic_flow].size() * curr_traffic_flow.traffic_flow_bandwidth; - golden_traffic_flow_bandwidth_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] = golden_traffic_flow_routes[traffic_flow_id].size() * curr_traffic_flow.traffic_flow_bandwidth; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; - double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow].size()); + double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow_id].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow_id].size()); - golden_traffic_flow_latency_costs[traffic_flow] = curr_traffic_flow_latency; - golden_traffic_flow_latency_overrun_costs[traffic_flow] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); - golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; - golden_traffic_flow_latency_overrun_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_costs[traffic_flow_id] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); + golden_traffic_flow_latency_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; - routed_traffic_flows.insert(traffic_flow); + routed_traffic_flows.insert(traffic_flow_id); } } // this is for the second swapped block - for (auto& traffic_flow : *assoc_traffic_flows_block_two) { - if (routed_traffic_flows.find(traffic_flow) == routed_traffic_flows.end()) { + for (auto traffic_flow_id : assoc_traffic_flows_block_two) { + if (routed_traffic_flows.find(traffic_flow_id) == routed_traffic_flows.end()) { // get the current traffic flow - const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow); + const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // go through the current traffic flow and reduce the bandwidths of the links - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow - routing_algorithm->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); + routing_algorithm->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], + router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], + traffic_flow_id, + golden_traffic_flow_routes[traffic_flow_id], + noc_ctx.noc_model); // go through the current traffic flow and increase the bandwidths of the links - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now - golden_traffic_flow_bandwidth_costs[traffic_flow] = golden_traffic_flow_routes[traffic_flow].size() * curr_traffic_flow.traffic_flow_bandwidth; - golden_traffic_flow_bandwidth_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] = golden_traffic_flow_routes[traffic_flow_id].size() * curr_traffic_flow.traffic_flow_bandwidth; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; - double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow].size()); + double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow_id].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow_id].size()); - golden_traffic_flow_latency_costs[traffic_flow] = curr_traffic_flow_latency; - golden_traffic_flow_latency_overrun_costs[traffic_flow] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); - golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; - golden_traffic_flow_latency_overrun_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_costs[traffic_flow_id] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); + golden_traffic_flow_latency_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; - routed_traffic_flows.insert(traffic_flow); + routed_traffic_flows.insert(traffic_flow_id); } } @@ -904,71 +924,79 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ place_ctx.block_locs[swap_router_block_two].loc = blocks_affected.moved_blocks[1].new_loc; // get all the associated traffic flows of the moved cluster blocks - const std::vector* assoc_traffic_flows_block_one = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_one); - const std::vector* assoc_traffic_flows_block_two = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_two); + const std::vector& assoc_traffic_flows_block_one = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_one); + const std::vector& assoc_traffic_flows_block_two = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_two); // now go through the traffic flows and update the link bandwidths and traffic flow routes locally - for (auto& traffic_flow : *assoc_traffic_flows_block_one) { + for (auto traffic_flow_id : assoc_traffic_flows_block_one) { // get the current traffic flow - const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow); + const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // go through the current traffic flow and reduce the bandwidths of the links - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow - routing_algorithm->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); + routing_algorithm->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], + router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], + traffic_flow_id, + golden_traffic_flow_routes[traffic_flow_id], + noc_ctx.noc_model); // go through the current traffic flow and increase the bandwidths of the links - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now - golden_traffic_flow_bandwidth_costs[traffic_flow] = golden_traffic_flow_routes[traffic_flow].size() * curr_traffic_flow.traffic_flow_bandwidth; - golden_traffic_flow_bandwidth_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] = golden_traffic_flow_routes[traffic_flow_id].size() * curr_traffic_flow.traffic_flow_bandwidth; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; - double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow].size()); + double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow_id].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow_id].size()); - golden_traffic_flow_latency_costs[traffic_flow] = curr_traffic_flow_latency; - golden_traffic_flow_latency_overrun_costs[traffic_flow] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); - golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; - golden_traffic_flow_latency_overrun_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_costs[traffic_flow_id] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); + golden_traffic_flow_latency_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; } // this is for the second swapped block - for (auto& traffic_flow : *assoc_traffic_flows_block_two) { + for (auto traffic_flow_id : assoc_traffic_flows_block_two) { // get the current traffic flow - const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow); + const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // go through the current traffic flow and reduce the bandwidths of the links - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow - routing_algorithm->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); + routing_algorithm->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], + router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], + traffic_flow_id, + golden_traffic_flow_routes[traffic_flow_id], + noc_ctx.noc_model); // go through the current traffic flow and increase the bandwidths of the links - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now - golden_traffic_flow_bandwidth_costs[traffic_flow] = golden_traffic_flow_routes[traffic_flow].size() * curr_traffic_flow.traffic_flow_bandwidth; - golden_traffic_flow_bandwidth_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] = golden_traffic_flow_routes[traffic_flow_id].size() * curr_traffic_flow.traffic_flow_bandwidth; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; - double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow].size()); + double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow_id].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow_id].size()); - golden_traffic_flow_latency_costs[traffic_flow] = curr_traffic_flow_latency; - golden_traffic_flow_latency_overrun_costs[traffic_flow] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); - golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; - golden_traffic_flow_latency_overrun_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_costs[traffic_flow_id] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); + golden_traffic_flow_latency_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; } NocCostTerms delta_cost; @@ -1033,38 +1061,42 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // get all the associated traffic flows of the moved cluster blocks // remember that the first cluster block doesn't have any traffic flows associated to it - assoc_traffic_flows_block_two = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_two); + const auto& assoc_traffic_flows_block_2 = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_two); // this is for the second swapped block - for (auto& traffic_flow : *assoc_traffic_flows_block_two) { + for (auto traffic_flow_id : assoc_traffic_flows_block_2) { // get the current traffic flow - const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow); + const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // go through the current traffic flow and reduce the bandwidths of the links - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] -= curr_traffic_flow.traffic_flow_bandwidth; golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // re-route the traffic flow - routing_algorithm->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); + routing_algorithm->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], + router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], + traffic_flow_id, + golden_traffic_flow_routes[traffic_flow_id], + noc_ctx.noc_model); // go through the current traffic flow and increase the bandwidths of the links - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { golden_link_bandwidths[link] += curr_traffic_flow.traffic_flow_bandwidth; golden_link_congestion_costs[link] = std::max(golden_link_bandwidths[link] - link_bandwidth, 0.0); } // update the costs now - golden_traffic_flow_bandwidth_costs[traffic_flow] = golden_traffic_flow_routes[traffic_flow].size() * curr_traffic_flow.traffic_flow_bandwidth; - golden_traffic_flow_bandwidth_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] = golden_traffic_flow_routes[traffic_flow_id].size() * curr_traffic_flow.traffic_flow_bandwidth; + golden_traffic_flow_bandwidth_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; - double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow].size()); + double curr_traffic_flow_latency = (router_latency * (golden_traffic_flow_routes[traffic_flow_id].size() + 1)) + (link_latency * golden_traffic_flow_routes[traffic_flow_id].size()); - golden_traffic_flow_latency_costs[traffic_flow] = curr_traffic_flow_latency; - golden_traffic_flow_latency_overrun_costs[traffic_flow] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); - golden_traffic_flow_latency_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; - golden_traffic_flow_latency_overrun_costs[traffic_flow] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_costs[traffic_flow_id] = curr_traffic_flow_latency; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] = std::max(curr_traffic_flow_latency - curr_traffic_flow.max_traffic_flow_latency, 0.); + golden_traffic_flow_latency_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; + golden_traffic_flow_latency_overrun_costs[traffic_flow_id] *= curr_traffic_flow.traffic_flow_priority; } // reset the delta costs @@ -1480,7 +1512,11 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { int sink_hard_routed_id = (size_t)curr_traffic_flow.sink_router_cluster_id; // route it - routing_algorithm->route_flow((NocRouterId)source_hard_router_id, (NocRouterId)sink_hard_routed_id, golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number], noc_ctx.noc_model); + routing_algorithm->route_flow((NocRouterId)source_hard_router_id, + (NocRouterId)sink_hard_routed_id, + (NocTrafficFlowId)traffic_flow_number, + golden_traffic_flow_routes[(NocTrafficFlowId)traffic_flow_number], + noc_ctx.noc_model); } const vtr::vector> initial_golden_traffic_flow_routes = golden_traffic_flow_routes; @@ -1560,72 +1596,80 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { router_where_cluster_is_placed[swap_router_block_two] = router_first_swap_cluster_location; // get all the associated traffic flows of the moved cluster blocks - const std::vector* assoc_traffic_flows_block_one = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_one); - const std::vector* assoc_traffic_flows_block_two = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_two); + const std::vector& assoc_traffic_flows_block_one = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_one); + const std::vector& assoc_traffic_flows_block_two = noc_ctx.noc_traffic_flows_storage.get_traffic_flows_associated_to_router_block(swap_router_block_two); // now go through the traffic flows and update the link bandwidths and traffic flow routes locally - for (auto& traffic_flow : *assoc_traffic_flows_block_one) { - if (routed_traffic_flows.find(traffic_flow) == routed_traffic_flows.end()) { + for (auto traffic_flow_id : assoc_traffic_flows_block_one) { + if (routed_traffic_flows.find(traffic_flow_id) == routed_traffic_flows.end()) { // get the current traffic flow - const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow); + const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); - std::vector& traffic_flow_route = noc_ctx.noc_traffic_flows_storage.get_mutable_traffic_flow_route(traffic_flow); + std::vector& traffic_flow_route = noc_ctx.noc_traffic_flows_storage.get_mutable_traffic_flow_route(traffic_flow_id); traffic_flow_route.clear(); // go through the current traffic flow and reduce the bandwidths of the links (we only update this in the NoC, since these changes should be rectified by the test function) // This shouldn't be updated in the golden bandwidths since we are imitating a swap of blocks and not having a real swap of blocks - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { // update the link bandwidth in the NoC datastructure double current_link_bandwidth = noc_ctx.noc_model.get_single_noc_link(link).get_bandwidth_usage(); noc_ctx.noc_model.get_single_mutable_noc_link(link).set_bandwidth_usage(current_link_bandwidth - curr_traffic_flow.traffic_flow_bandwidth); } // re-route the traffic flow - noc_ctx.noc_flows_router->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); + noc_ctx.noc_flows_router->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], + router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], + traffic_flow_id, + golden_traffic_flow_routes[traffic_flow_id], + noc_ctx.noc_model); // go through the current traffic flow and reduce the bandwidths of the links (we only update this in the NoC, since these changes should be rectified by the test function) // This shouldn't be updated in the golden bandwidths since we are imitating a swap of blocks and not having a real swap of blocks - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { // update the link bandwidth in the NoC datastructure double current_link_bandwidth = noc_ctx.noc_model.get_single_noc_link(link).get_bandwidth_usage(); noc_ctx.noc_model.get_single_mutable_noc_link(link).set_bandwidth_usage(current_link_bandwidth + curr_traffic_flow.traffic_flow_bandwidth); traffic_flow_route.push_back(link); } - routed_traffic_flows.insert(traffic_flow); + routed_traffic_flows.insert(traffic_flow_id); } } // now go through the traffic flows associated with the second swapped block - for (auto& traffic_flow : *assoc_traffic_flows_block_two) { - if (routed_traffic_flows.find(traffic_flow) == routed_traffic_flows.end()) { + for (auto traffic_flow_id : assoc_traffic_flows_block_two) { + if (routed_traffic_flows.find(traffic_flow_id) == routed_traffic_flows.end()) { // get the current traffic flow - const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow); + const t_noc_traffic_flow& curr_traffic_flow = noc_ctx.noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); - std::vector& traffic_flow_route = noc_ctx.noc_traffic_flows_storage.get_mutable_traffic_flow_route(traffic_flow); + std::vector& traffic_flow_route = noc_ctx.noc_traffic_flows_storage.get_mutable_traffic_flow_route(traffic_flow_id); traffic_flow_route.clear(); // go through the current traffic flow and reduce the bandwidths of the links (we only update this in the NoC, since these changes should be rectified by the test function) // This shouldn't be updated in the golden bandwidths since we are imitating a swap of blocks and not having a real swap of blocks - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { // update the link bandwidth in the NoC datastructure double current_link_bandwidth = noc_ctx.noc_model.get_single_noc_link(link).get_bandwidth_usage(); noc_ctx.noc_model.get_single_mutable_noc_link(link).set_bandwidth_usage(current_link_bandwidth - curr_traffic_flow.traffic_flow_bandwidth); } // re-route the traffic flow - noc_ctx.noc_flows_router->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id],router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); + noc_ctx.noc_flows_router->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], + router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], + traffic_flow_id, + golden_traffic_flow_routes[traffic_flow_id], + noc_ctx.noc_model); // go through the current traffic flow and reduce the bandwidths of the links (we only update this in the NoC, since these changes should be rectified by the test function) // This shouldn't be updated in the golden bandwidths since we are imitating a swap of blocks and not having a real swap of blocks - for (auto& link : golden_traffic_flow_routes[traffic_flow]) { + for (auto& link : golden_traffic_flow_routes[traffic_flow_id]) { // update the link bandwidth in the NoC datastructure double current_link_bandwidth = noc_ctx.noc_model.get_single_noc_link(link).get_bandwidth_usage(); noc_ctx.noc_model.get_single_mutable_noc_link(link).set_bandwidth_usage(current_link_bandwidth + curr_traffic_flow.traffic_flow_bandwidth); traffic_flow_route.push_back(link); } - routed_traffic_flows.insert(traffic_flow); + routed_traffic_flows.insert(traffic_flow_id); } } @@ -1829,7 +1873,11 @@ TEST_CASE("test_check_noc_placement_costs", "[noc_place_utils]") { double traffic_flow_bandwidth = curr_traffic_flow.traffic_flow_bandwidth; // route it - routing_algorithm->route_flow((NocRouterId)source_hard_router_id, (NocRouterId)sink_hard_routed_id, traffic_flow_route, noc_ctx.noc_model); + routing_algorithm->route_flow((NocRouterId)source_hard_router_id, + (NocRouterId)sink_hard_routed_id, + (NocTrafficFlowId)traffic_flow_number, + traffic_flow_route, + noc_ctx.noc_model); // update link bandwidth utilization for (auto link_id : traffic_flow_route) { diff --git a/vpr/test/test_noc_storage.cpp b/vpr/test/test_noc_storage.cpp index a1255d31930..834d81b88a6 100644 --- a/vpr/test/test_noc_storage.cpp +++ b/vpr/test/test_noc_storage.cpp @@ -438,7 +438,7 @@ TEST_CASE("test_generate_router_key_from_grid_location", "[vpr_noc]") { // the grid locations go from 0 to the total number of routers in the NoC for (int grid_location = 0; grid_location < NUM_OF_ROUTERS; grid_location++) { // contains the grid location of a router block seen during placement - // we dont care about the subtile so give it a arbritary value + // we don't care about the subtile so give it an arbitrary value t_pl_loc placement_router_grid_location = t_pl_loc(grid_location, grid_location, -1, diff --git a/vpr/test/test_noc_traffic_flows.cpp b/vpr/test/test_noc_traffic_flows.cpp index 30791599805..1ee20f0aefc 100644 --- a/vpr/test/test_noc_traffic_flows.cpp +++ b/vpr/test/test_noc_traffic_flows.cpp @@ -104,14 +104,14 @@ TEST_CASE("test_adding_traffic_flows", "[vpr_noc_traffic_flows]") { int number_of_traffic_flows_associated_with_current_router = golden_list_of_associated_traffic_flows_to_routers[router_id].size(); // get the traffic flows associated to the current router from the test datastructure - const std::vector* associated_traffic_flows_to_router = traffic_flow_storage.get_traffic_flows_associated_to_router_block(router_id); + const std::vector& associated_traffic_flows_to_router = traffic_flow_storage.get_traffic_flows_associated_to_router_block(router_id); // make sure that the number of traffic flows associated to each router within the NocTrafficFlows data structure matches the golden set - REQUIRE((int)associated_traffic_flows_to_router->size() == number_of_traffic_flows_associated_with_current_router); + REQUIRE((int)associated_traffic_flows_to_router.size() == number_of_traffic_flows_associated_with_current_router); // now go through the associated traffic flows and make sure the correct ones were added to the current router for (int router_traffic_flow = 0; router_traffic_flow < number_of_traffic_flows_associated_with_current_router; router_traffic_flow++) { - REQUIRE((size_t)golden_list_of_associated_traffic_flows_to_routers[router_id][router_traffic_flow] == (size_t)(*associated_traffic_flows_to_router)[router_traffic_flow]); + REQUIRE((size_t)golden_list_of_associated_traffic_flows_to_routers[router_id][router_traffic_flow] == (size_t)associated_traffic_flows_to_router[router_traffic_flow]); } } @@ -130,7 +130,7 @@ TEST_CASE("test_adding_traffic_flows", "[vpr_noc_traffic_flows]") { ClusterBlockId invalid_block = (ClusterBlockId)(NUM_OF_ROUTERS + 1); // check that this router has no traffic flows associated with it - REQUIRE(traffic_flow_storage.get_traffic_flows_associated_to_router_block(invalid_block) == nullptr); + REQUIRE(traffic_flow_storage.get_traffic_flows_associated_to_router_block(invalid_block).empty()); } } } // namespace \ No newline at end of file diff --git a/vpr/test/test_xy_routing.cpp b/vpr/test/test_xy_routing.cpp index 49b58662ca2..e968f74de70 100644 --- a/vpr/test/test_xy_routing.cpp +++ b/vpr/test/test_xy_routing.cpp @@ -11,7 +11,7 @@ namespace { * verifies whether the two routers are the exact same or not. * */ -void compare_routes(const std::vector& golden_path, const std::vector& found_path, const NocStorage& noc_model) { +static void compare_routes(const std::vector& golden_path, const std::vector& found_path, const NocStorage& noc_model) { // make sure that size of the found route and golden route match REQUIRE(found_path.size() == golden_path.size()); @@ -22,12 +22,11 @@ void compare_routes(const std::vector& golden_path, const std::vector found_path; // make sure that a legal route was found (no error should be thrown) - REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model)); + REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model)); // now make sure that the found route is empty, we shouldn't be moving anywhere as the start and end routers are the same REQUIRE(found_path.empty() == true); } SECTION("Test case where the destination router and the starting routers are located on the same row within the FPGA device.") { // choose start router as 7, and choose the destination router as 4 - NocRouterId start_router_id = NocRouterId(7); - NocRouterId sink_router_id = NocRouterId(4); + auto start_router_id = NocRouterId(7); + auto sink_router_id = NocRouterId(4); + auto traffic_flow_id = NocTrafficFlowId (34); // build the golden route that we expect the algorithm to produce // the expectation is a number of links that path horizontally from router 7 to router 4 @@ -118,15 +119,16 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { std::vector found_path; // now run the algorithm to find a route, once again we expect no errors and a legal path to be found - REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model)); + REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model)); // make sure that size of the found route and golden route match compare_routes(golden_path, found_path, noc_model); } SECTION("Test case where the destination router and the starting routers are located on the same column within the FPGA device.") { // choose start router as 2, and choose the destination router as 14 - NocRouterId start_router_id = NocRouterId(2); - NocRouterId sink_router_id = NocRouterId(14); + auto start_router_id = NocRouterId(2); + auto sink_router_id = NocRouterId(14); + auto traffic_flow_id = NocTrafficFlowId (35); // build the golden route that we expect the algorithm to produce // the expectation is a number of links that path vertically from router 2 to router 14 @@ -142,15 +144,16 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { std::vector found_path; // now run the algorithm to find a route, once again we expect no errors and a legal path to be found - REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model)); + REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model)); // make sure that size of the found route and golden route match compare_routes(golden_path, found_path, noc_model); } SECTION("Test case where the destination router and the starting routers are located on different columns and rows within the FPGA device. In this test the path moves left and then up.") { // choose start router as 3, and choose the destination router as 14 - NocRouterId start_router_id = NocRouterId(3); - NocRouterId sink_router_id = NocRouterId(12); + auto start_router_id = NocRouterId(3); + auto sink_router_id = NocRouterId(12); + auto traffic_flow_id = NocTrafficFlowId (37); // build the golden route that we expect the algorithm to produce // the expectation is a number of links that path horizontally from router 3 to router 0 and then vertically from router 0 to 12 @@ -174,7 +177,7 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { std::vector found_path; // now run the algorithm to find a route, once again we expect no errors and a legal path to be found - REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model)); + REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model)); // make sure that size of the found route and golden route match compare_routes(golden_path, found_path, noc_model); @@ -184,8 +187,9 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { // These directions had not been tested yet. // choose start router as 12, and choose the destination router as 3 - NocRouterId start_router_id = NocRouterId(12); - NocRouterId sink_router_id = NocRouterId(3); + auto start_router_id = NocRouterId(12); + auto sink_router_id = NocRouterId(3); + auto traffic_flow_id = NocTrafficFlowId(38); // build the golden route that we expect the algorithm to produce // the expectation is a number of links that path horizontally from router 12 to router 15 and then vertically from router 15 to 3 @@ -209,7 +213,7 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { std::vector found_path; // now run the algorithm to find a route, once again we expect no errors and a legal path to be found - REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model)); + REQUIRE_NOTHROW(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model)); // make sure that size of the found route and golden route match compare_routes(golden_path, found_path, noc_model); @@ -278,12 +282,13 @@ TEST_CASE("test_route_flow when it fails in a mesh topology.", "[vpr_noc_xy_rout */ // store the source and destination routers - NocRouterId start_router_id = NocRouterId(3); - NocRouterId sink_router_id = NocRouterId(0); + auto start_router_id = NocRouterId(3); + auto sink_router_id = NocRouterId(0); + auto traffic_flow_id = NocTrafficFlowId (39); // routers associated to the link to remove - NocRouterId link_to_remove_src_router_id = NocRouterId(2); - NocRouterId link_to_remove_sink_router_id = NocRouterId(1); + auto link_to_remove_src_router_id = NocRouterId(2); + auto link_to_remove_sink_router_id = NocRouterId(1); // start by deleting the link that connects router 2 to router 1 noc_model.remove_link(link_to_remove_src_router_id, link_to_remove_sink_router_id); @@ -292,7 +297,8 @@ TEST_CASE("test_route_flow when it fails in a mesh topology.", "[vpr_noc_xy_rout std::vector found_path; // now use the XY router to find a route, we expect it to fail - REQUIRE_THROWS_WITH(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model), "No route could be found from starting router with ID:'3' and the destination router with ID:'0' using the XY-Routing algorithm."); + REQUIRE_THROWS_WITH(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model), + "No route could be found from starting router with ID:'3' and the destination router with ID:'0' using the XY-Routing algorithm."); } SECTION("Test case where the xy routing algorithm fails to find a vertical link to traverse.") { /* @@ -302,12 +308,13 @@ TEST_CASE("test_route_flow when it fails in a mesh topology.", "[vpr_noc_xy_rout */ // store the source and destination routers - NocRouterId start_router_id = NocRouterId(3); - NocRouterId sink_router_id = NocRouterId(15); + auto start_router_id = NocRouterId(3); + auto sink_router_id = NocRouterId(15); + auto traffic_flow_id = NocTrafficFlowId (41); // routers associated to the link to remove - NocRouterId link_to_remove_src_router_id = NocRouterId(11); - NocRouterId link_to_remove_sink_router_id = NocRouterId(15); + auto link_to_remove_src_router_id = NocRouterId(11); + auto link_to_remove_sink_router_id = NocRouterId(15); // start by deleting the link that connects router 11 to router 15 noc_model.remove_link(link_to_remove_src_router_id, link_to_remove_sink_router_id); @@ -316,7 +323,8 @@ TEST_CASE("test_route_flow when it fails in a mesh topology.", "[vpr_noc_xy_rout std::vector found_path; // now use the XY router to find a route, we expect it to fail - REQUIRE_THROWS_WITH(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model), "No route could be found from starting router with ID:'3' and the destination router with ID:'15' using the XY-Routing algorithm."); + REQUIRE_THROWS_WITH(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model), + "No route could be found from starting router with ID:'3' and the destination router with ID:'15' using the XY-Routing algorithm."); } } TEST_CASE("test_route_flow when it fails in a non mesh topology.", "[vpr_noc_xy_routing]") { @@ -358,8 +366,9 @@ TEST_CASE("test_route_flow when it fails in a non mesh topology.", "[vpr_noc_xy_ noc_model.add_link((NocRouterId)2, (NocRouterId)1); // now create the start and the destination routers of the route we want to test - NocRouterId start_router_id = NocRouterId(3); - NocRouterId sink_router_id = NocRouterId(1); + auto start_router_id = NocRouterId(3); + auto sink_router_id = NocRouterId(1); + auto traffic_flow_id = NocTrafficFlowId (40); // creating the XY routing object XYRouting routing_algorithm; @@ -368,7 +377,8 @@ TEST_CASE("test_route_flow when it fails in a non mesh topology.", "[vpr_noc_xy_ std::vector found_path; // now use the XY router to find a route. We expect this to fail to check that. - REQUIRE_THROWS_WITH(routing_algorithm.route_flow(start_router_id, sink_router_id, found_path, noc_model), "No route could be found from starting router with ID:'3' and the destination router with ID:'1' using the XY-Routing algorithm."); + REQUIRE_THROWS_WITH(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model), + "No route could be found from starting router with ID:'3' and the destination router with ID:'1' using the XY-Routing algorithm."); } } // namespace \ No newline at end of file From 64336c814acb5a1e6775bd03b4023a886ad400af Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Sun, 3 Mar 2024 20:01:40 -0500 Subject: [PATCH 305/608] fix compiler warnings --- vpr/src/noc/bfs_routing.cpp | 6 +++++- vpr/src/noc/bfs_routing.h | 6 +++++- vpr/src/noc/negative_first_routing.cpp | 2 +- vpr/src/noc/north_last_routing.cpp | 2 +- vpr/src/noc/turn_model_routing.cpp | 3 --- vpr/src/noc/west_first_routing.cpp | 2 +- vpr/src/noc/xy_routing.cpp | 6 +++--- 7 files changed, 16 insertions(+), 11 deletions(-) diff --git a/vpr/src/noc/bfs_routing.cpp b/vpr/src/noc/bfs_routing.cpp index 632d01b985f..d8203788d23 100644 --- a/vpr/src/noc/bfs_routing.cpp +++ b/vpr/src/noc/bfs_routing.cpp @@ -4,7 +4,11 @@ BFSRouting::~BFSRouting() = default; -void BFSRouting::route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) { +void BFSRouting::route_flow(NocRouterId src_router_id, + NocRouterId sink_router_id, + NocTrafficFlowId /*traffic_flow_id*/, + std::vector& flow_route, + const NocStorage& noc_model) { const NocRouter& src_router = noc_model.get_single_noc_router(src_router_id); const NocRouter& sink_router = noc_model.get_single_noc_router(sink_router_id); diff --git a/vpr/src/noc/bfs_routing.h b/vpr/src/noc/bfs_routing.h index 4ab23fcd4db..2462c047f2a 100644 --- a/vpr/src/noc/bfs_routing.h +++ b/vpr/src/noc/bfs_routing.h @@ -51,7 +51,11 @@ class BFSRouting : public NocRouting { * @param noc_model A model of the NoC. This is used to traverse the * NoC and find a route between the two routers. */ - void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) override; + void route_flow(NocRouterId src_router_id, + NocRouterId sink_router_id, + NocTrafficFlowId traffic_flow_id, + std::vector& flow_route, + const NocStorage& noc_model) override; // internally used helper functions private: diff --git a/vpr/src/noc/negative_first_routing.cpp b/vpr/src/noc/negative_first_routing.cpp index 34af0219e1c..23cff958638 100644 --- a/vpr/src/noc/negative_first_routing.cpp +++ b/vpr/src/noc/negative_first_routing.cpp @@ -3,7 +3,7 @@ NegativeFirstRouting::~NegativeFirstRouting() = default; -const std::vector& NegativeFirstRouting::get_legal_directions(NocRouterId src_router_id, +const std::vector& NegativeFirstRouting::get_legal_directions(NocRouterId /*src_router_id*/, NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { diff --git a/vpr/src/noc/north_last_routing.cpp b/vpr/src/noc/north_last_routing.cpp index 07304090b34..ebf4e655896 100644 --- a/vpr/src/noc/north_last_routing.cpp +++ b/vpr/src/noc/north_last_routing.cpp @@ -3,7 +3,7 @@ NorthLastRouting::~NorthLastRouting() = default; -const std::vector& NorthLastRouting::get_legal_directions(NocRouterId src_router_id, +const std::vector& NorthLastRouting::get_legal_directions(NocRouterId /*src_router_id*/, NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { diff --git a/vpr/src/noc/turn_model_routing.cpp b/vpr/src/noc/turn_model_routing.cpp index e7cbb0d1730..af33886adee 100644 --- a/vpr/src/noc/turn_model_routing.cpp +++ b/vpr/src/noc/turn_model_routing.cpp @@ -40,9 +40,6 @@ void TurnModelRouting::route_flow(NocRouterId src_router_id, // the last router added to the path, initialized with the source id NocRouterId curr_router_id = src_router_id; - // get the physical location of the destination router - const auto dst_loc = dst_router.get_router_physical_location(); - /** * Keeps track of which routers have been reached already * while traversing the NoC. This variable will help determine diff --git a/vpr/src/noc/west_first_routing.cpp b/vpr/src/noc/west_first_routing.cpp index abab8d33374..e3308b5b176 100644 --- a/vpr/src/noc/west_first_routing.cpp +++ b/vpr/src/noc/west_first_routing.cpp @@ -2,7 +2,7 @@ WestFirstRouting::~WestFirstRouting() = default; -const std::vector& WestFirstRouting::get_legal_directions(NocRouterId src_router_id, +const std::vector& WestFirstRouting::get_legal_directions(NocRouterId /*src_router_id*/, NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { diff --git a/vpr/src/noc/xy_routing.cpp b/vpr/src/noc/xy_routing.cpp index 45c540543c8..71af35bc4d6 100644 --- a/vpr/src/noc/xy_routing.cpp +++ b/vpr/src/noc/xy_routing.cpp @@ -7,7 +7,7 @@ XYRouting::~XYRouting() = default; -const std::vector& XYRouting::get_legal_directions(NocRouterId src_router_id, +const std::vector& XYRouting::get_legal_directions(NocRouterId /*src_router_id*/, NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) { @@ -31,10 +31,10 @@ const std::vector& XYRouting::get_legal_directions( } TurnModelRouting::Direction XYRouting::select_next_direction(const std::vector& legal_directions, - NocRouterId src_router_id, + NocRouterId /*src_router_id*/, NocRouterId dst_router_id, NocRouterId curr_router_id, - NocTrafficFlowId traffic_flow_id, + NocTrafficFlowId /*traffic_flow_id*/, const NocStorage& noc_model) { // get current and destination NoC routers const auto& curr_router = noc_model.get_single_noc_router(curr_router_id); From 0eedd8783a5161d96d032240f76070ec3f50729c Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Sun, 3 Mar 2024 21:12:58 -0500 Subject: [PATCH 306/608] fix failing test in test_xy_routing --- vpr/src/noc/turn_model_routing.cpp | 2 +- vpr/test/test_xy_routing.cpp | 10 +++------- 2 files changed, 4 insertions(+), 8 deletions(-) diff --git a/vpr/src/noc/turn_model_routing.cpp b/vpr/src/noc/turn_model_routing.cpp index af33886adee..8cd9502b3c0 100644 --- a/vpr/src/noc/turn_model_routing.cpp +++ b/vpr/src/noc/turn_model_routing.cpp @@ -73,7 +73,7 @@ void TurnModelRouting::route_flow(NocRouterId src_router_id, if (next_link) { flow_route.push_back(next_link); } else { - VPR_FATAL_ERROR(VPR_ERROR_OTHER, "No route could be found from starting router with ID:'%d'" + VPR_FATAL_ERROR(VPR_ERROR_OTHER, "No route could be found from starting router with ID:'%d' " "and the destination router with ID:'%d' using the XY-Routing algorithm.", src_router.get_router_user_id(), dst_router.get_router_user_id()); diff --git a/vpr/test/test_xy_routing.cpp b/vpr/test/test_xy_routing.cpp index e968f74de70..3c949cd54a0 100644 --- a/vpr/test/test_xy_routing.cpp +++ b/vpr/test/test_xy_routing.cpp @@ -3,8 +3,6 @@ #include "xy_routing.h" -namespace { - /** * @brief Compares two vectors of NocLinks. These vectors represent * two routes between a start and destination routers. This function @@ -274,7 +272,7 @@ TEST_CASE("test_route_flow when it fails in a mesh topology.", "[vpr_noc_xy_rout // creating the XY routing object XYRouting routing_algorithm; - SECTION("Test case where the xy routing algorithm fails to find a horziontal link to traverse.") { + SECTION("Test case where the xy routing algorithm fails to find a horizontal link to traverse.") { /* * The route we will test will be starting at router 3 and end at * router 0. We will delete the link connecting router 2 to router 1. @@ -338,7 +336,7 @@ TEST_CASE("test_route_flow when it fails in a non mesh topology.", "[vpr_noc_xy_ * just pinpong between routers 0 and 3. * * The purpose of this test case is to make sure that this situation is - * appropristely handled through an error. + * appropriately handled through an error. * * This is a map of what the NoC looks like, where the numbers indicate the NoC router id. * @@ -379,6 +377,4 @@ TEST_CASE("test_route_flow when it fails in a non mesh topology.", "[vpr_noc_xy_ // now use the XY router to find a route. We expect this to fail to check that. REQUIRE_THROWS_WITH(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model), "No route could be found from starting router with ID:'3' and the destination router with ID:'1' using the XY-Routing algorithm."); -} - -} // namespace \ No newline at end of file +} \ No newline at end of file From 3f4ffee533617c35101c803bfcb747d6eae73560 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Sun, 3 Mar 2024 21:54:49 -0500 Subject: [PATCH 307/608] add traffic flow and constraint file for page rank benchmark --- .../complex_64_noc_page_rank.fixed | 10 ++ .../complex_64_noc_page_rank.flows | 120 ++++++++++++++++++ 2 files changed, 130 insertions(+) create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.fixed create mode 100644 vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.flows diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.fixed b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.fixed new file mode 100644 index 00000000000..6e38d94a614 --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.fixed @@ -0,0 +1,10 @@ +noc_router_adapter_block:noc_router_adapter_block_1|slave_tready~reg0 96 4 0 0 +noc_router_adapter_block:noc_router_adapter_block_8|slave_tready~reg0 4 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_15|slave_tready~reg0 27 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_22|slave_tready~reg0 50 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_29|slave_tready~reg0 73 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_36|slave_tready~reg0 96 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_43|slave_tready~reg0 142 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_50|slave_tready~reg0 165 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_57|slave_tready~reg0 188 157 0 0 +noc_router_adapter_block:noc_router_adapter_block_64|slave_tready~reg0 211 157 0 0 diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.flows new file mode 100644 index 00000000000..818814e14ba --- /dev/null +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.flows @@ -0,0 +1,120 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 6d3713bf5a51a419987dab4e9efd8dc7c68dcf0a Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 4 Mar 2024 11:57:32 -0500 Subject: [PATCH 308/608] comments and moving header includes to source files --- vpr/src/noc/bfs_routing.cpp | 27 +-- vpr/src/noc/bfs_routing.h | 11 +- vpr/src/noc/channel_dependency_graph.h | 34 ++++ vpr/src/noc/noc_routing.h | 1 - vpr/src/noc/noc_routing_algorithm_creator.cpp | 7 + vpr/src/noc/noc_routing_algorithm_creator.h | 6 - vpr/src/noc/turn_model_routing.cpp | 24 ++- vpr/src/noc/turn_model_routing.h | 172 ++++++++++++++++-- vpr/src/noc/xy_routing.cpp | 2 - vpr/src/noc/xy_routing.h | 73 +------- vpr/src/place/initial_noc_placement.cpp | 3 +- vpr/src/place/initial_placement.cpp | 85 ++++++--- vpr/src/place/noc_place_utils.cpp | 45 ++--- vpr/src/place/noc_place_utils.h | 26 +-- 14 files changed, 329 insertions(+), 187 deletions(-) diff --git a/vpr/src/noc/bfs_routing.cpp b/vpr/src/noc/bfs_routing.cpp index d8203788d23..f25f755c1f5 100644 --- a/vpr/src/noc/bfs_routing.cpp +++ b/vpr/src/noc/bfs_routing.cpp @@ -1,4 +1,5 @@ -# + +#include #include "bfs_routing.h" @@ -19,7 +20,7 @@ void BFSRouting::route_flow(NocRouterId src_router_id, * Keeps track of which routers have been reached already * while traversing the NoC. This variable will help prevent * the algorithm from getting stuck visiting routers that - * jave already been visited. + * have already been visited. * */ std::unordered_set visited_routers; @@ -50,7 +51,7 @@ void BFSRouting::route_flow(NocRouterId src_router_id, } // Explore the NoC from the starting router and try to find a path to the destination router - // We finish searching when there are no more routers to process or we found the destination router + // We finish searching when there are no more routers to process, or we found the destination router while (!routers_to_process.empty() && !found_sink_router) { // get the next router to process NocRouterId processing_router = routers_to_process.front(); @@ -92,13 +93,17 @@ void BFSRouting::route_flow(NocRouterId src_router_id, generate_route(sink_router_id, flow_route, noc_model, router_parent_link); } else { // a path was not found so throw an error to the user - VPR_FATAL_ERROR(VPR_ERROR_OTHER, "No route could be found from starting router with id:'%d' and the destination router with id:'%d' using the breadth-first search routing algorithm.", src_router.get_router_user_id(), sink_router.get_router_user_id()); + VPR_FATAL_ERROR(VPR_ERROR_OTHER, + "No route could be found from starting router with id:'%d' and the destination router with id:'%d' using the breadth-first search routing algorithm.", + src_router.get_router_user_id(), + sink_router.get_router_user_id()); } - - return; } -void BFSRouting::generate_route(NocRouterId start_router_id, std::vector& flow_route, const NocStorage& noc_model, const std::unordered_map& router_parent_link) { +void BFSRouting::generate_route(NocRouterId start_router_id, + std::vector& flow_route, + const NocStorage& noc_model, + const std::unordered_map& router_parent_link) { // The intermediate router being visited while tracing the path back from the destination router to the starting router in the flow. // Initially this is set to the router at the end of the path (destination router) NocRouterId curr_intermediate_router = start_router_id; @@ -108,11 +113,11 @@ void BFSRouting::generate_route(NocRouterId start_router_id, std::vector::const_iterator curr_intermediate_router_parent_link = router_parent_link.find(curr_intermediate_router); + auto curr_intermediate_router_parent_link = router_parent_link.find(curr_intermediate_router); - // keep tracking baackwards from each router in the path until a router doesn't have a parent link (this means we reached the starting router in the flow) + // keep tracking backwards from each router in the path until a router doesn't have a parent link (this means we reached the starting router in the flow) while (curr_intermediate_router_parent_link != router_parent_link.end()) { - // add the parent link to the path. Since we are tracing backwards we need to store the links in fron of the last link. + // add the parent link to the path. Since we are tracing backwards we need to store the links in front of the last link. flow_route.emplace(route_beginning, curr_intermediate_router_parent_link->second); // update the reference to the beginning of the route @@ -123,6 +128,4 @@ void BFSRouting::generate_route(NocRouterId start_router_id, std::vector #include -#include #include "noc_routing.h" @@ -81,7 +81,10 @@ class BFSRouting : public NocRouting { * router in the NoC (parent link is the link used to visit the router during * the BFS routing algorithm). */ - void generate_route(NocRouterId sink_router_id, std::vector& flow_route, const NocStorage& noc_model, const std::unordered_map& router_parent_link); + void generate_route(NocRouterId sink_router_id, + std::vector& flow_route, + const NocStorage& noc_model, + const std::unordered_map& router_parent_link); }; #endif \ No newline at end of file diff --git a/vpr/src/noc/channel_dependency_graph.h b/vpr/src/noc/channel_dependency_graph.h index 5734618f7d4..455c6324e67 100644 --- a/vpr/src/noc/channel_dependency_graph.h +++ b/vpr/src/noc/channel_dependency_graph.h @@ -1,6 +1,27 @@ #ifndef VTR_CHANNEL_DEPENDENCY_GRAPH_H #define VTR_CHANNEL_DEPENDENCY_GRAPH_H +/** + * @file + * @brief This file declares the ChannelDependencyGraph class. + * + * Overview + * ======== + * The NoC routing algorithm might generate routes that cause a deadlock. + * The Channel Dependency Graph (CDG) is formed by associating a node with each + * link in the NoC topology. For each traffic flow route, we consider the + * consecutive links traversed to reach the destination. For each consecutively + * traversed link pair (Li, Lj) in the given topology, we add an directed edge from + * Vi to Vj, where Vi and Vj are nodes in CDG that are associated with Li and Lj. + * Absence of cycles in the formed CDG guarantees deadlock freedom. + * + * To learn more about channel dependency graph, refer to the following papers: + * 1) Glass, C. J., & Ni, L. M. (1992). The turn model for adaptive routing. + * ACM SIGARCH Computer Architecture News, 20(2), 278-287. + * 2) Dally, & Seitz. (1987). Deadlock-free message routing in multiprocessor + * interconnection networks. IEEE Transactions on computers, 100(5), 547-553. + */ + #include "vtr_vector.h" #include "noc_data_types.h" @@ -8,12 +29,25 @@ class ChannelDependencyGraph { public: ChannelDependencyGraph() = delete; + /** + * @brief Constructor + * + * @param n_links The total number of NoC links. + * @param traffic_flow_routes Generated traffic flow routes by the routing + * algorithm. + */ ChannelDependencyGraph(size_t n_links, const vtr::vector>& traffic_flow_routes); + /** + * @brief Checks whether CDG has any cycles. + * + * @return True if the CDG has any cycles, otherwise false is returned. + */ bool has_cycles(); private: + /** An adjacency list used to represent channel dependency graph.*/ vtr::vector> adjacency_list_; }; diff --git a/vpr/src/noc/noc_routing.h b/vpr/src/noc/noc_routing.h index 3f9af36e7b9..4804af6fa8c 100644 --- a/vpr/src/noc/noc_routing.h +++ b/vpr/src/noc/noc_routing.h @@ -24,7 +24,6 @@ #include #include "noc_data_types.h" -#include "noc_traffic_flows.h" #include "noc_storage.h" class NocRouting { diff --git a/vpr/src/noc/noc_routing_algorithm_creator.cpp b/vpr/src/noc/noc_routing_algorithm_creator.cpp index 2a7d2ec569a..b7125be1339 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.cpp +++ b/vpr/src/noc/noc_routing_algorithm_creator.cpp @@ -1,7 +1,14 @@ #include "noc_routing_algorithm_creator.h" +#include "xy_routing.h" +#include "bfs_routing.h" +#include "west_first_routing.h" +#include "north_last_routing.h" +#include "negative_first_routing.h" +#include "odd_even_routing.h" #include "vpr_error.h" + std::unique_ptr NocRoutingAlgorithmCreator::create_routing_algorithm(const std::string& routing_algorithm_name) { std::unique_ptr noc_routing_algorithm; diff --git a/vpr/src/noc/noc_routing_algorithm_creator.h b/vpr/src/noc/noc_routing_algorithm_creator.h index a784cd4ceea..cecd7ba39f6 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.h +++ b/vpr/src/noc/noc_routing_algorithm_creator.h @@ -21,12 +21,6 @@ #include #include "noc_routing.h" -#include "xy_routing.h" -#include "bfs_routing.h" -#include "west_first_routing.h" -#include "north_last_routing.h" -#include "negative_first_routing.h" -#include "odd_even_routing.h" class NocRoutingAlgorithmCreator { public: diff --git a/vpr/src/noc/turn_model_routing.cpp b/vpr/src/noc/turn_model_routing.cpp index 8cd9502b3c0..35c9789a135 100644 --- a/vpr/src/noc/turn_model_routing.cpp +++ b/vpr/src/noc/turn_model_routing.cpp @@ -1,3 +1,4 @@ + #include "turn_model_routing.h" TurnModelRouting::~TurnModelRouting() = default; @@ -87,9 +88,6 @@ NocLinkId TurnModelRouting::move_to_next_router(NocRouterId& curr_router_id, TurnModelRouting::Direction next_step_direction, std::unordered_set& visited_routers, const NocStorage& noc_model) { - // represents the router that will be visited when taking an outgoing link - NocRouterId next_router_id(-1); - // next link to be added to the route, initialized with INVALID auto next_link = NocLinkId(); @@ -108,7 +106,7 @@ NocLinkId TurnModelRouting::move_to_next_router(NocRouterId& curr_router_id, const NocLink& curr_outgoing_link = noc_model.get_single_noc_link(connecting_link); // get the next router that we will visit if we travel across the current link - next_router_id = curr_outgoing_link.get_sink_router(); + auto next_router_id = curr_outgoing_link.get_sink_router(); const NocRouter& next_router = noc_model.get_single_noc_router(next_router_id); // get the coordinates of the next router @@ -169,18 +167,17 @@ NocLinkId TurnModelRouting::move_to_next_router(NocRouterId& curr_router_id, return next_link; } -uint32_t TurnModelRouting::murmur_32_scramble(uint32_t k) { - k *= 0xcc9e2d51; - k = (k << 15) | (k >> 17); - k *= 0x1b873593; - return k; -} - uint32_t TurnModelRouting::murmur3_32(const std::vector& key, uint32_t seed) { uint32_t h = seed; - for (uint32_t k : key) { + auto murmur_32_scramble = [](uint32_t k) -> uint32_t { + k *= 0xcc9e2d51; + k = (k << 15) | (k >> 17); + k *= 0x1b873593; + return k; + }; + for (uint32_t k : key) { h ^= murmur_32_scramble(k); h = (h << 13) | (h >> 19); h = h * 5 + 0xe6546b64; @@ -224,7 +221,8 @@ TurnModelRouting::Direction TurnModelRouting::select_horizontal_direction(const return TurnModelRouting::Direction::INVALID; } -TurnModelRouting::Direction TurnModelRouting::select_direction_other_than(const std::vector& directions, TurnModelRouting::Direction other_than) { +TurnModelRouting::Direction TurnModelRouting::select_direction_other_than(const std::vector& directions, + TurnModelRouting::Direction other_than) { // Iterate over all given directions and return the first one which is not "other_than" for (const auto& direction : directions) { if (direction != other_than) { diff --git a/vpr/src/noc/turn_model_routing.h b/vpr/src/noc/turn_model_routing.h index 65bc599868b..2e8819a8c8f 100644 --- a/vpr/src/noc/turn_model_routing.h +++ b/vpr/src/noc/turn_model_routing.h @@ -1,14 +1,77 @@ #ifndef VTR_TURN_MODEL_ROUTING_H #define VTR_TURN_MODEL_ROUTING_H +/** + * @file + * @brief This file declares the TurnModelRouting class, which abstract all + * Turn Model routing algorithms. Classes implementing specific Turn Model + * algorithms are expected to inherit from this class and comply with its + * interface. + * + * Overview + * ======== + * The TurnModelRouting class abstract Turn Model routing algorithms. + * The main idea in Turn Model algorithm is to forbid specific turns + * for traffic flows based on the source, destination, and current NoC + * router locations in a mesh or torus topology. TurnModelRouting class + * exposes a shared interface for all Turn Model routing algorithms. + * Derived class can implement specific routing algorithms by implementing + * their override of the exposed interface. More specifically, + * get_legal_directions() method returns legal directions that a + * traffic flow can follow based on the source, destination, and current + * NoC routers are located. select_next_direction() selects one of these + * legal directions. TurnModelRouting() method does not implement these + * methods, but calls them in route_flow(). For example, XYRouting can be + * implemented by override these two methods. get_legal_directions() + * should return horizontal directions when the current router and the + * destination are not in the same column. When the traffic flow arrives + * a router located in the same column as the destination, + * get_legal_directions() should return vertical directions. + * select_next_direction() selects one of two available directions to get + * closer to the destination. + * + * TurnModelRouting also provides multiple helper methods that can be used + * by derived classes. + */ + #include "noc_routing.h" -#include class TurnModelRouting : public NocRouting { public: ~TurnModelRouting() override; - void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) override; + /** + * @brief Finds a minimal route that goes from the starting router in a + * traffic flow to the destination router. Uses one of Turn Model + * routing algorithms to determine the route. This method does not + * implement any routing algorithm itself. It only calls get_legal_directions() + * to find legal directions and select_next_direction() to select one of them. + * The routing algorithm is specified by a derived class implementing the + * mentioned methods. + * A route consists of a series of links that should be traversed when + * travelling between two routers within the NoC. + * + * @param src_router_id The source router of a traffic flow. Identifies + * the starting point of the route within the NoC. This represents a + * physical router on the FPGA. + * @param sink_router_id The destination router of a traffic flow. + * Identifies the ending point of the route within the NoC.This represents a + * physical router on the FPGA. + * @param traffic_flow_id The unique ID for the traffic flow being routed. + * @param flow_route Stores the path returned by this function + * as a series of NoC links found by + * a NoC routing algorithm between two routers in a traffic flow. + * The function will clear any + * previously stored path and re-insert the new found path between the + * two routers. + * @param noc_model A model of the NoC. This is used to traverse the + * NoC and find a route between the two routers. + */ + void route_flow(NocRouterId src_router_id, + NocRouterId sink_router_id, + NocTrafficFlowId traffic_flow_id, + std::vector& flow_route, + const NocStorage& noc_model) override; protected: /** @@ -24,34 +87,124 @@ class TurnModelRouting : public NocRouting { INVALID /*!< Invalid direction*/ }; - size_t get_hash_value(NocRouterId src_router_id, NocRouterId dst_router_id, NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id); + /** + * Generates a hash value for the combination of given arguments. + * @param src_router_id A unique ID identifying the source NoC router. + * @param dst_router_id A unique ID identifying the destination NoC router. + * @param curr_router_id A unique ID identifying the current NoC router. + * @param traffic_flow_id A unique ID identifying the traffic flow to be routed. + * @return The computed hash value. + */ + size_t get_hash_value(NocRouterId src_router_id, + NocRouterId dst_router_id, + NocRouterId curr_router_id, + NocTrafficFlowId traffic_flow_id); + /** + * @brief Returns the first vertical direction found among given directions. + * + * @param directions A list of directions. + * + * @return Direction The first vertical direction found or INVALID if there + * is no vertical direction among given directions. + */ TurnModelRouting::Direction select_vertical_direction(const std::vector& directions); + /** + * @brief Returns the first horizontal direction found among given directions. + * + * @param directions A list of directions. + * + * @return Direction The first horizontal direction found or INVALID if there + * is no horizontal direction among given directions. + */ TurnModelRouting::Direction select_horizontal_direction(const std::vector& directions); + /** + * @brief Returns the first direction among given direction + * that differs with "other_than" direction + * + * @param directions A list of directions. + * @param other_than Specifies the direction that should not be returned. + * + * @return Direction The first direction that is different than "other_than" + * or INVALID if only "other_than" was among possible choices. + */ TurnModelRouting::Direction select_direction_other_than(const std::vector& directions, TurnModelRouting::Direction other_than); private: + /** + * @brief Given the direction to travel next, this function determines + * the outgoing link that should be used to travel in the intended direction. + * Each router may have any number of outgoing links and each link is not + * guaranteed to point in the intended direction, So this function makes + * sure that the link chosen points in the intended direction. + * + * @param curr_router_id The physical router on the FPGA that the routing + * algorithm is currently visiting. + * @param curr_router_position The grid position of the router that is + * currently being visited on the FPGA + * @param next_step_direction The direction to travel next + * @param visited_routers Keeps track of which routers have been reached + * already while traversing the NoC. + * @param noc_model A model of the NoC. This is used to traverse the + * NoC and find a route between the two routers. + * @return NocLinkId A unique ID specifying the NoC link that should be + * traversed to move towards the given direction. If none of the current + * NoC router's links travel in the given direction, NoCLinkID::INVALID + * is returned. + */ NocLinkId move_to_next_router(NocRouterId& curr_router_id, const t_physical_tile_loc& curr_router_position, TurnModelRouting::Direction next_step_direction, std::unordered_set& visited_routers, const NocStorage& noc_model); - inline uint32_t murmur_32_scramble(uint32_t k); + /** + * @brief Computes MurmurHash3 for an array of 32-bit words initialized + * with seed. + * @param key Contains elements to be hashed + * @param seed The initialization value. + * @return uint32_t Computed hash value. + */ uint32_t murmur3_32(const std::vector& key, uint32_t seed); - template - inline void hash_combine(std::size_t& seed, T const& v); - + /** + * @brief Returns legal directions that the traffic flow can follow. + * The legal directions might be a subset of all directions to guarantee + * deadlock freedom. + * + * @param src_router_id A unique ID identifying the source NoC router. + * @param curr_router_id A unique ID identifying the current NoC router. + * @param dst_router_id A unique ID identifying the destination NoC router. + * @param noc_model A model of the NoC. This might be used by the derived class + * to determine the position of NoC routers. + * + * @return std::vector All legal directions that the + * a traffic flow can follow. + */ virtual const std::vector& get_legal_directions(NocRouterId src_router_id, NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) = 0; + /** + * @brief Selects a direction from legal directions. The traffic flow + * travels travels in that direction. + * + * @param legal_directions Legal directions that the traffic flow can follow. + * Legal directions are usually a subset of all possible directions to ensure + * deadlock freedom. + * @param src_router_id A unique ID identifying the source NoC router. + * @param dst_router_id A unique ID identifying the destination NoC router. + * @param curr_router_id A unique ID identifying the current NoC router. + * @param noc_model A model of the NoC. This might be used by the derived class + * to determine the position of NoC routers. + * + * @return Direction The direction to travel next + */ virtual TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, NocRouterId src_router_id, NocRouterId dst_router_id, @@ -70,9 +223,4 @@ class TurnModelRouting : public NocRouting { }; -template -void TurnModelRouting::hash_combine(std::size_t& seed, const T& v) { - seed ^= std::hash()(v) + 0x9e3779b9 + (seed<<6) + (seed>>2); -} - #endif //VTR_TURN_MODEL_ROUTING_H diff --git a/vpr/src/noc/xy_routing.cpp b/vpr/src/noc/xy_routing.cpp index 71af35bc4d6..31edef2b5b4 100644 --- a/vpr/src/noc/xy_routing.cpp +++ b/vpr/src/noc/xy_routing.cpp @@ -2,8 +2,6 @@ #include #include "xy_routing.h" -#include "globals.h" -#include "vpr_error.h" XYRouting::~XYRouting() = default; diff --git a/vpr/src/noc/xy_routing.h b/vpr/src/noc/xy_routing.h index 9f3aade835e..43da6be4881 100644 --- a/vpr/src/noc/xy_routing.h +++ b/vpr/src/noc/xy_routing.h @@ -78,8 +78,11 @@ * Mesh. This algorithm will work for other types of topologies but the * directional nature of the algorithm makes it ideal for mesh topologies. If * the algorithm fails to find a router then an error is thrown; this should - * only happen for non-mesh topologies. - * + * only happen for non-mesh topologies. If this algorithms is used for non-mesh + * topologies, it might be able to generate routes for all traffic flows, but + * the generated routes are not guaranteed to be deadlock free in a non-mesh + * topology. Im mesh and torus topologies, xy-routing algorithm is guaranteed + * to generate deadlock free routes. */ #include "turn_model_routing.h" @@ -89,32 +92,6 @@ class XYRouting : public TurnModelRouting { public: ~XYRouting() override; - /** - * @brief Finds a route that goes from the starting router in a - * traffic flow to the destination router. Uses the XY-routing - * algorithm to determine the route. A route consists of a series - * of links that should be traversed when travelling between two routers - * within the NoC. - * - * @param src_router_id The source router of a traffic flow. Identifies - * the starting point of the route within the NoC. This represents a - * physical router on the FPGA. - * @param sink_router_id The destination router of a traffic flow. - * Identifies the ending point of the route within the NoC.This represents a - * physical router on the FPGA. - * @param traffic_flow_id The unique ID for the traffic flow being routed. - * @param flow_route Stores the path returned by this function - * as a series of NoC links found by - * a NoC routing algorithm between two routers in a traffic flow. - * The function will clear any - * previously stored path and re-insert the new found path between the - * two routers. - * @param noc_model A model of the NoC. This is used to traverse the - * NoC and find a route between the two routers. - */ -// void route_flow(NocRouterId src_router_id, NocRouterId sink_router_id, NocTrafficFlowId traffic_flow_id, std::vector& flow_route, const NocStorage& noc_model) override; - - // internally used helper functions private: const std::vector& get_legal_directions(NocRouterId src_router_id, NocRouterId curr_router_id, @@ -127,47 +104,7 @@ class XYRouting : public TurnModelRouting { NocRouterId curr_router_id, NocTrafficFlowId traffic_flow_id, const NocStorage& noc_model) override; - /** - * @brief Based on the position of the current router the algorithm is - * visiting, this function determines the next direction to travel. - * - * @param sink_router_x_position The horizontal grid position of the - * destination router on the FPGA - * @param sink_router_y_position The vertical grid position of the - * destination router on the FPGA - * @param curr_router_x_position The horizontal grid position of the - * router that is currently being visited on the FPGA - * @param curr_router_y_position The vertical grid position of the router - * that is currently being visited on the FPGA - * @return RouteDirection The direction to travel next - */ -// RouteDirection get_direction_to_travel(int sink_router_x_position, int sink_router_y_position, int curr_router_x_position, int curr_router_y_position); - /** - * @brief Given the direction to travel next, this function determines - * the outgoing link that should be used to travel in the intended direction. - * Each router may have any number of outgoing links and each link is not - * guaranteed to point in the intended direction, So this function makes - * sure that the link chosen points in the intended direction. - * - * @param curr_router_id The physical router on the FPGA that the routing - * algorithm is currently visiting. - * @param curr_router_x_position The horizontal grid position of the - * router that is currently being visited on the FPGA - * @param curr_router_y_position he vertical grid position of the router - * that is currently being visited on the FPGA - * @param next_step_direction The direction to travel next - * @param flow_route Stores the path as a series of NoC links found by - * a NoC routing algorithm between two routers in a traffic flow. The - * NoC link found to travel next will be added to this path. - * @param visited_routers Keeps track of which routers have been reached - * already while traversing the NoC. - * @param noc_model A model of the NoC. This is used to traverse the - * NoC and find a route between the two routers. - * @return true A suitable link was found that we can traverse next - * @return false No suitable link was found that could be traversed - */ -// bool move_to_next_router(NocRouterId& curr_router_id, int curr_router_x_position, int curr_router_y_position, RouteDirection next_step_direction, std::vector& flow_route, std::unordered_set& visited_routers, const NocStorage& noc_model); private: const std::vector x_axis_directions {TurnModelRouting::Direction::LEFT, TurnModelRouting::Direction::RIGHT}; diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index b6ccd456c5d..1b26f2cbcc1 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -3,6 +3,7 @@ #include "initial_placement.h" #include "noc_place_utils.h" #include "noc_place_checkpoint.h" +#include "place_constraints.h" #include "vtr_math.h" /** @@ -280,7 +281,7 @@ void initial_noc_placement(const t_noc_opts& noc_opts, int seed) { bool has_cycle = noc_routing_has_cycle(); if (has_cycle) { VPR_FATAL_ERROR(VPR_ERROR_PLACE, - "At least one cycle was found in NoC channel dependency graph. This may cause a deadlock" + "At least one cycle was found in NoC channel dependency graph. This may cause a deadlock " "when packets wait on each other in a cycle.\n"); } } \ No newline at end of file diff --git a/vpr/src/place/initial_placement.cpp b/vpr/src/place/initial_placement.cpp index 3f6ffa62dff..f0bea05aec5 100644 --- a/vpr/src/place/initial_placement.cpp +++ b/vpr/src/place/initial_placement.cpp @@ -24,16 +24,16 @@ void print_clb_placement(const char* fname); #endif /// @brief Sentinel value for indicating that a block does not have a valid x location, used to check whether a block has been placed -constexpr int INVALID_X = -1; +static constexpr int INVALID_X = -1; // Number of iterations that initial placement tries to place all blocks before throwing an error -#define MAX_INIT_PLACE_ATTEMPTS 2 +static constexpr int MAX_INIT_PLACE_ATTEMPTS = 2; -// The amount of weight that will added to previous unplaced block scores to ensure that failed blocks would be placed earlier next iteration -#define SORT_WEIGHT_PER_FAILED_BLOCK 10 +// The amount of weight that will be added to previous unplaced block scores to ensure that failed blocks would be placed earlier next iteration +static constexpr int SORT_WEIGHT_PER_FAILED_BLOCK = 10; -// The amount of weight that will added to each tile which is outside of the floorplanning constraints -#define SORT_WEIGHT_PER_TILES_OUTSIDE_OF_PR 100 +// The amount of weight that will be added to each tile which is outside the floorplanning constraints +static constexpr int SORT_WEIGHT_PER_TILES_OUTSIDE_OF_PR = 100; /** * @brief Set chosen grid locations to EMPTY block id before each placement iteration @@ -67,7 +67,11 @@ static void clear_all_grid_locs(); * * @return true if macro was placed, false if not. */ -static bool place_macro(int macros_max_num_tries, const t_pl_macro& pl_macro, enum e_pad_loc_type pad_loc_type, std::vector* blk_types_empty_locs_in_grid, vtr::vector& block_scores); +static bool place_macro(int macros_max_num_tries, + const t_pl_macro& pl_macro, + enum e_pad_loc_type pad_loc_type, + std::vector* blk_types_empty_locs_in_grid, + vtr::vector& block_scores); /* * Assign scores to each block based on macro size and floorplanning constraints. @@ -107,7 +111,10 @@ static int get_blk_type_first_loc(t_pl_loc& loc, const t_pl_macro& pl_macro, std * @param blk_types_empty_locs_in_grid first location (lowest y) and number of remaining blocks in each column for the blk_id type * */ -static void update_blk_type_first_loc(int blk_type_column_index, t_logical_block_type_ptr block_type, const t_pl_macro& pl_macro, std::vector* blk_types_empty_locs_in_grid); +static void update_blk_type_first_loc(int blk_type_column_index, + t_logical_block_type_ptr block_type, + const t_pl_macro& pl_macro, + std::vector* blk_types_empty_locs_in_grid); /** * @brief Initializes empty locations of the grid with a specific block type into vector for dense initial placement @@ -131,8 +138,8 @@ static inline void fix_IO_block_types(const t_pl_macro& pl_macro, t_pl_loc loc, * @brief Determine whether a specific macro can be placed in a specific location. * * @param loc The location at which the macro head member is placed. - * @param pr The PartitionRegion of the macro head member - represents its floorplanning constraints, is the size of the whole chip if the macro is not - * constrained. + * @param pr The PartitionRegion of the macro head member - represents its floorplanning constraints, is the size of + * the whole chip if the macro is not constrained. * @param block_type Logical block type of the macro head member. * * @return True if the location is legal for the macro head member, false otherwise. @@ -172,7 +179,11 @@ static bool find_centroid_neighbor(t_pl_loc& centroid_loc, t_logical_block_type_ * * @return true if the macro gets placed, false if not. */ -static bool try_centroid_placement(const t_pl_macro& pl_macro, PartitionRegion& pr, t_logical_block_type_ptr block_type, enum e_pad_loc_type pad_loc_type, vtr::vector& block_scores); +static bool try_centroid_placement(const t_pl_macro& pl_macro, + PartitionRegion& pr, + t_logical_block_type_ptr block_type, + enum e_pad_loc_type pad_loc_type, + vtr::vector& block_scores); /** * @brief Looks for a valid placement location for macro in second iteration, tries to place as many macros as possible in one column @@ -187,7 +198,11 @@ static bool try_centroid_placement(const t_pl_macro& pl_macro, PartitionRegion& * * @return true if the macro gets placed, false if not. */ -static bool try_dense_placement(const t_pl_macro& pl_macro, PartitionRegion& pr, t_logical_block_type_ptr block_type, enum e_pad_loc_type pad_loc_type, std::vector* blk_types_empty_locs_in_grid); +static bool try_dense_placement(const t_pl_macro& pl_macro, + PartitionRegion& pr, + t_logical_block_type_ptr block_type, + enum e_pad_loc_type pad_loc_type, + std::vector* blk_types_empty_locs_in_grid); /** * @brief Tries for MAX_INIT_PLACE_ATTEMPTS times to place all blocks considering their floorplanning constraints and the device size @@ -195,7 +210,10 @@ static bool try_dense_placement(const t_pl_macro& pl_macro, PartitionRegion& pr, * @param pad_loc_type Used to check whether an io block needs to be marked as fixed. * @param constraints_file Used to read block locations if any constraints is available. */ -static void place_all_blocks(const t_placer_opts& placer_opts, vtr::vector& block_scores, enum e_pad_loc_type pad_loc_type, const char* constraints_file); +static void place_all_blocks(const t_placer_opts& placer_opts, + vtr::vector& block_scores, + enum e_pad_loc_type pad_loc_type, + const char* constraints_file); /** * @brief If any blocks remain unplaced after all initial placement iterations, this routine @@ -212,7 +230,11 @@ static void check_initial_placement_legality() { for (auto blk_id : cluster_ctx.clb_nlist.blocks()) { if (place_ctx.block_locs[blk_id].loc.x == INVALID_X) { - VTR_LOG("Block %s (# %d) of type %s could not be placed during initial placement iteration %d\n", cluster_ctx.clb_nlist.block_name(blk_id).c_str(), blk_id, cluster_ctx.clb_nlist.block_type(blk_id)->name, MAX_INIT_PLACE_ATTEMPTS - 1); + VTR_LOG("Block %s (# %d) of type %s could not be placed during initial placement iteration %d\n", + cluster_ctx.clb_nlist.block_name(blk_id).c_str(), + blk_id, + cluster_ctx.clb_nlist.block_type(blk_id)->name, + MAX_INIT_PLACE_ATTEMPTS - 1); unplaced_blocks++; } } @@ -475,7 +497,9 @@ static int get_y_loc_based_on_macro_direction(t_grid_empty_locs_block_type first return y; } -static void update_blk_type_first_loc(int blk_type_column_index, t_logical_block_type_ptr block_type, const t_pl_macro& pl_macro, std::vector* blk_types_empty_locs_in_grid) { +static void update_blk_type_first_loc(int blk_type_column_index, + t_logical_block_type_ptr block_type, + const t_pl_macro& pl_macro, std::vector* blk_types_empty_locs_in_grid) { //check if dense placement could place macro successfully if (blk_type_column_index == -1 || blk_types_empty_locs_in_grid->size() <= abs(blk_type_column_index)) { return; @@ -488,7 +512,9 @@ static void update_blk_type_first_loc(int blk_type_column_index, t_logical_block blk_types_empty_locs_in_grid->at(blk_type_column_index).num_of_empty_locs_in_y_axis -= pl_macro.members.size(); } -static int get_blk_type_first_loc(t_pl_loc& loc, const t_pl_macro& pl_macro, std::vector* blk_types_empty_locs_in_grid) { +static int get_blk_type_first_loc(t_pl_loc& loc, + const t_pl_macro& pl_macro, + std::vector* blk_types_empty_locs_in_grid) { //loop over all empty locations and choose first column that can accomodate macro blocks for (unsigned int empty_loc_index = 0; empty_loc_index < blk_types_empty_locs_in_grid->size(); empty_loc_index++) { auto first_empty_loc = blk_types_empty_locs_in_grid->at(empty_loc_index); @@ -498,7 +524,7 @@ static int get_blk_type_first_loc(t_pl_loc& loc, const t_pl_macro& pl_macro, std continue; } - //set the coordinate of first location that can accomodate macro blocks + //set the coordinate of first location that can accommodate macro blocks loc.x = first_empty_loc.first_avail_loc.x; loc.y = get_y_loc_based_on_macro_direction(first_empty_loc, pl_macro); loc.layer = first_empty_loc.first_avail_loc.layer; @@ -716,7 +742,11 @@ bool try_place_macro_exhaustively(const t_pl_macro& pl_macro, const PartitionReg return placed; } -static bool try_dense_placement(const t_pl_macro& pl_macro, PartitionRegion& pr, t_logical_block_type_ptr block_type, enum e_pad_loc_type pad_loc_type, std::vector* blk_types_empty_locs_in_grid) { +static bool try_dense_placement(const t_pl_macro& pl_macro, + PartitionRegion& pr, + t_logical_block_type_ptr block_type, + enum e_pad_loc_type pad_loc_type, + std::vector* blk_types_empty_locs_in_grid) { t_pl_loc loc; int column_index = get_blk_type_first_loc(loc, pl_macro, blk_types_empty_locs_in_grid); @@ -780,7 +810,11 @@ bool try_place_macro(const t_pl_macro& pl_macro, t_pl_loc head_pos) { return (macro_placed); } -static bool place_macro(int macros_max_num_tries, const t_pl_macro& pl_macro, enum e_pad_loc_type pad_loc_type, std::vector* blk_types_empty_locs_in_grid, vtr::vector& block_scores) { +static bool place_macro(int macros_max_num_tries, + const t_pl_macro& pl_macro, + enum e_pad_loc_type pad_loc_type, + std::vector* blk_types_empty_locs_in_grid, + vtr::vector& block_scores) { ClusterBlockId blk_id; blk_id = pl_macro.members[0].blk_index; VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\t\tHead of the macro is Block %d\n", size_t(blk_id)); @@ -895,11 +929,11 @@ static vtr::vector assign_block_scores() { return block_scores; } -#ifdef VTR_ENABLE_DEBUG_LOGGING -static void place_all_blocks(const t_placer_opts& placer_opts, vtr::vector& block_scores, enum e_pad_loc_type pad_loc_type, const char* constraints_file) { -#else -static void place_all_blocks(const t_placer_opts& /* placer_opts */, vtr::vector& block_scores, enum e_pad_loc_type pad_loc_type, const char* constraints_file) { -#endif + +static void place_all_blocks([[maybe_unused]] const t_placer_opts& placer_opts, + vtr::vector& block_scores, + enum e_pad_loc_type pad_loc_type, + const char* constraints_file) { auto& cluster_ctx = g_vpr_ctx.clustering(); auto& place_ctx = g_vpr_ctx.placement(); auto& device_ctx = g_vpr_ctx.device(); @@ -1112,7 +1146,8 @@ void initial_placement(const t_placer_opts& placer_opts, * as fixed so they do not get moved during initial placement or later during the simulated annealing stage of placement*/ mark_fixed_blocks(); - + + // read the constraint file and place fixed blocks if (strlen(constraints_file) != 0) { read_constraints(constraints_file); } diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index d099cd278c0..ac9fde26038 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -1,6 +1,19 @@ #include "noc_place_utils.h" +#include "globals.h" +#include "vtr_log.h" +#include "vtr_assert.h" +#include "vtr_random.h" + +#include "channel_dependency_graph.h" +#include "noc_routing_algorithm_creator.h" +#include "noc_routing.h" +#include "place_constraints.h" +#include "move_transactions.h" + +#include + /********************** Variables local to noc_place_utils.c pp***************************/ /* Proposed and actual cost of a noc traffic flow used for each move assessment */ static vtr::vector traffic_flow_costs, proposed_traffic_flow_costs; @@ -41,7 +54,7 @@ static bool select_random_router_cluster(ClusterBlockId& b_from, static std::vector find_affected_links_by_flow_reroute(std::vector& prev_links, std::vector& curr_links); -void initial_noc_routing(void) { +void initial_noc_routing() { // need to update the link usages within after routing all the traffic flows // also need to route all the traffic flows and store them auto& noc_ctx = g_vpr_ctx.mutable_noc(); @@ -62,8 +75,6 @@ void initial_noc_routing(void) { // update the links used in the found traffic flow route, links' bandwidth should be incremented since the traffic flow is routed update_traffic_flow_link_usage(curr_traffic_flow_route, noc_ctx.noc_model, 1, curr_traffic_flow.traffic_flow_bandwidth); } - - return; } void reinitialize_noc_routing(t_placer_costs& costs) { @@ -173,8 +184,6 @@ void commit_noc_costs() { // invalidate the proposed link congestion flow costs proposed_link_congestion_costs[link] = INVALID_NOC_COST_TERM; } - - return; } std::vector& route_traffic_flow(NocTrafficFlowId traffic_flow_id, @@ -214,8 +223,6 @@ void update_traffic_flow_link_usage(const std::vector& traffic_flow_r // check that the bandwidth never goes to negative VTR_ASSERT(curr_link.get_bandwidth_usage() >= 0.0); } - - return; } void re_route_associated_traffic_flows(ClusterBlockId moved_block_router_id, @@ -309,8 +316,6 @@ void re_route_traffic_flow(NocTrafficFlowId traffic_flow_id, // now get the re-routed traffic flow route and increment all the link usages with this reverted route std::vector& re_routed_traffic_flow_route = route_traffic_flow(traffic_flow_id, noc_model, noc_traffic_flows_storage, noc_flows_router); update_traffic_flow_link_usage(re_routed_traffic_flow_route, noc_model, 1, curr_traffic_flow.traffic_flow_bandwidth); - - return; } void recompute_noc_costs(NocCostTerms& new_cost) { @@ -330,8 +335,6 @@ void recompute_noc_costs(NocCostTerms& new_cost) { for (auto& link_id : noc_ctx.noc_model.get_noc_links()) { new_cost.congestion += link_congestion_costs[link_id]; } - - return; } void update_noc_normalization_factors(t_placer_costs& costs) { @@ -354,11 +357,9 @@ void update_noc_normalization_factors(t_placer_costs& costs) { } else { costs.noc_cost_norm_factors.congestion = MAX_INV_NOC_CONGESTION_COST; } - - return; } -double comp_noc_aggregate_bandwidth_cost(void) { +double comp_noc_aggregate_bandwidth_cost() { // used to get traffic flow route information auto& noc_ctx = g_vpr_ctx.noc(); // datastructure that stores all the traffic flow routes @@ -619,7 +620,7 @@ double calculate_noc_cost(const NocCostTerms& cost_terms, return cost; } -int get_number_of_traffic_flows_with_latency_cons_met(void) { +int get_number_of_traffic_flows_with_latency_cons_met() { // used to get traffic flow route information auto& noc_ctx = g_vpr_ctx.mutable_noc(); // datastructure that stores all the traffic flow routes @@ -653,7 +654,7 @@ int get_number_of_traffic_flows_with_latency_cons_met(void) { return count_of_achieved_latency_cons; } -int get_number_of_congested_noc_links(void) { +int get_number_of_congested_noc_links() { // get NoC links auto& noc_links = g_vpr_ctx.noc().noc_model.get_noc_links(); @@ -671,7 +672,7 @@ int get_number_of_congested_noc_links(void) { return num_congested_links; } -double get_total_congestion_bandwidth_ratio(void) { +double get_total_congestion_bandwidth_ratio() { // get NoC links auto& noc_links = g_vpr_ctx.noc().noc_model.get_noc_links(); @@ -702,7 +703,7 @@ std::vector get_top_n_congested_links(int n) { return std::vector{noc_links.begin(), noc_links.begin() + pick_n}; } -void allocate_and_load_noc_placement_structs(void) { +void allocate_and_load_noc_placement_structs() { auto& noc_ctx = g_vpr_ctx.noc(); int number_of_traffic_flows = noc_ctx.noc_traffic_flows_storage.get_number_of_traffic_flows(); @@ -714,11 +715,9 @@ void allocate_and_load_noc_placement_structs(void) { link_congestion_costs.resize(number_of_noc_links, INVALID_NOC_COST_TERM); proposed_link_congestion_costs.resize(number_of_noc_links, INVALID_NOC_COST_TERM); - - return; } -void free_noc_placement_structs(void) { +void free_noc_placement_structs() { vtr::release_memory(traffic_flow_costs); vtr::release_memory(proposed_traffic_flow_costs); vtr::release_memory(affected_traffic_flows); @@ -726,8 +725,6 @@ void free_noc_placement_structs(void) { vtr::release_memory(link_congestion_costs); vtr::release_memory(proposed_link_congestion_costs); vtr::release_memory(affected_noc_links); - - return; } /* Below are functions related to the feature that forces to the placer to swap router blocks for a certain percentage of the total number of swaps */ @@ -866,8 +863,6 @@ void write_noc_placement_file(const std::string& file_name) { // finished writing placement information so close the file noc_placement_file.close(); - - return; } bool noc_routing_has_cycle() { diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index 2a993961927..079cc5f001b 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -1,18 +1,8 @@ #ifndef NOC_PLACE_UTILS_H #define NOC_PLACE_UTILS_H -#include "globals.h" -#include "noc_routing.h" -#include "place_util.h" -#include "vtr_assert.h" -#include "move_transactions.h" -#include "vtr_log.h" -#include "noc_routing_algorithm_creator.h" -#include "channel_dependency_graph.h" #include "move_utils.h" -#include "vtr_random.h" -#include "place_constraints.h" -#include "fstream" +#include "place_util.h" // represent the maximum values of the NoC cost normalization factors // // we need to handle the case where the aggregate bandwidth is 0, so we set this to some arbitrary positive number that is greater than 1.e-9, since that is the range we expect the normalization factor to be (in Gbps) @@ -60,7 +50,7 @@ struct TrafficFlowPlaceCost { * routed. This is why this function should only be used once. * */ -void initial_noc_routing(void); +void initial_noc_routing(); /** * @brief Zeros out all link bandwidth usage an re-routes traffic flows. @@ -302,7 +292,7 @@ void update_noc_normalization_factors(t_placer_costs& costs); * * @return double The aggregate bandwidth cost of the NoC. */ -double comp_noc_aggregate_bandwidth_cost(void); +double comp_noc_aggregate_bandwidth_cost(); /** * @brief Calculates the latency cost of each traffic flow in the NoC @@ -435,7 +425,7 @@ double calculate_noc_cost(const NocCostTerms& cost_terms, * * @return The total number of traffic flows with latency constraints being met */ -int get_number_of_traffic_flows_with_latency_cons_met(void); +int get_number_of_traffic_flows_with_latency_cons_met(); /** * @brief Goes through all NoC links and counts the congested ones. @@ -444,7 +434,7 @@ int get_number_of_traffic_flows_with_latency_cons_met(void); * * @return The total number of congested NoC links. */ -int get_number_of_congested_noc_links(void); +int get_number_of_congested_noc_links(); /** * @brief Goes through all NoC links and determines whether they @@ -453,7 +443,7 @@ int get_number_of_congested_noc_links(void); * * @return The total congestion ratio */ -double get_total_congestion_bandwidth_ratio(void); +double get_total_congestion_bandwidth_ratio(); /** * @brief Goes through all NoC links and determines whether they @@ -482,7 +472,7 @@ std::vector get_top_n_congestion_ratios(int n); * This should be called before starting the simulated annealing placement. * */ -void allocate_and_load_noc_placement_structs(void); +void allocate_and_load_noc_placement_structs(); /** * @brief We delete the static datastructures which were created in @@ -490,7 +480,7 @@ void allocate_and_load_noc_placement_structs(void); * * This should be called after placement is finished. */ -void free_noc_placement_structs(void); +void free_noc_placement_structs(); /* Below are functions related to the feature that forces to the placer to swap router blocks for a certain percentage of the total number of swaps */ From d4ac787974f299a2544a37579b2d8b0da55006a9 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 4 Mar 2024 15:42:48 -0500 Subject: [PATCH 309/608] comment turn model algorithm header files --- vpr/src/noc/negative_first_routing.h | 46 +++++++++++++++++++++++++++ vpr/src/noc/north_last_routing.h | 47 ++++++++++++++++++++++++++++ vpr/src/noc/west_first_routing.h | 46 +++++++++++++++++++++++++++ 3 files changed, 139 insertions(+) diff --git a/vpr/src/noc/negative_first_routing.h b/vpr/src/noc/negative_first_routing.h index d55ebad109a..f4a7cb6e9a7 100644 --- a/vpr/src/noc/negative_first_routing.h +++ b/vpr/src/noc/negative_first_routing.h @@ -1,6 +1,20 @@ #ifndef VTR_NEGATIVE_FIRST_ROUTING_H #define VTR_NEGATIVE_FIRST_ROUTING_H +/** + * @file + * @brief This file declares the NegativeFirstRouting class, which implements + * the negative-first routing algorithm. + * + * Overview + * ======== + * The NegativeFirstRouting class performs packet routing between routers in the + * NoC using negative-first routing algorithm. In this algorithm, moving towards + * negative directions (west and south) has a higher priority. Once the traffic flow + * moves towards north or east, it is no longer allowed to take a turn that aligns + * the route towards south and west. + */ + #include "turn_model_routing.h" class NegativeFirstRouting : public TurnModelRouting { @@ -8,11 +22,43 @@ class NegativeFirstRouting : public TurnModelRouting { ~NegativeFirstRouting() override; private: + /** + * @brief Returns legal directions that the traffic flow can follow to + * generate a minimal route using negative-first algorithm. + * + * @param src_router_id A unique ID identifying the source NoC router. + * @param curr_router_id A unique ID identifying the current NoC router. + * @param dst_router_id A unique ID identifying the destination NoC router. + * @param noc_model A model of the NoC. This is used to determine the position + * of NoC routers. + * + * @return std::vector All legal directions that the + * a traffic flow can follow based on negative_first algorithm + */ const std::vector& get_legal_directions(NocRouterId src_router_id, NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) override; + /** + * @brief Selects a direction from legal directions. The traffic flow + * travels in the selected direction. When there are both horizontal and + * vertical directions available, this method selects one of the available + * directions randomly. The chance of horizontal and vertical directions + * are weighted by the horizontal and vertical distance between the + * current NoC router and the destination router. + * + * @param legal_directions Legal directions that the traffic flow can follow. + * Legal directions are usually a subset of all possible directions to ensure + * deadlock freedom. + * @param src_router_id A unique ID identifying the source NoC router. + * @param dst_router_id A unique ID identifying the destination NoC router. + * @param curr_router_id A unique ID identifying the current NoC router. + * @param noc_model A model of the NoC. This might be used by the derived class + * to determine the position of NoC routers. + * + * @return Direction The direction to travel next + */ TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, NocRouterId src_router_id, NocRouterId dst_router_id, diff --git a/vpr/src/noc/north_last_routing.h b/vpr/src/noc/north_last_routing.h index 5f643d9a1b0..e9e877e68b4 100644 --- a/vpr/src/noc/north_last_routing.h +++ b/vpr/src/noc/north_last_routing.h @@ -1,6 +1,21 @@ #ifndef VTR_NORTH_LAST_ROUTING_H #define VTR_NORTH_LAST_ROUTING_H +/** + * @file + * @brief This file declares the NorthLastRouting class, which implements + * the north-last routing algorithm. + * + * Overview + * ======== + * The NorthLastRouting class performs packet routing between routers in the + * NoC using north-last routing algorithm. In this algorithm, once the north + * direction is taken, no other direction can be taken. In other words, + * a traffic flow is no longer allowed to turn when it started moving + * towards the north. North-last algorithm generated deadlock free routes + * in a mesh or torus topology. + */ + #include "turn_model_routing.h" class NorthLastRouting : public TurnModelRouting { @@ -8,11 +23,43 @@ class NorthLastRouting : public TurnModelRouting { ~NorthLastRouting() override; private: + /** + * @brief Returns legal directions that the traffic flow can follow to + * generate a minimal route using north-last algorithm. + * + * @param src_router_id A unique ID identifying the source NoC router. + * @param curr_router_id A unique ID identifying the current NoC router. + * @param dst_router_id A unique ID identifying the destination NoC router. + * @param noc_model A model of the NoC. This is used to determine the position + * of NoC routers. + * + * @return std::vector All legal directions that the + * a traffic flow can follow based on north-last algorithm + */ const std::vector& get_legal_directions(NocRouterId src_router_id, NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) override; + /** + * @brief Selects a direction from legal directions. The traffic flow + * travels in that direction. When there are both horizontal and + * vertical directions available, this method selects one of the available + * directions randomly. The chance of horizontal and vertical directions + * are weighted by the horizontal and vertical distance between the + * current NoC router and the destination router. + * + * @param legal_directions Legal directions that the traffic flow can follow. + * Legal directions are usually a subset of all possible directions to ensure + * deadlock freedom. + * @param src_router_id A unique ID identifying the source NoC router. + * @param dst_router_id A unique ID identifying the destination NoC router. + * @param curr_router_id A unique ID identifying the current NoC router. + * @param noc_model A model of the NoC. This might be used by the derived class + * to determine the position of NoC routers. + * + * @return Direction The direction to travel next + */ TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, NocRouterId src_router_id, NocRouterId dst_router_id, diff --git a/vpr/src/noc/west_first_routing.h b/vpr/src/noc/west_first_routing.h index 2d01fecbfee..a88e90ea7ac 100644 --- a/vpr/src/noc/west_first_routing.h +++ b/vpr/src/noc/west_first_routing.h @@ -1,6 +1,20 @@ #ifndef VTR_WEST_FIRST_ROUTING_H #define VTR_WEST_FIRST_ROUTING_H +/** + * @file + * @brief This file declares the WestFirstRouting class, which implements + * the west-first routing algorithm. + * + * Overview + * ======== + * The WestFirstRouting class performs packet routing between routers in the + * NoC using west-first routing algorithm. In this algorithm, moving towards + * west has a higher priority than other directions. When the traffic flow + * moves in directions other than west, it is no longer allowed to move + * in towards west. + */ + #include "turn_model_routing.h" class WestFirstRouting : public TurnModelRouting { @@ -8,11 +22,43 @@ class WestFirstRouting : public TurnModelRouting { ~WestFirstRouting() override; private: + /** + * @brief Returns legal directions that the traffic flow can follow to + * generate a minimal route using west-first algorithm. + * + * @param src_router_id A unique ID identifying the source NoC router. + * @param curr_router_id A unique ID identifying the current NoC router. + * @param dst_router_id A unique ID identifying the destination NoC router. + * @param noc_model A model of the NoC. This is used to determine the position + * of NoC routers. + * + * @return std::vector All legal directions that the + * a traffic flow can follow based on west-first algorithm + */ const std::vector& get_legal_directions(NocRouterId src_router_id, NocRouterId curr_router_id, NocRouterId dst_router_id, const NocStorage& noc_model) override; + /** + * @brief Selects a direction from legal directions. The traffic flow + * travels in that direction. When there are both horizontal and + * vertical directions available, this method selects one of the available + * directions randomly. The chance of horizontal and vertical directions + * are weighted by the horizontal and vertical distance between the + * current NoC router and the destination router. + * + * @param legal_directions Legal directions that the traffic flow can follow. + * Legal directions are usually a subset of all possible directions to ensure + * deadlock freedom. + * @param src_router_id A unique ID identifying the source NoC router. + * @param dst_router_id A unique ID identifying the destination NoC router. + * @param curr_router_id A unique ID identifying the current NoC router. + * @param noc_model A model of the NoC. This might be used by the derived class + * to determine the position of NoC routers. + * + * @return Direction The direction to travel next + */ TurnModelRouting::Direction select_next_direction(const std::vector& legal_directions, NocRouterId src_router_id, NocRouterId dst_router_id, From 75bb14524b0a5ae478d8fe2af4bdc7313292b07c Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 4 Mar 2024 16:17:53 -0500 Subject: [PATCH 310/608] fix aggregate bandwidth weighting factor command line option --- vpr/src/base/SetupVPR.cpp | 2 -- vpr/src/base/ShowSetup.cpp | 3 ++- vpr/src/base/read_options.cpp | 9 ++++++--- 3 files changed, 8 insertions(+), 6 deletions(-) diff --git a/vpr/src/base/SetupVPR.cpp b/vpr/src/base/SetupVPR.cpp index 048b6d5b55d..b431d26feae 100644 --- a/vpr/src/base/SetupVPR.cpp +++ b/vpr/src/base/SetupVPR.cpp @@ -741,8 +741,6 @@ static void SetupNocOpts(const t_options& Options, t_noc_opts* NocOpts) { NocOpts->noc_congestion_weighting = Options.noc_congestion_weighting; NocOpts->noc_swap_percentage = Options.noc_swap_percentage; NocOpts->noc_placement_file_name = Options.noc_placement_file_name; - - return; } static void find_ipin_cblock_switch_index(const t_arch& Arch, int& wire_to_arch_ipin_switch, int& wire_to_arch_ipin_switch_between_dice) { diff --git a/vpr/src/base/ShowSetup.cpp b/vpr/src/base/ShowSetup.cpp index fbb574a8e9b..9a35246287a 100644 --- a/vpr/src/base/ShowSetup.cpp +++ b/vpr/src/base/ShowSetup.cpp @@ -608,7 +608,7 @@ static void ShowPlacerOpts(const t_placer_opts& PlacerOpts, } VTR_LOG("PlacerOpts.constraints_file: "); - if (PlacerOpts.constraints_file == "") { + if (PlacerOpts.constraints_file.empty()) { VTR_LOG("No constraints file given\n"); } else { VTR_LOG("Using constraints file '%s'\n", PlacerOpts.constraints_file.c_str()); @@ -795,6 +795,7 @@ static void ShowNocOpts(const t_noc_opts& NocOpts) { VTR_LOG("NocOpts.noc_flows_file: %s\n", NocOpts.noc_flows_file.c_str()); VTR_LOG("NocOpts.noc_routing_algorithm: %s\n", NocOpts.noc_routing_algorithm.c_str()); VTR_LOG("NocOpts.noc_placement_weighting: %f\n", NocOpts.noc_placement_weighting); + VTR_LOG("NocOpts.noc_aggregate_bandwidth_weighting: %f\n", NocOpts.noc_aggregate_bandwidth_weighting); VTR_LOG("NocOpts.noc_latency_constraints_weighting: %f\n", NocOpts.noc_latency_constraints_weighting); VTR_LOG("NocOpts.noc_latency_weighting: %f\n", NocOpts.noc_latency_weighting); VTR_LOG("NocOpts.noc_congestion_weighting: %f\n", NocOpts.noc_congestion_weighting); diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 442963f9227..855bb97b713 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2823,10 +2823,13 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg .default_value("5.0") .show_in(argparse::ShowIn::HELP_ONLY); - noc_grp.add_argument(args.noc_latency_constraints_weighting, "--noc_aggregate_bandwidth_weighting") + noc_grp.add_argument(args.noc_agg_bandwidth_weighting, "--noc_aggregate_bandwidth_weighting") .help( - "Controls the importance of meeting all the NoC traffic flow latency constraints." - "This value can be >=0, where 0 would mean the latency constraints have no relevance to placement, a value of 1 would mean the latency constraints are weighted equally to the sum of other placement cost components and a value greater than 1 would mean the placement is increasingly dominated by meeting the latency constraints of the traffic flows.") + "Controls the importance of minimizing the NoC aggregate bandwidth.\n" + "This value can be >=0, where 0 would mean the aggregate bandwidth has no relevance to placement.\n" + "Other positive numbers specify the importance of minimizing the NoC aggregate bandwidth to other NoC-related cost terms.\n" + "Weighting factors for NoC-related cost terms are normalized internally. Therefore, their absolute values are not important, and" + "only their relative ratios determine the importance of each cost term.") .default_value("0.38") .show_in(argparse::ShowIn::HELP_ONLY); From 77ce5f5df74745a29a031ad2edf2a1a5b8c9d061 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 5 Mar 2024 18:43:05 -0500 Subject: [PATCH 311/608] increase the number of iteration in initial noc palcement --- vpr/src/place/initial_noc_placement.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 1b26f2cbcc1..e13d278af68 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -182,7 +182,7 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { // the constant factor above 35000. // Get all the router clusters and figure out how many of them exist const int num_router_clusters = noc_ctx.noc_traffic_flows_storage.get_router_clusters_in_netlist().size(); - const int N_MOVES_PER_ROUTER = 35000; + const int N_MOVES_PER_ROUTER = 50000; const int N_MOVES = num_router_clusters * N_MOVES_PER_ROUTER; const double starting_prob = 0.5; From 345647385b974c76a1af9f331f1a4e5bfd96263b Mon Sep 17 00:00:00 2001 From: Joshua Fife Date: Thu, 7 Mar 2024 01:13:54 -0700 Subject: [PATCH 312/608] fixed div by zero --- vpr/src/route/rr_graph.cpp | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/vpr/src/route/rr_graph.cpp b/vpr/src/route/rr_graph.cpp index ee879fea226..918c4c9a429 100644 --- a/vpr/src/route/rr_graph.cpp +++ b/vpr/src/route/rr_graph.cpp @@ -3723,6 +3723,11 @@ static void load_uniform_connection_block_pattern(vtr::NdMatrix& tracks_ /* Bi-directional treats each track separately, uni-directional works with pairs of tracks */ for (int j = 0; j < (Fc / group_size); ++j) { int max_chan_width = (((side == TOP) || (side == BOTTOM)) ? x_chan_width : y_chan_width); + + // if the number of tracks we can assign is zero break from the loop + if (max_chan_width == 0) { + break; + } float step_size = (float)max_chan_width / (float)(Fc * num_phys_pins); VTR_ASSERT(Fc > 0); From 4851fbf32fa2e43f3cf15c35743e5ed3504a6ea0 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 15 Mar 2024 13:04:13 -0400 Subject: [PATCH 313/608] upload log files for S: Basic --- .github/workflows/test.yml | 11 +++++++++++ 1 file changed, 11 insertions(+) diff --git a/.github/workflows/test.yml b/.github/workflows/test.yml index 805e9d673ef..37a3670e468 100644 --- a/.github/workflows/test.yml +++ b/.github/workflows/test.yml @@ -303,6 +303,17 @@ jobs: # We skip QoR since we are only checking for errors in sanitizer runs ./run_reg_test.py ${{ matrix.suite }} -show_failures -j2 -skip_qor + - name: Upload regression run files + uses: actions/upload-artifact@v3 + with: + name: ${{matrix.name}}_run_files + path: | + vtr_flow/**/*.out + vtr_flow/**/*.blif + vtr_flow/**/*.p + vtr_flow/**/*.net + vtr_flow/**/*.r + Parmys: name: 'Parmys Basic Test' From 1541a6478101e6dda18c213e76eee305c70bd6dc Mon Sep 17 00:00:00 2001 From: vaughnbetz Date: Fri, 15 Mar 2024 14:09:03 -0400 Subject: [PATCH 314/608] Update stratix10_arch.xml --- vtr_flow/arch/COFFE_22nm/stratix10_arch.xml | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/vtr_flow/arch/COFFE_22nm/stratix10_arch.xml b/vtr_flow/arch/COFFE_22nm/stratix10_arch.xml index 4a86d747e52..946c2bd7a65 100644 --- a/vtr_flow/arch/COFFE_22nm/stratix10_arch.xml +++ b/vtr_flow/arch/COFFE_22nm/stratix10_arch.xml @@ -1,5 +1,7 @@ + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_4_noc_2way_ring.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_4_noc_2way_ring.flows index e8a4b27cba8..3e000e5f926 100644 --- a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_4_noc_2way_ring.flows +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_4_noc_2way_ring.flows @@ -1,5 +1,14 @@ + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows index 3148cee4997..84fc135949f 100644 --- a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_bucket_sort.flows @@ -1,5 +1,23 @@ + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.flows index a00c1b5718c..22e14e2ff42 100644 --- a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.flows +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_gaussian_elimination.flows @@ -1,5 +1,27 @@ + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.flows index 35f3d5a90f6..bd4a5453a2c 100644 --- a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.flows +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_genome_seq.flows @@ -1,5 +1,29 @@ + + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.flows index 818814e14ba..b832038aad0 100644 --- a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.flows +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_64_noc_page_rank.flows @@ -1,5 +1,24 @@ + + diff --git a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_8_noc_2way_ring.flows b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_8_noc_2way_ring.flows index 6b1bd4e871c..0eb563ba369 100644 --- a/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_8_noc_2way_ring.flows +++ b/vtr_flow/benchmarks/noc/Synthetic_Designs/congestion_traffic_flow_files/complex_8_noc_2way_ring.flows @@ -1,5 +1,14 @@ + + From 51525cf5a97cdec8ad9a59855ae1d2c49ce6c679 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 17:14:35 +0300 Subject: [PATCH 376/608] using stream.eof() in tryConvertToInt --- vpr/src/server/convertutils.cpp | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/vpr/src/server/convertutils.cpp b/vpr/src/server/convertutils.cpp index be73c4590e3..3aa1b9214fa 100644 --- a/vpr/src/server/convertutils.cpp +++ b/vpr/src/server/convertutils.cpp @@ -9,9 +9,7 @@ std::optional tryConvertToInt(const std::string& str) std::istringstream iss(str); int intValue; if (iss >> intValue) { - // Check if there are no any characters left in the stream - char remaining; - if (!(iss >> remaining)) { + if (iss.eof()) { result = intValue; } } From 6f6c7fd68cba264bebda63ca8413999088df0b91 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 17:15:10 +0300 Subject: [PATCH 377/608] constructor TelegramBuffer(int) is explicit --- vpr/src/server/telegrambuffer.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/server/telegrambuffer.h b/vpr/src/server/telegrambuffer.h index 61bd7149acf..6dde1873712 100644 --- a/vpr/src/server/telegrambuffer.h +++ b/vpr/src/server/telegrambuffer.h @@ -22,7 +22,7 @@ class TelegramBuffer public: TelegramBuffer(): m_rawBuffer(DEFAULT_SIZE_HINT) {} - TelegramBuffer(std::size_t sizeHint): m_rawBuffer(sizeHint) {} + explicit TelegramBuffer(std::size_t sizeHint): m_rawBuffer(sizeHint) {} ~TelegramBuffer()=default; bool empty() { return m_rawBuffer.empty(); } From ff4be46a71122169d74cdb6ee23969a437a40c23 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 17:15:27 +0300 Subject: [PATCH 378/608] TelegramOptions::isDataTypeSupported is const --- vpr/src/server/telegramoptions.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/server/telegramoptions.h b/vpr/src/server/telegramoptions.h index 0f70cb814e3..7921bdbff7e 100644 --- a/vpr/src/server/telegramoptions.h +++ b/vpr/src/server/telegramoptions.h @@ -140,7 +140,7 @@ class TelegramOptions { return tokens; } - bool isDataTypeSupported(const std::string& type) { + bool isDataTypeSupported(const std::string& type) const { static const std::set supportedTypes{"int", "string", "bool"}; return supportedTypes.count(type) != 0; } From d3c5180513bce57fdfb76d100fca5a1b8087fc92 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 20:25:10 +0300 Subject: [PATCH 379/608] tryConvertToInt uses vtr::atoi --- vpr/src/server/convertutils.cpp | 16 +++++++--------- vpr/src/server/telegramoptions.h | 8 ++++++-- 2 files changed, 13 insertions(+), 11 deletions(-) diff --git a/vpr/src/server/convertutils.cpp b/vpr/src/server/convertutils.cpp index 3aa1b9214fa..ab34d615914 100644 --- a/vpr/src/server/convertutils.cpp +++ b/vpr/src/server/convertutils.cpp @@ -2,18 +2,16 @@ #include #include +#include "vtr_util.h" +#include "vtr_error.h" + std::optional tryConvertToInt(const std::string& str) { - std::optional result; - - std::istringstream iss(str); - int intValue; - if (iss >> intValue) { - if (iss.eof()) { - result = intValue; - } + try { + return vtr::atoi(str); + } catch (const vtr::VtrError&) { + return std::nullopt; } - return result; } namespace { diff --git a/vpr/src/server/telegramoptions.h b/vpr/src/server/telegramoptions.h index 7921bdbff7e..dac7e0b5548 100644 --- a/vpr/src/server/telegramoptions.h +++ b/vpr/src/server/telegramoptions.h @@ -72,13 +72,17 @@ class TelegramOptions { std::string pathElementIndexesStr = pathStruct[1]; std::vector pathElementIndexes = splitString(pathElementIndexesStr, ','); std::set elements; - for (const std::string& pathElementIndex: pathElementIndexes) { - if (std::optional optValue = tryConvertToInt(pathElementIndex)) { + for (const std::string& pathElementIndexStr: pathElementIndexes) { + if (std::optional optValue = tryConvertToInt(pathElementIndexStr)) { elements.insert(optValue.value()); + } else { + m_errors.emplace_back("cannot extract path element index from " + pathElementIndexStr); } } if (std::optional optPathIndex = tryConvertToInt(pathIndexStr)) { result[optPathIndex.value()] = elements; + } else { + m_errors.emplace_back("cannot extract path index from " + pathIndexStr); } } else { m_errors.emplace_back("wrong path data structure = " + path); From 6cab9c4cc470a311cc82e119316222f1e148049e Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 21:13:48 +0300 Subject: [PATCH 380/608] gtkcomboboxhelper.cpp and .h, update documentation, replace anonymous namespace with static function --- vpr/src/draw/gtkcomboboxhelper.cpp | 23 +++++++++++++++-------- vpr/src/draw/gtkcomboboxhelper.h | 10 ++++++++-- vpr/src/server/taskresolver.cpp | 2 +- 3 files changed, 24 insertions(+), 11 deletions(-) diff --git a/vpr/src/draw/gtkcomboboxhelper.cpp b/vpr/src/draw/gtkcomboboxhelper.cpp index a96d4b93347..f07d0eae183 100644 --- a/vpr/src/draw/gtkcomboboxhelper.cpp +++ b/vpr/src/draw/gtkcomboboxhelper.cpp @@ -1,12 +1,15 @@ #include "gtkcomboboxhelper.h" #include -namespace { - /** - * @brief Helper function to retrieve the count of items in a GTK combobox. + * @brief Get the number of items in the combo box. + * + * This function returns the number of items currently present in the combo box. + * + * @param combo_box A pointer to the combo box widget. + * @return The number of items in the combo box. */ -gint get_items_count(gpointer combo_box) { +static gint get_items_count(gpointer combo_box) { GtkComboBoxText* combo = GTK_COMBO_BOX_TEXT(combo_box); // Get the model of the combo box @@ -17,11 +20,15 @@ gint get_items_count(gpointer combo_box) { return count; } -} // namespace - /** - * @brief Helper function to retrieve the index of an item by its text. - * Returns -1 if the item with the specified text is absent. + * @brief Get the index of an item in a combo box by its text. + * + * This function searches for an item with the specified text in the combo box + * and returns its index if found. + * + * @param combo_box A pointer to the combo box widget. + * @param target_item The text of the item to search for. + * @return The index of the item if found, or -1 if not found. */ gint get_item_index_by_text(gpointer combo_box, const gchar* target_item) { gint result_index = -1; diff --git a/vpr/src/draw/gtkcomboboxhelper.h b/vpr/src/draw/gtkcomboboxhelper.h index 24da5c66b7d..0de1aca3361 100644 --- a/vpr/src/draw/gtkcomboboxhelper.h +++ b/vpr/src/draw/gtkcomboboxhelper.h @@ -4,8 +4,14 @@ #include /** - * @brief Helper function to retrieve the index of an item by its text. - * Returns -1 if the item with the specified text is absent. + * @brief Get the index of an item in a combo box by its text. + * + * This function searches for an item with the specified text in the combo box + * and returns its index if found. + * + * @param combo_box A pointer to the combo box widget. + * @param target_item The text of the item to search for. + * @return The index of the item if found, or -1 if not found. */ gint get_item_index_by_text(gpointer combo_box, const gchar* target_item); diff --git a/vpr/src/server/taskresolver.cpp b/vpr/src/server/taskresolver.cpp index 91fb5c2a8c7..937a8d508d3 100644 --- a/vpr/src/server/taskresolver.cpp +++ b/vpr/src/server/taskresolver.cpp @@ -17,7 +17,7 @@ void TaskResolver::addTask(TaskPtr& newTask) for (const auto& task: m_tasks) { if (task->cmd() == newTask->cmd()) { if (task->optionsMatch(newTask)) { - std::string msg = "similar task is already in execution, reject new " + newTask->info()+ " and waiting for old " + task->info() + " execution"; + std::string msg = "similar task is already in execution, reject new " + newTask->info() + " and waiting for old " + task->info() + " execution"; newTask->fail(msg); } else { // handle case when task has same cmd but different options From 62cc12ae68ccd7687dc230bd2104871e8d150da5 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 21:19:37 +0300 Subject: [PATCH 381/608] GateIO add deletion constructors --- vpr/src/server/gateio.h | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) diff --git a/vpr/src/server/gateio.h b/vpr/src/server/gateio.h index 1f208182851..cb741d81504 100644 --- a/vpr/src/server/gateio.h +++ b/vpr/src/server/gateio.h @@ -112,9 +112,15 @@ class GateIO }; public: - explicit GateIO(); + GateIO(); ~GateIO(); + GateIO(const GateIO&) = delete; + GateIO& operator=(const GateIO&) = delete; + + GateIO(GateIO&&) = delete; + GateIO& operator=(GateIO&&) = delete; + const int LOOP_INTERVAL_MS = 100; bool isRunning() const { return m_isRunning.load(); } From 07d4fa33d418f21ae329838929531a86ae1fa457 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 21:55:51 +0300 Subject: [PATCH 382/608] add doc for public methods of gateio, improve GateIO::takeReceivedTasks and GateIO::moveTasksToSendQueue --- vpr/src/server/gateio.cpp | 15 +++++------- vpr/src/server/gateio.h | 51 ++++++++++++++++++++++++++++++++++++--- 2 files changed, 53 insertions(+), 13 deletions(-) diff --git a/vpr/src/server/gateio.cpp b/vpr/src/server/gateio.cpp index 62f761d0737..7259ab2b038 100644 --- a/vpr/src/server/gateio.cpp +++ b/vpr/src/server/gateio.cpp @@ -43,24 +43,21 @@ void GateIO::stop() void GateIO::takeReceivedTasks(std::vector& tasks) { std::unique_lock lock(m_tasksMutex); - if (!m_receivedTasks.empty()) { - m_logger.queue(LogLevel::Debug, "take", m_receivedTasks.size(), "num of received tasks"); + for (TaskPtr& task: m_receivedTasks) { + m_logger.queue(LogLevel::Debug, "move task id=", task->jobId(), "for processing"); + tasks.push_back(std::move(task)); } - std::swap(tasks, m_receivedTasks); + m_receivedTasks.clear(); } void GateIO::moveTasksToSendQueue(std::vector& tasks) { std::unique_lock lock(m_tasksMutex); for (TaskPtr& task: tasks) { - if (task->hasError()) { - m_logger.queue(LogLevel::Debug, "task id=", task->jobId(), "finished with error", task->error(), "moving it to send queue"); - } else { - m_logger.queue(LogLevel::Debug, "task id=", task->jobId(), "finished with success, moving it to send queue"); - } - + m_logger.queue(LogLevel::Debug, "move task id=", task->jobId(), "finished", (task->hasError()? "with error": "succesfully"), task->error(), "to send queue"); m_sendTasks.push_back(std::move(task)); } + tasks.clear(); } void GateIO::startListening() diff --git a/vpr/src/server/gateio.h b/vpr/src/server/gateio.h index cb741d81504..f2f97ff265a 100644 --- a/vpr/src/server/gateio.h +++ b/vpr/src/server/gateio.h @@ -111,6 +111,8 @@ class GateIO std::atomic m_logLevel; }; + const int LOOP_INTERVAL_MS = 100; + public: GateIO(); ~GateIO(); @@ -121,16 +123,57 @@ class GateIO GateIO(GateIO&&) = delete; GateIO& operator=(GateIO&&) = delete; - const int LOOP_INTERVAL_MS = 100; - + // Check if the port listening process is currently running bool isRunning() const { return m_isRunning.load(); } + /** + * @brief Transfers ownership of received tasks to the caller. + * + * This method moves all received tasks from the internal storage to the provided vector. + * After calling this method, the internal list of received tasks will be cleared. + * + * @param tasks A reference to a vector where the received tasks will be moved. + */ void takeReceivedTasks(std::vector&); - void moveTasksToSendQueue(std::vector&); - void printLogs(); // called from main thread + /** + * @brief Moves tasks to the send queue. + * + * This method moves the tasks to the send queue. + * Each task is moved from the input vector to the send queue, and the input vector + * remains empty after the operation. + * + * @param tasks A reference to a vector containing the tasks to be moved to the send queue. + */ + void moveTasksToSendQueue(std::vector&); + /** + * @brief Prints log messages for the GateIO. + * + * @note Must be called from main thread since it's invoke std::cout. + * Calling this method from other threads may result in unexpected behavior. + */ + void printLogs(); + + /** + * @brief Starts the server on the specified port number. + * + * This method starts the server to listen for incoming connections on the specified port number. + * Once started,the server will continue running in a separate thread and will accept connection only from a single client + * attempting to connect to the specified port. + * + * @param portNum The port number on which the server will listen for incoming connection. + */ void start(int portNum); + + /** + * @brief Stops the server and terminates the listening thread. + * + * This method stops the server and terminates the listening thread. After calling this method, + * the server will no longer accept incoming connections and the listening thread will be terminated. + * + * @note This method should be called when the server needs to be shut down gracefully. + */ void stop(); private: From b5d3994ad59549d7b0b07ea52f96989d9e8d0a34 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 21:59:14 +0300 Subject: [PATCH 383/608] add comment to gateio.h about tasksMutex --- vpr/src/server/gateio.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/server/gateio.h b/vpr/src/server/gateio.h index f2f97ff265a..e1da45423fa 100644 --- a/vpr/src/server/gateio.h +++ b/vpr/src/server/gateio.h @@ -183,7 +183,7 @@ class GateIO std::thread m_thread; // thread to execute socket IO work - std::mutex m_tasksMutex; + std::mutex m_tasksMutex; // we used single mutex to guard both vectors m_receivedTasks and m_sendTasks std::vector m_receivedTasks; // tasks from client (requests) std::vector m_sendTasks; // tasks to client (responses) From ab867d30f5645817c53d77081e46225fe4efa033 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 22:09:10 +0300 Subject: [PATCH 384/608] GateIO information about usage from main thread is added --- vpr/src/server/gateio.h | 21 ++++++++++++--------- 1 file changed, 12 insertions(+), 9 deletions(-) diff --git a/vpr/src/server/gateio.h b/vpr/src/server/gateio.h index e1da45423fa..7ec977b914a 100644 --- a/vpr/src/server/gateio.h +++ b/vpr/src/server/gateio.h @@ -16,16 +16,19 @@ namespace server { /** * @brief Implements the socket communication layer with the outside world. - * Operable only with a single client. As soon as client connection is detected - * it begins listening on the specified port number for incoming client requests, - * collects and encapsulates them into tasks. - * The incoming tasks are extracted and handled by the top-level logic (TaskResolver). - * Once the tasks are resolved by the TaskResolver, they are returned - * to be sent back to the client as a response. * - * Note: - * - gateio is not started automatically upon creation; you have to use the 'start' method with the port number. - * - The gateio runs in a separate thread to ensure smooth IO behavior. + * Operable only with a single client. As soon as client connection is detected + * it begins listening on the specified port number for incoming client requests, + * collects and encapsulates them into tasks. + * The incoming tasks are extracted and handled by the top-level logic (TaskResolver). + * Once the tasks are resolved by the TaskResolver, they are returned + * to be sent back to the client as a response. + * + * @note: + * - The GateIO instance should be created and managed from the main thread, while its internal processing + * and IO operations are performed asynchronously in a separate thread. This separation ensures smooth IO behavior + * and responsiveness of the application. + * - Gateio is not started automatically upon creation, you have to use the 'start' method with the port number. * - The socket is initialized in a non-blocking mode to function properly in a multithreaded environment. */ class GateIO From bed8f4b0666d1957466e0595909505cab9b715b4 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 22:58:25 +0300 Subject: [PATCH 385/608] move TelegramOptions definitions to a .cpp file --- vpr/src/server/telegramoptions.cpp | 134 +++++++++++++++++++++++++++++ vpr/src/server/telegramoptions.h | 132 +++------------------------- 2 files changed, 148 insertions(+), 118 deletions(-) create mode 100644 vpr/src/server/telegramoptions.cpp diff --git a/vpr/src/server/telegramoptions.cpp b/vpr/src/server/telegramoptions.cpp new file mode 100644 index 00000000000..255b4c322d8 --- /dev/null +++ b/vpr/src/server/telegramoptions.cpp @@ -0,0 +1,134 @@ +#include "telegramoptions.h" +#include "convertutils.h" + +#include + +namespace server { + +TelegramOptions::TelegramOptions(const std::string& data, const std::vector& expectedKeys) +{ + // parse data string + std::vector options = splitString(data, ';'); + for (const std::string& optionStr: options) { + std::vector fragments = splitString(optionStr, ':'); + if (fragments.size() == TOTAL_INDEXES_NUM) { + std::string name = fragments[INDEX_NAME]; + Option option{fragments[INDEX_TYPE], fragments[INDEX_VALUE]}; + if (isDataTypeSupported(option.type)) { + m_options[name] = option; + } else { + m_errors.emplace_back("bad type for option [" + optionStr + "]"); + } + } else { + m_errors.emplace_back("bad option [" + optionStr + "]"); + } + } + + // check keys presence + checkKeysPresence(expectedKeys); +} + +std::map> TelegramOptions::getMapOfSets(const std::string& name) +{ + std::map> result; + std::string dataStr = getString(name); + if (!dataStr.empty()) { + std::vector paths = splitString(dataStr, '|'); + for (const std::string& path: paths) { + std::vector pathStruct = splitString(path, '#'); + if (pathStruct.size() == 2) { + std::string pathIndexStr = pathStruct[0]; + std::string pathElementIndexesStr = pathStruct[1]; + std::vector pathElementIndexes = splitString(pathElementIndexesStr, ','); + std::set elements; + for (const std::string& pathElementIndexStr: pathElementIndexes) { + if (std::optional optValue = tryConvertToInt(pathElementIndexStr)) { + elements.insert(optValue.value()); + } else { + m_errors.emplace_back("cannot extract path element index from " + pathElementIndexStr); + } + } + if (std::optional optPathIndex = tryConvertToInt(pathIndexStr)) { + result[optPathIndex.value()] = elements; + } else { + m_errors.emplace_back("cannot extract path index from " + pathIndexStr); + } + } else { + m_errors.emplace_back("wrong path data structure = " + path); + } + } + } + return result; +} + +std::string TelegramOptions::getString(const std::string& name) +{ + std::string result; + if (auto it = m_options.find(name); it != m_options.end()) { + result = it->second.value; + } + return result; +} + +int TelegramOptions::getInt(const std::string& name, int failValue) +{ + if (std::optional opt = tryConvertToInt(m_options[name].value)) { + return opt.value(); + } else { + m_errors.emplace_back("cannot get int value for option " + name); + return failValue; + } +} + +bool TelegramOptions::getBool(const std::string& name, bool failValue) +{ + if (std::optional opt = tryConvertToInt(m_options[name].value)) { + return opt.value(); + } else { + m_errors.emplace_back("cannot get bool value for option " + name); + return failValue; + } +} + +std::string TelegramOptions::errorsStr() const +{ + std::string result; + for (const std::string& error: m_errors) { + result += error + ';'; + } + return result; +} + +std::vector TelegramOptions::splitString(const std::string& input, char delimiter) +{ + std::vector tokens; + std::istringstream tokenStream(input); + std::string token; + + while (std::getline(tokenStream, token, delimiter)) { + tokens.push_back(token); + } + + return tokens; +} + +bool TelegramOptions::isDataTypeSupported(const std::string& type) const +{ + static const std::set supportedTypes{"int", "string", "bool"}; + return supportedTypes.count(type) != 0; +} + +bool TelegramOptions::checkKeysPresence(const std::vector& keys) +{ + bool result = true; + for (const std::string& key: keys) { + if (m_options.find(key) == m_options.end()) { + m_errors.emplace_back("cannot find required option " + key); + result = false; + } + } + return result; +} + +} // namespace server + diff --git a/vpr/src/server/telegramoptions.h b/vpr/src/server/telegramoptions.h index dac7e0b5548..4d2663f4d53 100644 --- a/vpr/src/server/telegramoptions.h +++ b/vpr/src/server/telegramoptions.h @@ -1,9 +1,6 @@ #ifndef TELEGRAMOPTIONS_H #define TELEGRAMOPTIONS_H -#include "convertutils.h" - -#include #include #include #include @@ -34,131 +31,30 @@ class TelegramOptions { }; public: - TelegramOptions(const std::string& data, const std::vector& expectedKeys) { - // parse data string - std::vector options = splitString(data, ';'); - for (const std::string& optionStr: options) { - std::vector fragments = splitString(optionStr, ':'); - if (fragments.size() == TOTAL_INDEXES_NUM) { - std::string name = fragments[INDEX_NAME]; - Option option{fragments[INDEX_TYPE], fragments[INDEX_VALUE]}; - if (isDataTypeSupported(option.type)) { - m_options[name] = option; - } else { - m_errors.emplace_back("bad type for option [" + optionStr + "]"); - } - } else { - m_errors.emplace_back("bad option [" + optionStr + "]"); - } - } - - // check keys presence - checkKeysPresence(expectedKeys); - } - + TelegramOptions(const std::string& data, const std::vector& expectedKeys); ~TelegramOptions()=default; bool hasErrors() const { return !m_errors.empty(); } - std::map> getMapOfSets(const std::string& name) { - std::map> result; - std::string dataStr = getString(name); - if (!dataStr.empty()) { - std::vector paths = splitString(dataStr, '|'); - for (const std::string& path: paths) { - std::vector pathStruct = splitString(path, '#'); - if (pathStruct.size() == 2) { - std::string pathIndexStr = pathStruct[0]; - std::string pathElementIndexesStr = pathStruct[1]; - std::vector pathElementIndexes = splitString(pathElementIndexesStr, ','); - std::set elements; - for (const std::string& pathElementIndexStr: pathElementIndexes) { - if (std::optional optValue = tryConvertToInt(pathElementIndexStr)) { - elements.insert(optValue.value()); - } else { - m_errors.emplace_back("cannot extract path element index from " + pathElementIndexStr); - } - } - if (std::optional optPathIndex = tryConvertToInt(pathIndexStr)) { - result[optPathIndex.value()] = elements; - } else { - m_errors.emplace_back("cannot extract path index from " + pathIndexStr); - } - } else { - m_errors.emplace_back("wrong path data structure = " + path); - } - } - } - return result; - } - - std::string getString(const std::string& name) { - std::string result; - if (auto it = m_options.find(name); it != m_options.end()) { - result = it->second.value; - } - return result; - } - - int getInt(const std::string& name, int failValue) { - if (std::optional opt = tryConvertToInt(m_options[name].value)) { - return opt.value(); - } else { - m_errors.emplace_back("cannot get int value for option " + name); - return failValue; - } - } - - bool getBool(const std::string& name, bool failValue) { - if (std::optional opt = tryConvertToInt(m_options[name].value)) { - return opt.value(); - } else { - m_errors.emplace_back("cannot get bool value for option " + name); - return failValue; - } - } - - std::string errorsStr() const { - std::string result; - for (const std::string& error: m_errors) { - result += error + ';'; - } - return result; - } + std::map> getMapOfSets(const std::string& name); + + std::string getString(const std::string& name); + + int getInt(const std::string& name, int failValue); + + bool getBool(const std::string& name, bool failValue); + + std::string errorsStr() const; private: std::unordered_map m_options; std::vector m_errors; - std::vector splitString(const std::string& input, char delimiter) - { - std::vector tokens; - std::istringstream tokenStream(input); - std::string token; - - while (std::getline(tokenStream, token, delimiter)) { - tokens.push_back(token); - } - - return tokens; - } - - bool isDataTypeSupported(const std::string& type) const { - static const std::set supportedTypes{"int", "string", "bool"}; - return supportedTypes.count(type) != 0; - } - - bool checkKeysPresence(const std::vector& keys) { - bool result = true; - for (const std::string& key: keys) { - if (m_options.find(key) == m_options.end()) { - m_errors.emplace_back("cannot find required option " + key); - result = false; - } - } - return result; - } + std::vector splitString(const std::string& input, char delimiter); + + bool isDataTypeSupported(const std::string& type) const; + bool checkKeysPresence(const std::vector& keys); }; } // namespace server From 7d21166e50d5d3ed8d8d197a1cdde52c339bffc0 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 23:17:44 +0300 Subject: [PATCH 386/608] replace splitString with vtr::split --- vpr/src/server/telegramoptions.cpp | 26 ++++++++------------------ vpr/src/server/telegramoptions.h | 3 --- 2 files changed, 8 insertions(+), 21 deletions(-) diff --git a/vpr/src/server/telegramoptions.cpp b/vpr/src/server/telegramoptions.cpp index 255b4c322d8..945bc6b0495 100644 --- a/vpr/src/server/telegramoptions.cpp +++ b/vpr/src/server/telegramoptions.cpp @@ -1,6 +1,9 @@ #include "telegramoptions.h" #include "convertutils.h" +#include "vtr_util.h" + +#include #include namespace server { @@ -8,9 +11,9 @@ namespace server { TelegramOptions::TelegramOptions(const std::string& data, const std::vector& expectedKeys) { // parse data string - std::vector options = splitString(data, ';'); + std::vector options = vtr::split(data, ";"); for (const std::string& optionStr: options) { - std::vector fragments = splitString(optionStr, ':'); + std::vector fragments = vtr::split(optionStr, ":"); if (fragments.size() == TOTAL_INDEXES_NUM) { std::string name = fragments[INDEX_NAME]; Option option{fragments[INDEX_TYPE], fragments[INDEX_VALUE]}; @@ -33,13 +36,13 @@ std::map> TelegramOptions::getMapOfSets(const std::map> result; std::string dataStr = getString(name); if (!dataStr.empty()) { - std::vector paths = splitString(dataStr, '|'); + std::vector paths = vtr::split(dataStr, "|"); for (const std::string& path: paths) { - std::vector pathStruct = splitString(path, '#'); + std::vector pathStruct = vtr::split(path, "#"); if (pathStruct.size() == 2) { std::string pathIndexStr = pathStruct[0]; std::string pathElementIndexesStr = pathStruct[1]; - std::vector pathElementIndexes = splitString(pathElementIndexesStr, ','); + std::vector pathElementIndexes = vtr::split(pathElementIndexesStr, ","); std::set elements; for (const std::string& pathElementIndexStr: pathElementIndexes) { if (std::optional optValue = tryConvertToInt(pathElementIndexStr)) { @@ -99,19 +102,6 @@ std::string TelegramOptions::errorsStr() const return result; } -std::vector TelegramOptions::splitString(const std::string& input, char delimiter) -{ - std::vector tokens; - std::istringstream tokenStream(input); - std::string token; - - while (std::getline(tokenStream, token, delimiter)) { - tokens.push_back(token); - } - - return tokens; -} - bool TelegramOptions::isDataTypeSupported(const std::string& type) const { static const std::set supportedTypes{"int", "string", "bool"}; diff --git a/vpr/src/server/telegramoptions.h b/vpr/src/server/telegramoptions.h index 4d2663f4d53..001e1bfb98a 100644 --- a/vpr/src/server/telegramoptions.h +++ b/vpr/src/server/telegramoptions.h @@ -50,9 +50,6 @@ class TelegramOptions { std::unordered_map m_options; std::vector m_errors; - - std::vector splitString(const std::string& input, char delimiter); - bool isDataTypeSupported(const std::string& type) const; bool checkKeysPresence(const std::vector& keys); }; From a9a908507aaed6c8d79b50e103b75a337e867a3f Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Mon, 1 Apr 2024 23:24:12 +0300 Subject: [PATCH 387/608] replace anonymous namespaces with static functions --- vpr/src/server/convertutils.cpp | 4 +--- vpr/src/server/pathhelper.cpp | 10 +++------- 2 files changed, 4 insertions(+), 10 deletions(-) diff --git a/vpr/src/server/convertutils.cpp b/vpr/src/server/convertutils.cpp index ab34d615914..d956dae55e6 100644 --- a/vpr/src/server/convertutils.cpp +++ b/vpr/src/server/convertutils.cpp @@ -14,14 +14,12 @@ std::optional tryConvertToInt(const std::string& str) } } -namespace { -std::string getPrettyStrFromFloat(float value) +static std::string getPrettyStrFromFloat(float value) { std::ostringstream ss; ss << std::fixed << std::setprecision(2) << value; // Set precision to 2 digit after the decimal point return ss.str(); } -} // namespace std::string getPrettyDurationStrFromMs(int64_t durationMs) { diff --git a/vpr/src/server/pathhelper.cpp b/vpr/src/server/pathhelper.cpp index de55c62b3bd..3bbfb76cf0b 100644 --- a/vpr/src/server/pathhelper.cpp +++ b/vpr/src/server/pathhelper.cpp @@ -17,14 +17,12 @@ #include namespace server { - -namespace { /** * @brief helper function to collect crit parser metadata. * This data is used on parser side to properly extract arrival path elements from the timing report. */ -void collect_crit_path_metadata(std::stringstream& ss, const std::vector& paths) +static void collect_crit_path_metadata(std::stringstream& ss, const std::vector& paths) { ss << "#RPT METADATA:\n"; ss << "path_index/clock_launch_path_elements_num/arrival_path_elements_num\n"; @@ -40,7 +38,7 @@ void collect_crit_path_metadata(std::stringstream& ss, const std::vector Date: Mon, 1 Apr 2024 23:26:04 +0300 Subject: [PATCH 388/608] pathhelper.cpp formatting to make some lines shorter --- vpr/src/server/pathhelper.cpp | 10 ++++++++-- 1 file changed, 8 insertions(+), 2 deletions(-) diff --git a/vpr/src/server/pathhelper.cpp b/vpr/src/server/pathhelper.cpp index 3bbfb76cf0b..46a196fecd6 100644 --- a/vpr/src/server/pathhelper.cpp +++ b/vpr/src/server/pathhelper.cpp @@ -38,7 +38,10 @@ static void collect_crit_path_metadata(std::stringstream& ss, const std::vector< /** * @brief helper function to calculate the setup critical path with specified parameters. */ -static CritPathsResult generate_setup_timing_report(const SetupTimingInfo& timing_info, const AnalysisDelayCalculator& delay_calc, const t_analysis_opts& analysis_opts, bool is_flat) { +static CritPathsResult generate_setup_timing_report(const SetupTimingInfo& timing_info, + const AnalysisDelayCalculator& delay_calc, + const t_analysis_opts& analysis_opts, + bool is_flat) { auto& timing_ctx = g_vpr_ctx.timing(); auto& atom_ctx = g_vpr_ctx.atom(); @@ -57,7 +60,10 @@ static CritPathsResult generate_setup_timing_report(const SetupTimingInfo& timin /** * @brief helper function to calculate the hold critical path with specified parameters. */ -static CritPathsResult generate_hold_timing_report(const HoldTimingInfo& timing_info, const AnalysisDelayCalculator& delay_calc, const t_analysis_opts& analysis_opts, bool is_flat) { +static CritPathsResult generate_hold_timing_report(const HoldTimingInfo& timing_info, + const AnalysisDelayCalculator& delay_calc, + const t_analysis_opts& analysis_opts, + bool is_flat) { auto& timing_ctx = g_vpr_ctx.timing(); auto& atom_ctx = g_vpr_ctx.atom(); From c9da9d4e3a38de8507a1d8e4bced127ec532c7a3 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 1 Apr 2024 19:10:10 -0400 Subject: [PATCH 389/608] vpr: add max_pres_fac to command line argument --- vpr/src/base/SetupVPR.cpp | 1 + vpr/src/base/read_options.cpp | 5 +++++ vpr/src/base/read_options.h | 1 + vpr/src/base/vpr_types.h | 1 + 4 files changed, 8 insertions(+) diff --git a/vpr/src/base/SetupVPR.cpp b/vpr/src/base/SetupVPR.cpp index a93b648f87b..f359139c6ce 100644 --- a/vpr/src/base/SetupVPR.cpp +++ b/vpr/src/base/SetupVPR.cpp @@ -419,6 +419,7 @@ static void SetupRouterOpts(const t_options& Options, t_router_opts* RouterOpts) RouterOpts->min_incremental_reroute_fanout = Options.min_incremental_reroute_fanout; RouterOpts->incr_reroute_delay_ripup = Options.incr_reroute_delay_ripup; RouterOpts->pres_fac_mult = Options.pres_fac_mult; + RouterOpts->max_pres_fac = Options.max_pres_fac; RouterOpts->route_type = Options.RouteType; RouterOpts->full_stats = Options.full_stats; diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index fc01cd4bb96..9a988b4be20 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2340,6 +2340,11 @@ argparse::ArgumentParser create_arg_parser(std::string prog_name, t_options& arg .default_value("1.3") .show_in(argparse::ShowIn::HELP_ONLY); + route_grp.add_argument(args.max_pres_fac, "-max_pres_fac") + .help("Sets the maximum present overuse penalty factor") + .default_value("1000.0") + .show_in(argparse::ShowIn::HELP_ONLY); + route_grp.add_argument(args.acc_fac, "--acc_fac") .help("Specifies the accumulated overuse factor (historical congestion cost factor)") .default_value("1.0") diff --git a/vpr/src/base/read_options.h b/vpr/src/base/read_options.h index e6476ba151e..66c53065859 100644 --- a/vpr/src/base/read_options.h +++ b/vpr/src/base/read_options.h @@ -182,6 +182,7 @@ struct t_options { argparse::ArgValue first_iter_pres_fac; argparse::ArgValue initial_pres_fac; argparse::ArgValue pres_fac_mult; + argparse::ArgValue max_pres_fac; argparse::ArgValue acc_fac; argparse::ArgValue bb_factor; argparse::ArgValue base_cost_type; diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index f0e7c1b258a..b92fb1caa64 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -1407,6 +1407,7 @@ struct t_router_opts { float first_iter_pres_fac; float initial_pres_fac; float pres_fac_mult; + float max_pres_fac; float acc_fac; float bend_cost; int max_router_iterations; From 8f86b048448529fdf992d619fe6baae78c6d549d Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 1 Apr 2024 19:11:49 -0400 Subject: [PATCH 390/608] vpr: show max_pres_fac in log --- vpr/src/base/ShowSetup.cpp | 2 ++ 1 file changed, 2 insertions(+) diff --git a/vpr/src/base/ShowSetup.cpp b/vpr/src/base/ShowSetup.cpp index fbb574a8e9b..8490ab3e6c5 100644 --- a/vpr/src/base/ShowSetup.cpp +++ b/vpr/src/base/ShowSetup.cpp @@ -328,6 +328,7 @@ static void ShowRouterOpts(const t_router_opts& RouterOpts) { VTR_LOG("RouterOpts.first_iter_pres_fac: %f\n", RouterOpts.first_iter_pres_fac); VTR_LOG("RouterOpts.initial_pres_fac: %f\n", RouterOpts.initial_pres_fac); VTR_LOG("RouterOpts.pres_fac_mult: %f\n", RouterOpts.pres_fac_mult); + VTR_LOG("RouterOpts.max_pres_fac: %f\n", RouterOpts.max_pres_fac); VTR_LOG("RouterOpts.max_router_iterations: %d\n", RouterOpts.max_router_iterations); VTR_LOG("RouterOpts.min_incremental_reroute_fanout: %d\n", RouterOpts.min_incremental_reroute_fanout); VTR_LOG("RouterOpts.do_check_rr_graph: %s\n", RouterOpts.do_check_rr_graph ? "true" : "false"); @@ -473,6 +474,7 @@ static void ShowRouterOpts(const t_router_opts& RouterOpts) { VTR_LOG("RouterOpts.first_iter_pres_fac: %f\n", RouterOpts.first_iter_pres_fac); VTR_LOG("RouterOpts.initial_pres_fac: %f\n", RouterOpts.initial_pres_fac); VTR_LOG("RouterOpts.pres_fac_mult: %f\n", RouterOpts.pres_fac_mult); + VTR_LOG("RouterOpts.max_pres_fac: %f\n", RouterOpts.max_pres_fac); VTR_LOG("RouterOpts.max_router_iterations: %d\n", RouterOpts.max_router_iterations); VTR_LOG("RouterOpts.min_incremental_reroute_fanout: %d\n", RouterOpts.min_incremental_reroute_fanout); VTR_LOG("RouterOpts.do_check_rr_graph: %s\n", RouterOpts.do_check_rr_graph ? "true" : "false"); From 3efa8a247eedccc5faf753454bd04e79f8b917c0 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 1 Apr 2024 19:13:32 -0400 Subject: [PATCH 391/608] vpr: set the max pres fac of router to the value pass through the command lind --- vpr/src/route/route.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/route/route.cpp b/vpr/src/route/route.cpp index 4dfecc21b00..e6b4dbf81d7 100644 --- a/vpr/src/route/route.cpp +++ b/vpr/src/route/route.cpp @@ -441,8 +441,8 @@ bool route(const Netlist<>& net_list, } else { pres_fac *= router_opts.pres_fac_mult; - /* Avoid overflow for high iteration counts, even if acc_cost is big */ - pres_fac = update_draw_pres_fac(std::min(pres_fac, static_cast(1000))); + /* Set the maximum pres_fac to the value passed by the command line argument */ + pres_fac = update_draw_pres_fac(std::min(pres_fac, router_opts.pres_fac_mult)); // Increase short path criticality if it's having a hard time resolving hold violations due to congestion if (budgeting_inf.if_set()) { From 9855a8eda5d85b7be8c0762314dee716b1e3fd76 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 1 Apr 2024 19:16:22 -0400 Subject: [PATCH 392/608] document: add max_pres_fac to online document --- doc/src/vpr/command_line_usage.rst | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/doc/src/vpr/command_line_usage.rst b/doc/src/vpr/command_line_usage.rst index 692098afadc..32530d84308 100644 --- a/doc/src/vpr/command_line_usage.rst +++ b/doc/src/vpr/command_line_usage.rst @@ -1163,6 +1163,12 @@ VPR uses a negotiated congestion algorithm (based on Pathfinder) to perform rout **Default:** ``1.3`` +.. option:: --max_pres_fac + + Sets the maximum present overuse penalty factor. + + **Default:** ``1000.0`` + .. option:: --acc_fac Specifies the accumulated overuse factor (historical congestion cost factor). From a472c968961fb51b0d53ff7ca33dc43c73a51328 Mon Sep 17 00:00:00 2001 From: amin1377 Date: Mon, 1 Apr 2024 19:17:24 -0400 Subject: [PATCH 393/608] make format --- .../src/read_fpga_interchange_arch.cpp | 51 ++++++------ .../src/read_fpga_interchange_arch.h | 16 ++-- vpr/src/base/read_interchange_netlist.cpp | 60 +++++++------- vpr/src/noc/noc_link.cpp | 2 +- vpr/src/noc/noc_link.h | 3 +- vpr/src/noc/noc_storage.cpp | 2 +- vpr/src/noc/noc_storage.h | 2 +- vpr/src/place/initial_noc_placement.cpp | 1 - vpr/src/place/noc_place_utils.cpp | 38 ++++----- vpr/src/place/noc_place_utils.h | 4 +- vpr/src/place/place.cpp | 16 ++-- vpr/src/place/place_util.cpp | 1 - vpr/src/place/place_util.h | 82 +++++++++---------- .../route/router_lookahead_extended_map.cpp | 9 +- vpr/src/route/router_lookahead_map_utils.cpp | 2 +- vpr/test/test_noc_place_utils.cpp | 5 +- vpr/test/test_xy_routing.cpp | 12 +-- 17 files changed, 145 insertions(+), 161 deletions(-) diff --git a/libs/libarchfpga/src/read_fpga_interchange_arch.cpp b/libs/libarchfpga/src/read_fpga_interchange_arch.cpp index 38066842db5..828f935369f 100644 --- a/libs/libarchfpga/src/read_fpga_interchange_arch.cpp +++ b/libs/libarchfpga/src/read_fpga_interchange_arch.cpp @@ -5,29 +5,28 @@ #ifdef VTR_ENABLE_CAPNPROTO -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include - -#include "vtr_assert.h" -#include "vtr_digest.h" -#include "vtr_log.h" -#include "vtr_memory.h" -#include "vtr_util.h" - -#include "arch_check.h" -#include "arch_error.h" -#include "arch_util.h" -#include "arch_types.h" - +# include +# include +# include +# include +# include +# include +# include +# include +# include +# include +# include + +# include "vtr_assert.h" +# include "vtr_digest.h" +# include "vtr_log.h" +# include "vtr_memory.h" +# include "vtr_util.h" + +# include "arch_check.h" +# include "arch_error.h" +# include "arch_util.h" +# include "arch_types.h" /* * FPGA Interchange Device frontend @@ -2503,7 +2502,7 @@ struct ArchReader { } }; -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO void FPGAInterchangeReadArch(const char* FPGAInterchangeDeviceFile, const bool /*timing_enabled*/, @@ -2551,12 +2550,12 @@ void FPGAInterchangeReadArch(const char* FPGAInterchangeDeviceFile, ArchReader reader(arch, device_reader, FPGAInterchangeDeviceFile, PhysicalTileTypes, LogicalBlockTypes); reader.read_arch(); -#else // VTR_ENABLE_CAPNPROTO +#else // VTR_ENABLE_CAPNPROTO // If CAPNPROTO is disabled, throw an error. (void)FPGAInterchangeDeviceFile; (void)arch; (void)PhysicalTileTypes; (void)LogicalBlockTypes; throw vtr::VtrError("Unable to read FPGA interchange if CAPNPROTO is not enabled", __FILE__, __LINE__); -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO } diff --git a/libs/libarchfpga/src/read_fpga_interchange_arch.h b/libs/libarchfpga/src/read_fpga_interchange_arch.h index 3853ce93799..c859f97a002 100644 --- a/libs/libarchfpga/src/read_fpga_interchange_arch.h +++ b/libs/libarchfpga/src/read_fpga_interchange_arch.h @@ -5,14 +5,14 @@ #ifdef VTR_ENABLE_CAPNPROTO -#include "DeviceResources.capnp.h" -#include "LogicalNetlist.capnp.h" -#include "capnp/serialize.h" -#include "capnp/serialize-packed.h" -#include -#include - -#endif // VTR_ENABLE_CAPNPROTO +# include "DeviceResources.capnp.h" +# include "LogicalNetlist.capnp.h" +# include "capnp/serialize.h" +# include "capnp/serialize-packed.h" +# include +# include + +#endif // VTR_ENABLE_CAPNPROTO #ifdef __cplusplus extern "C" { diff --git a/vpr/src/base/read_interchange_netlist.cpp b/vpr/src/base/read_interchange_netlist.cpp index d584a1c6893..c29cea8326d 100644 --- a/vpr/src/base/read_interchange_netlist.cpp +++ b/vpr/src/base/read_interchange_netlist.cpp @@ -13,33 +13,33 @@ #ifdef VTR_ENABLE_CAPNPROTO -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include - -#include "LogicalNetlist.capnp.h" -#include "capnp/serialize.h" -#include "capnp/serialize-packed.h" - -#include "vtr_assert.h" -#include "vtr_hash.h" -#include "vtr_util.h" -#include "vtr_log.h" -#include "vtr_logic.h" -#include "vtr_time.h" -#include "vtr_digest.h" - -#include "vpr_types.h" -#include "vpr_error.h" -#include "globals.h" -#include "arch_types.h" +# include +# include +# include +# include +# include +# include +# include +# include +# include +# include + +# include "LogicalNetlist.capnp.h" +# include "capnp/serialize.h" +# include "capnp/serialize-packed.h" + +# include "vtr_assert.h" +# include "vtr_hash.h" +# include "vtr_util.h" +# include "vtr_log.h" +# include "vtr_logic.h" +# include "vtr_time.h" +# include "vtr_digest.h" + +# include "vpr_types.h" +# include "vpr_error.h" +# include "globals.h" +# include "arch_types.h" struct NetlistReader { public: @@ -524,7 +524,7 @@ struct NetlistReader { } }; -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO AtomNetlist read_interchange_netlist(const char* ic_netlist_file, t_arch& arch) { @@ -572,12 +572,12 @@ AtomNetlist read_interchange_netlist(const char* ic_netlist_file, return netlist; -#else // VTR_ENABLE_CAPNPROTO +#else // VTR_ENABLE_CAPNPROTO // If CAPNPROTO is not enabled, throw an error (void)ic_netlist_file; (void)arch; throw vtr::VtrError("Unable to read interchange netlist with CAPNPROTO disabled", __FILE__, __LINE__); -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO } diff --git a/vpr/src/noc/noc_link.cpp b/vpr/src/noc/noc_link.cpp index 4407642ddae..59619418ca2 100644 --- a/vpr/src/noc/noc_link.cpp +++ b/vpr/src/noc/noc_link.cpp @@ -6,7 +6,7 @@ NocLink::NocLink(NocLinkId link_id, NocRouterId source, NocRouterId sink, double , source_router(source) , sink_router(sink) , bandwidth_usage(0.0) - , bandwidth(bw) { } + , bandwidth(bw) {} // getters NocRouterId NocLink::get_source_router(void) const { diff --git a/vpr/src/noc/noc_link.h b/vpr/src/noc/noc_link.h index 2aa5d55cd67..8f940d269c2 100644 --- a/vpr/src/noc/noc_link.h +++ b/vpr/src/noc/noc_link.h @@ -50,7 +50,7 @@ class NocLink { NocRouterId sink_router; /*!< The router which uses this link as an incoming edge*/ double bandwidth_usage; /*!< Represents the bandwidth of the data being transmitted on the link. Units in bits-per-second(bps)*/ - double bandwidth; /*!< Represents the maximum bits per second that can be transmitted over the link without causing congestion*/ + double bandwidth; /*!< Represents the maximum bits per second that can be transmitted over the link without causing congestion*/ public: NocLink(NocLinkId link_id, NocRouterId source_router, NocRouterId sink_router, double bw); @@ -135,7 +135,6 @@ class NocLink { */ void set_bandwidth(double new_bandwidth); - /** * @brief Returns the unique link ID. The ID can be used to index * vtr::vector instances. diff --git a/vpr/src/noc/noc_storage.cpp b/vpr/src/noc/noc_storage.cpp index 8438838c1f9..f4b0c1827ed 100644 --- a/vpr/src/noc/noc_storage.cpp +++ b/vpr/src/noc/noc_storage.cpp @@ -56,7 +56,7 @@ const NocLink& NocStorage::get_single_noc_link(NocLinkId id) const { return link_storage[id]; } -NocLinkId NocStorage::get_single_noc_link_id(NocRouterId src_router, NocRouterId dst_router) const { +NocLinkId NocStorage::get_single_noc_link_id(NocRouterId src_router, NocRouterId dst_router) const { NocLinkId link_id = NocLinkId::INVALID(); for (const auto& link : link_storage) { diff --git a/vpr/src/noc/noc_storage.h b/vpr/src/noc/noc_storage.h index 637d9f52126..022471c21b1 100644 --- a/vpr/src/noc/noc_storage.h +++ b/vpr/src/noc/noc_storage.h @@ -282,7 +282,7 @@ class NocStorage { * to the destination router. NocLinkId::INVALID() is such a link is not * found. */ - NocLinkId get_single_noc_link_id(NocRouterId src_router, NocRouterId dst_router) const; + NocLinkId get_single_noc_link_id(NocRouterId src_router, NocRouterId dst_router) const; /** * @brief Given a unique link identifier, get the corresponding link diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index 9294f3b291b..603860b3d22 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -187,7 +187,6 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts) { const double starting_prob = 0.5; const double prob_step = starting_prob / N_MOVES; - // The checkpoint stored the placement with the lowest cost. NoCPlacementCheckpoint checkpoint; diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index a228cd1836e..8b724ff9637 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -9,7 +9,7 @@ static vtr::vector traffic_flow_costs, p static std::vector affected_traffic_flows; /* Proposed and actual congestion cost of a NoC link used for each move assessment */ -static vtr::vector link_congestion_costs, proposed_link_congestion_costs; +static vtr::vector link_congestion_costs, proposed_link_congestion_costs; /* Keeps track of NoC links whose bandwidth usage have been updated at each attempted placement move*/ static std::unordered_set affected_noc_links; @@ -57,7 +57,7 @@ void initial_noc_routing(void) { const t_noc_traffic_flow& curr_traffic_flow = noc_traffic_flows_storage.get_single_noc_traffic_flow(traffic_flow_id); // update the traffic flow route based on where the router cluster blocks are placed - std::vector& curr_traffic_flow_route = route_traffic_flow(traffic_flow_id, noc_ctx.noc_model,noc_traffic_flows_storage, *noc_ctx.noc_flows_router); + std::vector& curr_traffic_flow_route = route_traffic_flow(traffic_flow_id, noc_ctx.noc_model, noc_traffic_flows_storage, *noc_ctx.noc_flows_router); // update the links used in the found traffic flow route, links' bandwidth should be incremented since the traffic flow is routed update_traffic_flow_link_usage(curr_traffic_flow_route, noc_ctx.noc_model, 1, curr_traffic_flow.traffic_flow_bandwidth); @@ -126,7 +126,8 @@ void find_affected_noc_routers_and_update_noc_costs(const t_pl_blocks_to_be_move // calculate the new aggregate bandwidth and latency costs for the affected traffic flow proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth = calculate_traffic_flow_aggregate_bandwidth_cost(traffic_flow_route, curr_traffic_flow); std::tie(proposed_traffic_flow_costs[traffic_flow_id].latency, - proposed_traffic_flow_costs[traffic_flow_id].latency_overrun) = calculate_traffic_flow_latency_cost(traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow); + proposed_traffic_flow_costs[traffic_flow_id].latency_overrun) + = calculate_traffic_flow_latency_cost(traffic_flow_route, noc_ctx.noc_model, curr_traffic_flow); // compute how much the aggregate bandwidth and latency costs change with this swap delta_c.aggregate_bandwidth += proposed_traffic_flow_costs[traffic_flow_id].aggregate_bandwidth - traffic_flow_costs[traffic_flow_id].aggregate_bandwidth; @@ -163,7 +164,7 @@ void commit_noc_costs() { } // Iterate over all the NoC links whose bandwidth utilization was affected by the proposed move - for(auto link_id : affected_noc_links) { + for (auto link_id : affected_noc_links) { // get the affected link const auto& link = noc_ctx.noc_model.get_single_noc_link(link_id); @@ -458,7 +459,7 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc vtr::vector temp_noc_link_storage = noc_model.get_noc_links(); // reset bandwidth utilization for all links - std::for_each(temp_noc_link_storage.begin(), temp_noc_link_storage.end(), [](NocLink& link) {link.set_bandwidth_usage(0.0); }); + std::for_each(temp_noc_link_storage.begin(), temp_noc_link_storage.end(), [](NocLink& link) { link.set_bandwidth_usage(0.0); }); // need to create a temporary noc routing algorithm std::unique_ptr temp_noc_routing_algorithm = NocRoutingAlgorithmCreator::create_routing_algorithm(noc_opts.noc_routing_algorithm); @@ -503,7 +504,7 @@ int check_noc_placement_costs(const t_placer_costs& costs, double error_toleranc } // Iterate over all NoC links and accumulate congestion cost - for(const auto& link : temp_noc_link_storage) { + for (const auto& link : temp_noc_link_storage) { cost_check.congestion += calculate_link_congestion_cost(link); } @@ -590,10 +591,7 @@ double calculate_link_congestion_cost(const NocLink& link) { } void normalize_noc_cost_weighting_factor(t_noc_opts& noc_opts) { - - double weighting_factor_sum = noc_opts.noc_latency_weighting + - noc_opts.noc_latency_constraints_weighting + - noc_opts.noc_congestion_weighting; + double weighting_factor_sum = noc_opts.noc_latency_weighting + noc_opts.noc_latency_constraints_weighting + noc_opts.noc_congestion_weighting; VTR_ASSERT(weighting_factor_sum <= 1.0 && weighting_factor_sum >= 0.0); @@ -617,11 +615,7 @@ double calculate_noc_cost(const NocCostTerms& cost_terms, * is computed. Weighting factors determine the contribution of each * normalized term to the sum. */ - cost = noc_opts.noc_placement_weighting * ( - cost_terms.aggregate_bandwidth * norm_factors.aggregate_bandwidth * noc_opts.noc_aggregate_bandwidth_weighting + - cost_terms.latency * norm_factors.latency * noc_opts.noc_latency_weighting + - cost_terms.latency_overrun * norm_factors.latency_overrun * noc_opts.noc_latency_constraints_weighting + - cost_terms.congestion * norm_factors.congestion * noc_opts.noc_congestion_weighting); + cost = noc_opts.noc_placement_weighting * (cost_terms.aggregate_bandwidth * norm_factors.aggregate_bandwidth * noc_opts.noc_aggregate_bandwidth_weighting + cost_terms.latency * norm_factors.latency * noc_opts.noc_latency_weighting + cost_terms.latency_overrun * norm_factors.latency_overrun * noc_opts.noc_latency_constraints_weighting + cost_terms.congestion * norm_factors.congestion * noc_opts.noc_congestion_weighting); return cost; } @@ -668,11 +662,11 @@ int get_number_of_congested_noc_links(void) { // Iterate over all NoC links and count the congested ones for (const auto& link : noc_links) { - double congested_bw_ratio = link.get_congested_bandwidth_ratio(); + double congested_bw_ratio = link.get_congested_bandwidth_ratio(); - if (congested_bw_ratio > MIN_EXPECTED_NOC_CONGESTION_COST) { + if (congested_bw_ratio > MIN_EXPECTED_NOC_CONGESTION_COST) { num_congested_links++; - } + } } return num_congested_links; @@ -686,8 +680,8 @@ double get_total_congestion_bandwidth_ratio(void) { // Iterate over all NoC links and count the congested ones for (const auto& link : noc_links) { - double congested_bw_ratio = link.get_congested_bandwidth_ratio(); - accum_congestion_ratio += congested_bw_ratio; + double congested_bw_ratio = link.get_congested_bandwidth_ratio(); + accum_congestion_ratio += congested_bw_ratio; } return accum_congestion_ratio; @@ -701,8 +695,8 @@ std::vector get_top_n_congested_links(int n) { // stable_sort is used to make sure the order is the same across different machines/compilers // Note that when the vector is sorted, indexing it with NocLinkId does return the corresponding link std::stable_sort(noc_links.begin(), noc_links.end(), [](const NocLink& l1, const NocLink& l2) { - return l1.get_congested_bandwidth_ratio() > l2.get_congested_bandwidth_ratio(); - }); + return l1.get_congested_bandwidth_ratio() > l2.get_congested_bandwidth_ratio(); + }); int pick_n = std::min((int)noc_links.size(), n); diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index 24926c48925..83dcddc9156 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -210,7 +210,8 @@ void update_traffic_flow_link_usage(const std::vector& traffic_flow_r */ void re_route_associated_traffic_flows(ClusterBlockId moved_router_block_id, NocTrafficFlows& noc_traffic_flows_storage, - NocStorage& noc_model, NocRouting& noc_flows_router, + NocStorage& noc_model, + NocRouting& noc_flows_router, std::unordered_set& updated_traffic_flows); /** @@ -462,7 +463,6 @@ double get_total_congestion_bandwidth_ratio(void); */ std::vector get_top_n_congested_links(int n); - /** * @brief Goes through all NoC links and determines whether they * are congested or not. Then finds n links that are most congested. diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 68027a2b706..880b1da518c 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -826,7 +826,8 @@ void try_place(const Netlist<>& net_list, VTR_LOG("Initial placement cost: %g bb_cost: %g td_cost: %g\n", costs.cost, costs.bb_cost, costs.timing_cost); if (noc_opts.noc) { - VTR_LOG("NoC Placement Costs. " + VTR_LOG( + "NoC Placement Costs. " "cost: %g, " "aggregate_bandwidth_cost: %g, " "latency_cost: %g, " @@ -893,9 +894,6 @@ void try_place(const Netlist<>& net_list, costs.noc_cost_terms.congestion, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); - - - } //Draw the initial placement update_screen(ScreenUpdatePriority::MAJOR, msg, PLACEMENT, timing_info); @@ -1224,7 +1222,8 @@ void try_place(const Netlist<>& net_list, get_total_congestion_bandwidth_ratio(), get_number_of_congested_noc_links()); - VTR_LOG("\nNoC Placement Costs. " + VTR_LOG( + "\nNoC Placement Costs. " "cost: %g, " "aggregate_bandwidth_cost: %g, " "latency_cost: %g, " @@ -1481,7 +1480,6 @@ static void recompute_costs_from_scratch(const t_placer_opts& placer_opts, "noc_congestion_cost"); } costs->noc_cost_terms.congestion = new_noc_cost.congestion; - } } @@ -1711,8 +1709,8 @@ static e_move_result try_swap(const t_annealing_state* state, if (manual_move_enabled) { #ifndef NO_GRAPHICS create_move_outcome = manual_move_display_and_propose(manual_move_generator, blocks_affected, proposed_action.move_type, rlim, placer_opts, criticalities); -#else //NO_GRAPHICS - // Cast to void to explicitly avoid warning. +#else //NO_GRAPHICS \ + // Cast to void to explicitly avoid warning. (void)manual_move_generator; #endif //NO_GRAPHICS } else if (router_block_move) { @@ -1821,7 +1819,6 @@ static e_move_result try_swap(const t_annealing_state* state, delta_c = bb_delta_c * costs->bb_cost_norm; } - NocCostTerms noc_delta_c; // change in NoC cost /* Update the NoC datastructure and costs*/ if (noc_opts.noc) { @@ -4249,7 +4246,6 @@ static void print_place_status_header(bool noc_enabled) { VTR_LOG( "---- ------ ------- ------- ---------- ---------- ------- ---------- -------- ------- ------- ------ -------- --------- ------ -------- -------- --------- ---------\n"); } - } static void print_place_status(const t_annealing_state& state, diff --git a/vpr/src/place/place_util.cpp b/vpr/src/place/place_util.cpp index 6c7f506ee3e..52b9fdeb3d1 100644 --- a/vpr/src/place/place_util.cpp +++ b/vpr/src/place/place_util.cpp @@ -493,4 +493,3 @@ NocCostTerms& NocCostTerms::operator+=(const NocCostTerms& noc_delta_cost) { return *this; } - diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index 12bd6ce745b..d65d460446c 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -98,18 +98,18 @@ class t_placer_costs { public: //Mutator /** - * @brief Mutator: updates the norm factors in the outer loop iteration. - * - * At each temperature change we update these values to be used - * for normalizing the trade-off between timing and wirelength (bb) - */ + * @brief Mutator: updates the norm factors in the outer loop iteration. + * + * At each temperature change we update these values to be used + * for normalizing the trade-off between timing and wirelength (bb) + */ void update_norm_factors(); /** - * @brief Accumulates NoC cost difference terms - * - * @param noc_delta_cost Cost difference for NoC-related costs terms - */ + * @brief Accumulates NoC cost difference terms + * + * @param noc_delta_cost Cost difference for NoC-related costs terms + */ t_placer_costs& operator+=(const NocCostTerms& noc_delta_cost); private: @@ -193,15 +193,15 @@ class t_annealing_state { public: //Mutator /** - * @brief Update the annealing state according to the annealing schedule selected. - * - * USER_SCHED: A manual fixed schedule with fixed alpha and exit criteria. - * AUTO_SCHED: A more sophisticated schedule where alpha varies based on success ratio. - * DUSTY_SCHED: This schedule jumps backward and slows down in response to success ratio. - * See doc/src/vpr/dusty_sa.rst for more details. - * - * @return True->continues the annealing. False->exits the annealing. - */ + * @brief Update the annealing state according to the annealing schedule selected. + * + * USER_SCHED: A manual fixed schedule with fixed alpha and exit criteria. + * AUTO_SCHED: A more sophisticated schedule where alpha varies based on success ratio. + * DUSTY_SCHED: This schedule jumps backward and slows down in response to success ratio. + * See doc/src/vpr/dusty_sa.rst for more details. + * + * @return True->continues the annealing. False->exits the annealing. + */ bool outer_loop_update(float success_rate, const t_placer_costs& costs, const t_placer_opts& placer_opts, @@ -209,35 +209,35 @@ class t_annealing_state { private: //Mutator /** - * @brief Update the range limiter to keep acceptance prob. near 0.44. - * - * Use a floating point rlim to allow gradual transitions at low temps. - * The range is bounded by 1 (FINAL_RLIM) and the grid size (UPPER_RLIM). - */ + * @brief Update the range limiter to keep acceptance prob. near 0.44. + * + * Use a floating point rlim to allow gradual transitions at low temps. + * The range is bounded by 1 (FINAL_RLIM) and the grid size (UPPER_RLIM). + */ inline void update_rlim(float success_rate); /** - * @brief Update the criticality exponent. - * - * When rlim shrinks towards the FINAL_RLIM value (indicating - * that we are fine-tuning a more optimized placement), we can - * focus more on a smaller number of critical connections. - * To achieve this, we make the crit_exponent sharper, so that - * critical connections would become more critical than before. - * - * We calculate how close rlim is to its final value comparing - * to its initial value. Then, we apply the same scaling factor - * on the crit_exponent so that it lands on the suitable value - * between td_place_exp_first and td_place_exp_last. The scaling - * factor is calculated and applied linearly. - */ + * @brief Update the criticality exponent. + * + * When rlim shrinks towards the FINAL_RLIM value (indicating + * that we are fine-tuning a more optimized placement), we can + * focus more on a smaller number of critical connections. + * To achieve this, we make the crit_exponent sharper, so that + * critical connections would become more critical than before. + * + * We calculate how close rlim is to its final value comparing + * to its initial value. Then, we apply the same scaling factor + * on the crit_exponent so that it lands on the suitable value + * between td_place_exp_first and td_place_exp_last. The scaling + * factor is calculated and applied linearly. + */ inline void update_crit_exponent(const t_placer_opts& placer_opts); /** - * @brief Update the move limit based on the success rate. - * - * The value is bounded between 1 and move_lim_max. - */ + * @brief Update the move limit based on the success rate. + * + * The value is bounded between 1 and move_lim_max. + */ inline void update_move_lim(float success_target, float success_rate); }; diff --git a/vpr/src/route/router_lookahead_extended_map.cpp b/vpr/src/route/router_lookahead_extended_map.cpp index 6db4c4ff7bc..d72f5471130 100644 --- a/vpr/src/route/router_lookahead_extended_map.cpp +++ b/vpr/src/route/router_lookahead_extended_map.cpp @@ -611,18 +611,17 @@ void ExtendedMapLookahead::read(const std::string& file) { this->src_opin_delays = util::compute_router_src_opin_lookahead(is_flat_); this->chan_ipins_delays = util::compute_router_chan_ipin_lookahead(); -#else // VTR_ENABLE_CAPNPROTO +#else // VTR_ENABLE_CAPNPROTO (void)file; VPR_THROW(VPR_ERROR_ROUTE, "MapLookahead::read not implemented"); -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO } void ExtendedMapLookahead::write(const std::string& file) const { #ifndef VTR_ENABLE_CAPNPROTO cost_map_.write(file); -#else // VTR_ENABLE_CAPNPROTO +#else // VTR_ENABLE_CAPNPROTO (void)file; VPR_THROW(VPR_ERROR_ROUTE, "MapLookahead::write not implemented"); -#endif // VTR_ENABLE_CAPNPROTO +#endif // VTR_ENABLE_CAPNPROTO } - diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index 3094c97e4d5..4b61758db9d 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -1120,7 +1120,7 @@ static int get_tile_src_opin_max_ptc(int itile) { int max_ptc = 0; // Output pin - for (const auto& class_inf: physical_tile.class_inf) { + for (const auto& class_inf : physical_tile.class_inf) { if (class_inf.type != e_pin_type::DRIVER) { continue; } diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 8e53ec68ed9..e461c319e0a 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -1182,7 +1182,7 @@ TEST_CASE("test_find_affected_noc_routers_and_update_noc_costs, test_commit_noc_ // now check whether the expected noc costs that we manually calculated above match the noc costs found through the test function (we allow for a tolerance of difference) REQUIRE(vtr::isclose(golden_total_noc_aggr_bandwidth_cost, test_noc_costs.aggregate_bandwidth)); REQUIRE(vtr::isclose(golden_total_noc_latency_cost, test_noc_costs.latency)); - std::cout << golden_total_noc_latency_overrun_cost << " " << test_noc_costs.latency_overrun << std::endl; + std::cout << golden_total_noc_latency_overrun_cost << " " << test_noc_costs.latency_overrun << std::endl; REQUIRE(vtr::isclose(golden_total_noc_latency_overrun_cost, test_noc_costs.latency_overrun)); REQUIRE(vtr::isclose(golden_total_noc_congestion_cost, test_noc_costs.congestion)); @@ -1614,7 +1614,7 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { } // re-route the traffic flow - noc_ctx.noc_flows_router->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id],router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); + noc_ctx.noc_flows_router->route_flow(router_where_cluster_is_placed[curr_traffic_flow.source_router_cluster_id], router_where_cluster_is_placed[curr_traffic_flow.sink_router_cluster_id], golden_traffic_flow_routes[traffic_flow], noc_ctx.noc_model); // go through the current traffic flow and reduce the bandwidths of the links (we only update this in the NoC, since these changes should be rectified by the test function) // This shouldn't be updated in the golden bandwidths since we are imitating a swap of blocks and not having a real swap of blocks @@ -1642,7 +1642,6 @@ TEST_CASE("test_revert_noc_traffic_flow_routes", "[noc_place_utils]") { const NocLink& current_link = noc_ctx.noc_model.get_single_noc_link(current_link_id); REQUIRE(golden_link_bandwidths[current_link_id] == current_link.get_bandwidth_usage()); - } for (int traffic_flow_number = 0; traffic_flow_number < NUM_OF_TRAFFIC_FLOWS_NOC_PLACE_UTILS_TEST; traffic_flow_number++) { diff --git a/vpr/test/test_xy_routing.cpp b/vpr/test/test_xy_routing.cpp index 49b58662ca2..09fbe80e3bd 100644 --- a/vpr/test/test_xy_routing.cpp +++ b/vpr/test/test_xy_routing.cpp @@ -109,7 +109,7 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { std::vector golden_path; for (int current_router = 7; current_router != 4; current_router--) { - NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_router), NocRouterId(current_router - 1)); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_router), NocRouterId(current_router - 1)); const auto& link = noc_model.get_single_noc_link(link_id); golden_path.push_back(link); } @@ -133,7 +133,7 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { std::vector golden_path; for (int current_row = 0; current_row < 3; current_row++) { - NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_row * 4 + 2), NocRouterId((current_row + 1) * 4 + 2)); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_row * 4 + 2), NocRouterId((current_row + 1) * 4 + 2)); const auto& link = noc_model.get_single_noc_link(link_id); golden_path.push_back(link); } @@ -158,14 +158,14 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { // generate the horizontal path first for (int current_router = 3; current_router != 0; current_router--) { - NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_router), NocRouterId(current_router - 1)); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_router), NocRouterId(current_router - 1)); const auto& link = noc_model.get_single_noc_link(link_id); golden_path.push_back(link); } // generate the vertical path next for (int current_row = 0; current_row < 3; current_row++) { - NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_row * 4), NocRouterId((current_row + 1) * 4)); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_row * 4), NocRouterId((current_row + 1) * 4)); const auto& link = noc_model.get_single_noc_link(link_id); golden_path.push_back(link); } @@ -193,14 +193,14 @@ TEST_CASE("test_route_flow", "[vpr_noc_xy_routing]") { // generate the horizontal path first for (int current_router = 12; current_router != 15; current_router++) { - NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_router), NocRouterId(current_router + 1)); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_router), NocRouterId(current_router + 1)); const auto& link = noc_model.get_single_noc_link(link_id); golden_path.push_back(link); } // generate the vertical path next for (int current_row = 3; current_row > 0; current_row--) { - NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_row * 4 + 3), NocRouterId((current_row - 1) * 4 + 3)); + NocLinkId link_id = noc_model.get_single_noc_link_id(NocRouterId(current_row * 4 + 3), NocRouterId((current_row - 1) * 4 + 3)); const auto& link = noc_model.get_single_noc_link(link_id); golden_path.push_back(link); } From 88fb2e1c7dcf0095d750374f461a99a526b7b292 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Mon, 1 Apr 2024 20:54:35 -0400 Subject: [PATCH 394/608] specify available move types explicitly --- libs/libvtrutil/src/vtr_ndmatrix.h | 12 ++-- vpr/src/base/CheckSetup.cpp | 4 +- vpr/src/base/read_options.cpp | 3 +- vpr/src/base/vpr_types.h | 6 +- vpr/src/place/RL_agent_util.cpp | 73 +++++++++++++++-------- vpr/src/place/place.cpp | 12 ++-- vpr/src/place/simpleRL_move_generator.cpp | 50 +++++++++------- vpr/src/place/simpleRL_move_generator.h | 54 +++++++++-------- 8 files changed, 124 insertions(+), 90 deletions(-) diff --git a/libs/libvtrutil/src/vtr_ndmatrix.h b/libs/libvtrutil/src/vtr_ndmatrix.h index c3a4692edea..d720cbcb2b6 100644 --- a/libs/libvtrutil/src/vtr_ndmatrix.h +++ b/libs/libvtrutil/src/vtr_ndmatrix.h @@ -139,7 +139,7 @@ class NdMatrixProxy { * This should improve memory usage (no extra pointers to store for each dimension), * and cache locality (less indirection via pointers, predictable strides). * - * The indicies are calculated based on the dimensions to access the appropriate elements. + * The indices are calculated based on the dimensions to access the appropriate elements. * Since the indexing calculations are visible to the compiler at compile time they can be * optimized to be efficient. */ @@ -288,7 +288,7 @@ class NdMatrixBase { data_ = std::make_unique(size()); } - ///@brief Returns the size of the matrix (number of elements) calucated from the current dimensions + ///@brief Returns the size of the matrix (number of elements) calculated from the current dimensions size_t calc_size() const { ///@brief Size is the product of all dimension sizes size_t cnt = dim_size(0); @@ -310,7 +310,7 @@ class NdMatrixBase { * * Examples: * - * //A 2-dimensional matrix with indicies [0..4][0..9] + * //A 2-dimensional matrix with indices [0..4][0..9] * NdMatrix m1({5,10}); * * //Accessing an element @@ -319,17 +319,17 @@ class NdMatrixBase { * //Setting an element * m1[2][8] = 0; * - * //A 3-dimensional matrix with indicies [0..4][0..9][0..19] + * //A 3-dimensional matrix with indices [0..4][0..9][0..19] * NdMatrix m2({5,10,20}); * - * //A 2-dimensional matrix with indicies [0..4][0..9], with all entries + * //A 2-dimensional matrix with indices [0..4][0..9], with all entries * //initialized to 42 * NdMatrix m3({5,10}, 42); * * //Filling all entries with value 101 * m3.fill(101); * - * //Resizing an existing matrix (all values reset to default constucted value) + * //Resizing an existing matrix (all values reset to default constructed value) * m3.resize({5,5}) * * //Resizing an existing matrix (all elements set to value 88) diff --git a/vpr/src/base/CheckSetup.cpp b/vpr/src/base/CheckSetup.cpp index 44d382938a3..e5f2db44c48 100644 --- a/vpr/src/base/CheckSetup.cpp +++ b/vpr/src/base/CheckSetup.cpp @@ -38,7 +38,7 @@ void CheckSetup(const t_packer_opts& PackerOpts, "Timing analysis must be enabled for timing-driven placement.\n"); } - if (!PlacerOpts.doPlacement && ("" != PlacerOpts.constraints_file)) { + if (!PlacerOpts.doPlacement && (!PlacerOpts.constraints_file.empty())) { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "A block location file requires that placement is enabled.\n"); } @@ -57,7 +57,7 @@ void CheckSetup(const t_packer_opts& PackerOpts, if (!Timing.timing_analysis_enabled && (DEMAND_ONLY != RouterOpts.base_cost_type && DEMAND_ONLY_NORMALIZED_LENGTH != RouterOpts.base_cost_type)) { VPR_FATAL_ERROR(VPR_ERROR_OTHER, - "base_cost_type must be demand_only or demand_only_normailzed_length when timing analysis is disabled.\n"); + "base_cost_type must be demand_only or demand_only_normalized_length when timing analysis is disabled.\n"); } } diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 4babdd8b65b..68cd91621e4 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -2034,7 +2034,8 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .help( "The percentage probabilities of different moves in Simulated Annealing placement." "This option is only effective for timing-driven placement." - "The numbers listed are interpreted as the percentage probabilities of {uniformMove, MedianMove, CentroidMove, WeightedCentroid, WeightedMedian, Timing feasible Region(TFR), Critical UniformMove}, in that order.") + "The numbers listed are interpreted as the percentage probabilities of {uniformMove, MedianMove, CentroidMove, " + "WeightedCentroid, WeightedMedian, Critical UniformMove, Timing feasible Region(TFR)}, in that order.") .nargs('+') .default_value({"100", "0", "0", "0", "0", "0", "0"}) diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index f0e7c1b258a..2e79033b84d 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -550,9 +550,9 @@ enum class e_timing_update_type { ****************************************************************************/ /* Values of number of placement available move types */ -#define NUM_PL_MOVE_TYPES 7 -#define NUM_PL_NONTIMING_MOVE_TYPES 3 -#define NUM_PL_1ST_STATE_MOVE_TYPES 4 +constexpr int NUM_PL_MOVE_TYPES = 7; +constexpr int NUM_PL_NONTIMING_MOVE_TYPES = 3; +constexpr int NUM_PL_1ST_STATE_MOVE_TYPES = 4; /* Timing data structures end */ enum sched_type { diff --git a/vpr/src/place/RL_agent_util.cpp b/vpr/src/place/RL_agent_util.cpp index c0ee94cc7ce..5bd5f9803a2 100644 --- a/vpr/src/place/RL_agent_util.cpp +++ b/vpr/src/place/RL_agent_util.cpp @@ -1,24 +1,27 @@ #include "RL_agent_util.h" #include "manual_move_generator.h" -void create_move_generators(std::unique_ptr& move_generator, std::unique_ptr& move_generator2, const t_placer_opts& placer_opts, int move_lim) { - if (placer_opts.RL_agent_placement == false) { +void create_move_generators(std::unique_ptr& move_generator, + std::unique_ptr& move_generator2, + const t_placer_opts& placer_opts, + int move_lim) { + if (!placer_opts.RL_agent_placement) { // RL agent is disabled if (placer_opts.place_algorithm.is_timing_driven()) { VTR_LOG("Using static probabilities for choosing each move type\n"); - VTR_LOG("Probability of Uniform_move : %f \n", placer_opts.place_static_move_prob[0]); - VTR_LOG("Probability of Median_move : %f \n", placer_opts.place_static_move_prob[1]); - VTR_LOG("Probability of Centroid_move : %f \n", placer_opts.place_static_move_prob[2]); - VTR_LOG("Probability of Weighted_centroid_move : %f \n", placer_opts.place_static_move_prob[3]); - VTR_LOG("Probability of Weighted_median_move : %f \n", placer_opts.place_static_move_prob[4]); - VTR_LOG("Probability of Timing_feasible_region_move : %f \n", placer_opts.place_static_move_prob[5]); - VTR_LOG("Probability of Critical_uniform_move : %f \n", placer_opts.place_static_move_prob[6]); + VTR_LOG("Probability of Uniform_move : %f \n", placer_opts.place_static_move_prob[(int)e_move_type::UNIFORM]); + VTR_LOG("Probability of Median_move : %f \n", placer_opts.place_static_move_prob[(int)e_move_type::MEDIAN]); + VTR_LOG("Probability of Centroid_move : %f \n", placer_opts.place_static_move_prob[(int)e_move_type::CENTROID]); + VTR_LOG("Probability of Weighted_centroid_move : %f \n", placer_opts.place_static_move_prob[(int)e_move_type::W_CENTROID]); + VTR_LOG("Probability of Weighted_median_move : %f \n", placer_opts.place_static_move_prob[(int)e_move_type::W_MEDIAN]); + VTR_LOG("Probability of Critical_uniform_move : %f \n", placer_opts.place_static_move_prob[(int)e_move_type::CRIT_UNIFORM]); + VTR_LOG("Probability of Timing_feasible_region_move : %f \n", placer_opts.place_static_move_prob[(int)e_move_type::FEASIBLE_REGION]); move_generator = std::make_unique(placer_opts.place_static_move_prob); move_generator2 = std::make_unique(placer_opts.place_static_move_prob); } else { //Non-timing driven placement VTR_LOG("Using static probabilities for choosing each move type\n"); - VTR_LOG("Probability of Uniform_move : %f \n", placer_opts.place_static_notiming_move_prob[0]); - VTR_LOG("Probability of Median_move : %f \n", placer_opts.place_static_notiming_move_prob[1]); - VTR_LOG("Probability of Centroid_move : %f \n", placer_opts.place_static_notiming_move_prob[2]); + VTR_LOG("Probability of Uniform_move : %f \n", placer_opts.place_static_notiming_move_prob[(int)e_move_type::UNIFORM]); + VTR_LOG("Probability of Median_move : %f \n", placer_opts.place_static_notiming_move_prob[(int)e_move_type::MEDIAN]); + VTR_LOG("Probability of Centroid_move : %f \n", placer_opts.place_static_notiming_move_prob[(int)e_move_type::CENTROID]); move_generator = std::make_unique(placer_opts.place_static_notiming_move_prob); move_generator2 = std::make_unique(placer_opts.place_static_notiming_move_prob); } @@ -42,27 +45,35 @@ void create_move_generators(std::unique_ptr& move_generator, std: * only move type. * * This state is activated late in the anneal and in the Quench */ - int num_1st_state_avail_moves = placer_opts.place_algorithm.is_timing_driven() ? NUM_PL_1ST_STATE_MOVE_TYPES : NUM_PL_NONTIMING_MOVE_TYPES; - int num_2nd_state_avail_moves = placer_opts.place_algorithm.is_timing_driven() ? NUM_PL_MOVE_TYPES : NUM_PL_NONTIMING_MOVE_TYPES; + std::vector first_state_avail_moves {e_move_type::UNIFORM, e_move_type::MEDIAN, e_move_type::CENTROID}; + if (placer_opts.place_algorithm.is_timing_driven()) { + first_state_avail_moves.push_back(e_move_type::W_CENTROID); + } + + std::vector second_state_avail_moves {e_move_type::UNIFORM, e_move_type::MEDIAN, e_move_type::CENTROID}; + if (placer_opts.place_algorithm.is_timing_driven()) { + second_state_avail_moves.insert(second_state_avail_moves.end(), + {e_move_type::W_CENTROID, e_move_type::W_MEDIAN, e_move_type::CRIT_UNIFORM, e_move_type::FEASIBLE_REGION}); + } if (placer_opts.place_agent_algorithm == E_GREEDY) { std::unique_ptr karmed_bandit_agent1, karmed_bandit_agent2; //agent's 1st state if (placer_opts.place_agent_space == e_agent_space::MOVE_BLOCK_TYPE) { VTR_LOG("Using simple RL 'Epsilon Greedy agent' for choosing move and block types\n"); - karmed_bandit_agent1 = std::make_unique(num_1st_state_avail_moves, + karmed_bandit_agent1 = std::make_unique(first_state_avail_moves, e_agent_space::MOVE_BLOCK_TYPE, placer_opts.place_agent_epsilon); } else { VTR_LOG("Using simple RL 'Epsilon Greedy agent' for choosing move types\n"); - karmed_bandit_agent1 = std::make_unique(num_1st_state_avail_moves, + karmed_bandit_agent1 = std::make_unique(first_state_avail_moves, e_agent_space::MOVE_TYPE, placer_opts.place_agent_epsilon); } karmed_bandit_agent1->set_step(placer_opts.place_agent_gamma, move_lim); move_generator = std::make_unique(karmed_bandit_agent1); //agent's 2nd state - karmed_bandit_agent2 = std::make_unique(num_2nd_state_avail_moves, + karmed_bandit_agent2 = std::make_unique(second_state_avail_moves, e_agent_space::MOVE_TYPE, placer_opts.place_agent_epsilon); karmed_bandit_agent2->set_step(placer_opts.place_agent_gamma, move_lim); @@ -72,17 +83,17 @@ void create_move_generators(std::unique_ptr& move_generator, std: //agent's 1st state if (placer_opts.place_agent_space == e_agent_space::MOVE_BLOCK_TYPE) { VTR_LOG("Using simple RL 'Softmax agent' for choosing move and block types\n"); - karmed_bandit_agent1 = std::make_unique(num_1st_state_avail_moves, + karmed_bandit_agent1 = std::make_unique(first_state_avail_moves, e_agent_space::MOVE_BLOCK_TYPE); } else { VTR_LOG("Using simple RL 'Softmax agent' for choosing move types\n"); - karmed_bandit_agent1 = std::make_unique(num_1st_state_avail_moves, + karmed_bandit_agent1 = std::make_unique(first_state_avail_moves, e_agent_space::MOVE_TYPE); } karmed_bandit_agent1->set_step(placer_opts.place_agent_gamma, move_lim); move_generator = std::make_unique(karmed_bandit_agent1); //agent's 2nd state - karmed_bandit_agent2 = std::make_unique(num_2nd_state_avail_moves, + karmed_bandit_agent2 = std::make_unique(second_state_avail_moves, e_agent_space::MOVE_TYPE); karmed_bandit_agent2->set_step(placer_opts.place_agent_gamma, move_lim); move_generator2 = std::make_unique(karmed_bandit_agent2); @@ -90,28 +101,38 @@ void create_move_generators(std::unique_ptr& move_generator, std: } } -void assign_current_move_generator(std::unique_ptr& move_generator, std::unique_ptr& move_generator2, e_agent_state agent_state, const t_placer_opts& placer_opts, bool in_quench, std::unique_ptr& current_move_generator) { +void assign_current_move_generator(std::unique_ptr& move_generator, + std::unique_ptr& move_generator2, + e_agent_state agent_state, + const t_placer_opts& placer_opts, + bool in_quench, + std::unique_ptr& current_move_generator) { if (in_quench) { - if (placer_opts.place_quench_algorithm.is_timing_driven() && placer_opts.place_agent_multistate == true) + if (placer_opts.place_quench_algorithm.is_timing_driven() && placer_opts.place_agent_multistate) current_move_generator = std::move(move_generator2); else current_move_generator = std::move(move_generator); } else { - if (agent_state == EARLY_IN_THE_ANNEAL || placer_opts.place_agent_multistate == false) + if (agent_state == EARLY_IN_THE_ANNEAL || !placer_opts.place_agent_multistate) current_move_generator = std::move(move_generator); else current_move_generator = std::move(move_generator2); } } -void update_move_generator(std::unique_ptr& move_generator, std::unique_ptr& move_generator2, e_agent_state agent_state, const t_placer_opts& placer_opts, bool in_quench, std::unique_ptr& current_move_generator) { +void update_move_generator(std::unique_ptr& move_generator, + std::unique_ptr& move_generator2, + e_agent_state agent_state, + const t_placer_opts& placer_opts, + bool in_quench, + std::unique_ptr& current_move_generator) { if (in_quench) { - if (placer_opts.place_quench_algorithm.is_timing_driven() && placer_opts.place_agent_multistate == true) + if (placer_opts.place_quench_algorithm.is_timing_driven() && placer_opts.place_agent_multistate) move_generator2 = std::move(current_move_generator); else move_generator = std::move(current_move_generator); } else { - if (agent_state == EARLY_IN_THE_ANNEAL || placer_opts.place_agent_multistate == false) + if (agent_state == EARLY_IN_THE_ANNEAL || !placer_opts.place_agent_multistate) move_generator = std::move(current_move_generator); else move_generator2 = std::move(current_move_generator); diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index 059528c6415..a27858c9635 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -936,9 +936,9 @@ void try_place(const Netlist<>& net_list, //allocate move type statistics vectors MoveTypeStat move_type_stat; - move_type_stat.blk_type_moves.resize(device_ctx.logical_block_types.size() * placer_opts.place_static_move_prob.size(), 0); - move_type_stat.accepted_moves.resize(device_ctx.logical_block_types.size() * placer_opts.place_static_move_prob.size(), 0); - move_type_stat.rejected_moves.resize(device_ctx.logical_block_types.size() * placer_opts.place_static_move_prob.size(), 0); + move_type_stat.blk_type_moves.resize(device_ctx.logical_block_types.size() * (int)e_move_type::NUMBER_OF_AUTO_MOVES, 0); + move_type_stat.accepted_moves.resize(device_ctx.logical_block_types.size() * (int)e_move_type::NUMBER_OF_AUTO_MOVES, 0); + move_type_stat.rejected_moves.resize(device_ctx.logical_block_types.size() * (int)e_move_type::NUMBER_OF_AUTO_MOVES, 0); /* Get the first range limiter */ first_rlim = (float)max(device_ctx.grid.width() - 1, @@ -1725,7 +1725,7 @@ static e_move_result try_swap(const t_annealing_state* state, } if (proposed_action.logical_blk_type_index != -1) { //if the agent proposed the block type, then collect the block type stat - ++move_type_stat.blk_type_moves[(proposed_action.logical_blk_type_index * (placer_opts.place_static_move_prob.size())) + (int)proposed_action.move_type]; + ++move_type_stat.blk_type_moves[(proposed_action.logical_blk_type_index * (int)e_move_type::NUMBER_OF_AUTO_MOVES) + (int)proposed_action.move_type]; } LOG_MOVE_STATS_PROPOSED(t, blocks_affected); @@ -1876,7 +1876,7 @@ static e_move_result try_swap(const t_annealing_state* state, commit_move_blocks(blocks_affected); if (proposed_action.logical_blk_type_index != -1) { //if the agent proposed the block type, then collect the block type stat - ++move_type_stat.accepted_moves[(proposed_action.logical_blk_type_index * (placer_opts.place_static_move_prob.size())) + (int)proposed_action.move_type]; + ++move_type_stat.accepted_moves[(proposed_action.logical_blk_type_index * (int)e_move_type::NUMBER_OF_AUTO_MOVES) + (int)proposed_action.move_type]; } if (noc_opts.noc) { commit_noc_costs(); @@ -1927,7 +1927,7 @@ static e_move_result try_swap(const t_annealing_state* state, } if (proposed_action.logical_blk_type_index != -1) { //if the agent proposed the block type, then collect the block type stat - ++move_type_stat.rejected_moves[(proposed_action.logical_blk_type_index * (placer_opts.place_static_move_prob.size())) + (int)proposed_action.move_type]; + ++move_type_stat.rejected_moves[(proposed_action.logical_blk_type_index * (int)e_move_type::NUMBER_OF_AUTO_MOVES) + (int)proposed_action.move_type]; } /* Revert the traffic flow routes within the NoC*/ if (noc_opts.noc) { diff --git a/vpr/src/place/simpleRL_move_generator.cpp b/vpr/src/place/simpleRL_move_generator.cpp index 17753d66a88..172178f49ce 100644 --- a/vpr/src/place/simpleRL_move_generator.cpp +++ b/vpr/src/place/simpleRL_move_generator.cpp @@ -2,6 +2,7 @@ #include "globals.h" #include #include +#include #include "vtr_random.h" #include "vtr_time.h" @@ -14,9 +15,13 @@ static float scaled_clipped_exp(float x) { return std::exp(std::min(1000 * x, fl * RL move generator implementation * * * * */ -e_create_move SimpleRLMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_affected, t_propose_action& proposed_action, float rlim, const t_placer_opts& placer_opts, const PlacerCriticalities* criticalities) { +e_create_move SimpleRLMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_affected, + t_propose_action& proposed_action, + float rlim, + const t_placer_opts& placer_opts, + const PlacerCriticalities* criticalities) { proposed_action = karmed_bandit_agent->propose_action(); - return avail_moves[(int)proposed_action.move_type]->propose_move(blocks_affected, proposed_action, rlim, placer_opts, criticalities); + return all_moves[proposed_action.move_type]->propose_move(blocks_affected, proposed_action, rlim, placer_opts, criticalities); } void SimpleRLMoveGenerator::process_outcome(double reward, e_reward_function reward_fun) { @@ -28,13 +33,14 @@ void SimpleRLMoveGenerator::process_outcome(double reward, e_reward_function rew * K-Armed bandit agent implementation * * * * */ -KArmedBanditAgent::KArmedBanditAgent(size_t num_moves, e_agent_space agent_space) - : num_available_moves_(num_moves) +KArmedBanditAgent::KArmedBanditAgent(std::vector available_moves, e_agent_space agent_space) + : available_moves_(std::move(available_moves)) , propose_blk_type_(agent_space == e_agent_space::MOVE_BLOCK_TYPE) { std::vector available_logical_block_types = get_available_logical_blk_types_(); num_available_types_ = available_logical_block_types.size(); - num_available_actions_ = propose_blk_type_ ? (num_available_moves_ * num_available_types_) : num_available_moves_; + size_t num_available_moves = available_moves_.size(); + num_available_actions_ = propose_blk_type_ ? (num_available_moves * num_available_types_) : num_available_moves; action_logical_blk_type_.clear(); @@ -64,7 +70,7 @@ e_move_type KArmedBanditAgent::action_to_move_type_(const size_t action_idx) { e_move_type move_type = e_move_type::INVALID_MOVE; if (action_idx < num_available_actions_) { - move_type = (e_move_type)(action_idx % num_available_moves_); + move_type = available_moves_[action_idx % available_moves_.size()]; } return move_type; @@ -72,7 +78,7 @@ e_move_type KArmedBanditAgent::action_to_move_type_(const size_t action_idx) { int KArmedBanditAgent::action_to_blk_type_(const size_t action_idx) { if (propose_blk_type_) { - return action_logical_blk_type_.at(action_idx / num_available_moves_); + return action_logical_blk_type_.at(action_idx / available_moves_.size()); } else { // the agent doesn't select the move type return -1; } @@ -101,8 +107,10 @@ std::vector KArmedBanditAgent::get_available_logical_blk_types_() { void KArmedBanditAgent::process_outcome(double reward, e_reward_function reward_fun) { ++num_action_chosen_[last_action_]; - if (reward_fun == RUNTIME_AWARE || reward_fun == WL_BIASED_RUNTIME_AWARE) - reward /= time_elapsed_[last_action_ % num_available_moves_]; + if (reward_fun == RUNTIME_AWARE || reward_fun == WL_BIASED_RUNTIME_AWARE) { + e_move_type move_type = action_to_move_type_(last_action_); + reward /= time_elapsed_[move_type]; + } //Determine step size float step = 0.; @@ -150,13 +158,13 @@ void KArmedBanditAgent::set_step(float gamma, int move_lim) { } else { // // For an exponentially weighted average the fraction of total weight applied - // to moves which occured > K moves ago is: + // to moves which occurred > K moves ago is: // // gamma = (1 - alpha)^K // // If we treat K as the number of moves per temperature (move_lim) then gamma - // is the fraction of weight applied to moves which occured > move_lim moves ago, - // and given a target gamma we can explicitly calcualte the alpha step-size + // is the fraction of weight applied to moves which occurred > move_lim moves ago, + // and given a target gamma we can explicitly calculate the alpha step-size // required by the agent: // // alpha = 1 - e^(log(gamma) / K) @@ -174,8 +182,8 @@ int KArmedBanditAgent::agent_to_phy_blk_type(const int idx) { * E-greedy agent implementation * * * * */ -EpsilonGreedyAgent::EpsilonGreedyAgent(size_t num_moves, e_agent_space agent_space, float epsilon) - : KArmedBanditAgent(num_moves, agent_space) { +EpsilonGreedyAgent::EpsilonGreedyAgent(std::vector available_moves, e_agent_space agent_space, float epsilon) + : KArmedBanditAgent(std::move(available_moves), agent_space) { set_epsilon(epsilon); init_q_scores_(); } @@ -223,7 +231,7 @@ t_propose_action EpsilonGreedyAgent::propose_action() { action_to_blk_type_(last_action_)}; //Check the move type to be a valid move - VTR_ASSERT((size_t)proposed_action.move_type < num_available_moves_); + VTR_ASSERT_SAFE(std::find(available_moves_.begin(), available_moves_.end(), proposed_action.move_type) != available_moves_.end()); return proposed_action; } @@ -249,8 +257,8 @@ void EpsilonGreedyAgent::set_epsilon_action_prob() { * Softmax agent implementation * * * * */ -SoftmaxAgent::SoftmaxAgent(size_t num_moves, e_agent_space agent_space) - : KArmedBanditAgent(num_moves, agent_space) { +SoftmaxAgent::SoftmaxAgent(std::vector available_moves, e_agent_space agent_space) + : KArmedBanditAgent(std::move(available_moves), agent_space) { init_q_scores_(); } @@ -297,7 +305,7 @@ t_propose_action SoftmaxAgent::propose_action() { action_to_blk_type_(last_action_)}; //Check the move type to be a valid move - VTR_ASSERT((size_t)proposed_action.move_type < num_available_moves_); + VTR_ASSERT_SAFE(std::find(available_moves_.begin(), available_moves_.end(), proposed_action.move_type) != available_moves_.end()); return proposed_action; } @@ -318,7 +326,7 @@ void SoftmaxAgent::set_block_ratio_() { blk_type.index = agent_to_phy_blk_type(itype); auto num_blocks = cluster_ctx.clb_nlist.blocks_per_type(blk_type).size(); block_type_ratio_[itype] = (float)num_blocks / num_total_blocks; - block_type_ratio_[itype] /= num_available_moves_; + block_type_ratio_[itype] /= available_moves_.size(); } } @@ -333,7 +341,7 @@ void SoftmaxAgent::set_action_prob_() { for (size_t i = 0; i < num_available_actions_; ++i) { if (propose_blk_type_) { //calculate block type index based on its location on q_table - int blk_ratio_index = (int)i / num_available_moves_; + int blk_ratio_index = (int)i / available_moves_.size(); action_prob_[i] = (exp_q_[i] / sum_q) * block_type_ratio_[blk_ratio_index]; } else { action_prob_[i] = (exp_q_[i] / sum_q); @@ -347,7 +355,7 @@ void SoftmaxAgent::set_action_prob_() { [sum_prob](float x) { return x * (1 / sum_prob); }); } else { std::transform(action_prob_.begin(), action_prob_.end(), action_prob_.begin(), - [sum_prob, this](float x) { return x + ((1.0 - sum_prob) / this->num_available_moves_); }); + [sum_prob, this](float x) { return x + ((1.0 - sum_prob) / this->available_moves_.size()); }); } // calculate the accumulative action probability of each action diff --git a/vpr/src/place/simpleRL_move_generator.h b/vpr/src/place/simpleRL_move_generator.h index de108313023..babd0423813 100644 --- a/vpr/src/place/simpleRL_move_generator.h +++ b/vpr/src/place/simpleRL_move_generator.h @@ -14,7 +14,7 @@ */ class KArmedBanditAgent { public: - KArmedBanditAgent(size_t num_moves, e_agent_space agent_space); + KArmedBanditAgent(std::vector available_moves, e_agent_space agent_space); virtual ~KArmedBanditAgent() = default; /** @@ -84,18 +84,18 @@ class KArmedBanditAgent { inline int agent_to_phy_blk_type(int idx); protected: - float exp_alpha_ = -1; //Step size for q_ updates (< 0 implies use incremental average) - size_t num_available_moves_; //Number of move types that agent can choose from to perform - size_t num_available_types_; //Number of block types that exist in the netlest. Agent may not choose the block type. - size_t num_available_actions_; //Total number of available actions - bool propose_blk_type_ = false; //Check if agent should propose both move and block type or only move type - std::vector num_action_chosen_; //Number of times each arm has been pulled (n) - std::vector q_; //Estimated value of each arm (Q) - size_t last_action_; //type of the last action (move type) proposed + float exp_alpha_ = -1; //Step size for q_ updates (< 0 implies use incremental average) + std::vector available_moves_; //All available moves from which the agent can choose + size_t num_available_types_; //Number of block types that exist in the netlist. Agent may not choose the block type. + size_t num_available_actions_; //Total number of available actions + bool propose_blk_type_ = false; //Check if agent should propose both move and block type or only move type + std::vector num_action_chosen_; //Number of times each arm has been pulled (n) + std::vector q_; //Estimated value of each arm (Q) + size_t last_action_; //type of the last action (move type) proposed /* Ratios of the average runtime to calculate each move type */ /* These ratios are useful for different reward functions * * The vector is calculated by averaging many runs on different circuits */ - std::vector time_elapsed_{1.0, 3.6, 5.4, 2.5, 2.1, 0.8, 2.2}; + const vtr::vector time_elapsed_{1.0, 3.6, 5.4, 2.5, 2.1, 0.8, 2.2}; FILE* agent_info_file_ = nullptr; @@ -121,7 +121,7 @@ class KArmedBanditAgent { */ class EpsilonGreedyAgent : public KArmedBanditAgent { public: - EpsilonGreedyAgent(size_t num_moves, e_agent_space agent_space, float epsilon); + EpsilonGreedyAgent(std::vector available_moves, e_agent_space agent_space, float epsilon); ~EpsilonGreedyAgent() override; t_propose_action propose_action() override; //Returns the type of the next action as well as the block type the agent wishes to perform @@ -160,10 +160,10 @@ class EpsilonGreedyAgent : public KArmedBanditAgent { */ class SoftmaxAgent : public KArmedBanditAgent { public: - SoftmaxAgent(size_t num_moves, e_agent_space agent_space); + SoftmaxAgent(std::vector available_moves, e_agent_space agent_space); ~SoftmaxAgent() override; - //void process_outcome(double reward, std::string reward_fun) override; //Updates the agent based on the reward of the last proposed action + t_propose_action propose_action() override; //Returns the type of the next action as well as the block type the agent wishes to perform private: @@ -200,8 +200,8 @@ class SoftmaxAgent : public KArmedBanditAgent { */ class SimpleRLMoveGenerator : public MoveGenerator { private: - std::vector> avail_moves; // list of pointers to the available move generators (the different move types) - std::unique_ptr karmed_bandit_agent; // a pointer to the specific agent used (e.g. Softmax) + vtr::vector> all_moves; // list of pointers to all move generators (the different move types) + std::unique_ptr karmed_bandit_agent; // a pointer to the specific agent used (e.g. Softmax) public: // constructor using a pointer to the agent used @@ -219,7 +219,11 @@ class SimpleRLMoveGenerator : public MoveGenerator { explicit SimpleRLMoveGenerator(std::unique_ptr& agent); // Updates affected_blocks with the proposed move, while respecting the current rlim - e_create_move propose_move(t_pl_blocks_to_be_moved& blocks_affected, t_propose_action& proposed_action, float rlim, const t_placer_opts& placer_opts, const PlacerCriticalities* criticalities) override; + e_create_move propose_move(t_pl_blocks_to_be_moved& blocks_affected, + t_propose_action& proposed_action, + float rlim, + const t_placer_opts& placer_opts, + const PlacerCriticalities* criticalities) override; // Receives feedback about the outcome of the previously proposed move void process_outcome(double reward, e_reward_function reward_fun) override; @@ -227,15 +231,15 @@ class SimpleRLMoveGenerator : public MoveGenerator { template SimpleRLMoveGenerator::SimpleRLMoveGenerator(std::unique_ptr& agent) { - avail_moves.resize((int)e_move_type::NUMBER_OF_AUTO_MOVES); - - avail_moves[(int)e_move_type::UNIFORM] = std::make_unique(); - avail_moves[(int)e_move_type::MEDIAN] = std::make_unique(); - avail_moves[(int)e_move_type::CENTROID] = std::make_unique(); - avail_moves[(int)e_move_type::W_CENTROID] = std::make_unique(); - avail_moves[(int)e_move_type::W_MEDIAN] = std::make_unique(); - avail_moves[(int)e_move_type::CRIT_UNIFORM] = std::make_unique(); - avail_moves[(int)e_move_type::FEASIBLE_REGION] = std::make_unique(); + all_moves.resize((int)e_move_type::NUMBER_OF_AUTO_MOVES); + + all_moves[e_move_type::UNIFORM] = std::make_unique(); + all_moves[e_move_type::MEDIAN] = std::make_unique(); + all_moves[e_move_type::CENTROID] = std::make_unique(); + all_moves[e_move_type::W_CENTROID] = std::make_unique(); + all_moves[e_move_type::W_MEDIAN] = std::make_unique(); + all_moves[e_move_type::CRIT_UNIFORM] = std::make_unique(); + all_moves[e_move_type::FEASIBLE_REGION] = std::make_unique(); karmed_bandit_agent = std::move(agent); } From 0c42d0b401db72b7e7f02f54100d289372d26526 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Tue, 2 Apr 2024 15:21:20 +0300 Subject: [PATCH 395/608] add doc to telegrambuffer.h --- vpr/src/server/telegrambuffer.h | 31 +++++++++++++++++++++++++++++++ 1 file changed, 31 insertions(+) diff --git a/vpr/src/server/telegrambuffer.h b/vpr/src/server/telegrambuffer.h index 6dde1873712..ad3ff2b674e 100644 --- a/vpr/src/server/telegrambuffer.h +++ b/vpr/src/server/telegrambuffer.h @@ -25,15 +25,46 @@ class TelegramBuffer explicit TelegramBuffer(std::size_t sizeHint): m_rawBuffer(sizeHint) {} ~TelegramBuffer()=default; + /** + * @brief Check if internal byte buffer is empty. + * + * @return true if the internal byte buffer is empty, false otherwise. + */ bool empty() { return m_rawBuffer.empty(); } + /** + * @brief Clear internal byte buffer. + */ void clear() { m_rawBuffer.clear(); } + /** + * @brief Append bytes to the internal byte buffer. + * + * @param data The byte array whose contents will be appended to internal byte buffer. + */ void append(const ByteArray&); + + /** + * @brief Extracts well-formed telegram frames from the internal byte buffer. + * + * @param frames A reference to a vector where the extracted telegram frames will be stored. + */ void takeTelegramFrames(std::vector&); + + /** + * @brief Extracts well-formed telegram frames from the internal byte buffer. + * + * @return std::vector A vector containing pointers to the extracted telegram frames. + */ std::vector takeTelegramFrames(); + void takeErrors(std::vector&); + /** + * @brief Retrieves a constant reference to the internal byte buffer. + * + * @return A constant reference to the internal byte buffer. + */ const ByteArray& data() const { return m_rawBuffer; } private: From df289320429c3c516d9c69989c27ba2445f05f74 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Tue, 2 Apr 2024 16:48:14 +0300 Subject: [PATCH 396/608] add comments for TelegramBuffer::takeTelegramFrames --- vpr/src/server/telegrambuffer.cpp | 11 ++++++++--- vpr/src/server/telegrambuffer.h | 2 +- 2 files changed, 9 insertions(+), 4 deletions(-) diff --git a/vpr/src/server/telegrambuffer.cpp b/vpr/src/server/telegrambuffer.cpp index fa811a9e667..8b7d541969a 100644 --- a/vpr/src/server/telegrambuffer.cpp +++ b/vpr/src/server/telegrambuffer.cpp @@ -7,11 +7,12 @@ void TelegramBuffer::append(const ByteArray& bytes) m_rawBuffer.append(bytes); } -bool TelegramBuffer::checkRawBuffer() +bool TelegramBuffer::checkTelegramHeaderPresence() { std::size_t signatureStartIndex = m_rawBuffer.findSequence(TelegramHeader::SIGNATURE, TelegramHeader::SIGNATURE_SIZE); if (signatureStartIndex != std::size_t(-1)) { if (signatureStartIndex != 0) { + // discard bytes preceding the header start position. m_rawBuffer.erase(m_rawBuffer.begin(), m_rawBuffer.begin()+signatureStartIndex); } return true; @@ -28,8 +29,9 @@ void TelegramBuffer::takeTelegramFrames(std::vector& res bool mayContainFullTelegram = true; while(mayContainFullTelegram) { mayContainFullTelegram = false; + // attempt to extract telegram header if (!m_headerOpt) { - if (checkRawBuffer()) { + if (checkTelegramHeaderPresence()) { TelegramHeader header(m_rawBuffer); if (header.isValid()) { m_headerOpt = std::move(header); @@ -37,15 +39,18 @@ void TelegramBuffer::takeTelegramFrames(std::vector& res } } + // attempt to extract telegram frame based on the telegram header if (m_headerOpt) { const TelegramHeader& header = m_headerOpt.value(); std::size_t wholeTelegramSize = TelegramHeader::size() + header.bodyBytesNum(); if (m_rawBuffer.size() >= wholeTelegramSize) { + // checksum validation ByteArray data(m_rawBuffer.begin() + TelegramHeader::size(), m_rawBuffer.begin() + wholeTelegramSize); uint32_t actualCheckSum = data.calcCheckSum(); if (actualCheckSum == header.bodyCheckSum()) { + // construct telegram frame if checksum matches TelegramFramePtr telegramFramePtr = std::make_shared(TelegramFrame{header, std::move(data)}); - data.clear(); + data.clear(); // post std::move safety step result.push_back(telegramFramePtr); } else { m_errors.push_back("wrong checkSums " + std::to_string(actualCheckSum) +" for " + header.info() + " , drop this chunk"); diff --git a/vpr/src/server/telegrambuffer.h b/vpr/src/server/telegrambuffer.h index ad3ff2b674e..10e16ed6661 100644 --- a/vpr/src/server/telegrambuffer.h +++ b/vpr/src/server/telegrambuffer.h @@ -72,7 +72,7 @@ class TelegramBuffer std::vector m_errors; std::optional m_headerOpt; - bool checkRawBuffer(); + bool checkTelegramHeaderPresence(); }; } // namespace comm From 5276017f35ae1e26c43ce8009764b32f8496b8dd Mon Sep 17 00:00:00 2001 From: amin1377 Date: Tue, 2 Apr 2024 10:35:57 -0400 Subject: [PATCH 397/608] vpr: fix a type. set max pres fac --- vpr/src/route/route.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vpr/src/route/route.cpp b/vpr/src/route/route.cpp index e6b4dbf81d7..b39e055e56c 100644 --- a/vpr/src/route/route.cpp +++ b/vpr/src/route/route.cpp @@ -442,7 +442,7 @@ bool route(const Netlist<>& net_list, pres_fac *= router_opts.pres_fac_mult; /* Set the maximum pres_fac to the value passed by the command line argument */ - pres_fac = update_draw_pres_fac(std::min(pres_fac, router_opts.pres_fac_mult)); + pres_fac = update_draw_pres_fac(std::min(pres_fac, router_opts.max_pres_fac)); // Increase short path criticality if it's having a hard time resolving hold violations due to congestion if (budgeting_inf.if_set()) { From faf9a07f1227bbd4434d62e657750c32a93bf72e Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Tue, 2 Apr 2024 18:12:52 +0300 Subject: [PATCH 398/608] add IPA unittests --- vpr/test/test_server_convertutils.cpp | 18 +++ vpr/test/test_server_taskresolver.cpp | 112 +++++++++++++++++ vpr/test/test_server_telegrambuffer.cpp | 146 +++++++++++++++++++++++ vpr/test/test_server_telegramoptions.cpp | 19 +++ vpr/test/test_server_telegramparser.cpp | 43 +++++++ vpr/test/test_server_zlibutils.cpp | 20 ++++ 6 files changed, 358 insertions(+) create mode 100644 vpr/test/test_server_convertutils.cpp create mode 100644 vpr/test/test_server_taskresolver.cpp create mode 100644 vpr/test/test_server_telegrambuffer.cpp create mode 100644 vpr/test/test_server_telegramoptions.cpp create mode 100644 vpr/test/test_server_telegramparser.cpp create mode 100644 vpr/test/test_server_zlibutils.cpp diff --git a/vpr/test/test_server_convertutils.cpp b/vpr/test/test_server_convertutils.cpp new file mode 100644 index 00000000000..3431cede2d6 --- /dev/null +++ b/vpr/test/test_server_convertutils.cpp @@ -0,0 +1,18 @@ +#include "convertutils.h" + +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +TEST_CASE("test_server_convert_utils_to_int", "[vpr]") +{ + REQUIRE(std::optional{-2} == tryConvertToInt("-2")); + REQUIRE(std::optional{0} == tryConvertToInt("0")); + REQUIRE(std::optional{2} == tryConvertToInt("2")); + REQUIRE(std::nullopt == tryConvertToInt("2.")); + REQUIRE(std::nullopt == tryConvertToInt("2.0")); + REQUIRE(std::nullopt == tryConvertToInt("two")); + REQUIRE(std::nullopt == tryConvertToInt("2k")); + REQUIRE(std::nullopt == tryConvertToInt("k2")); +} + + diff --git a/vpr/test/test_server_taskresolver.cpp b/vpr/test/test_server_taskresolver.cpp new file mode 100644 index 00000000000..ba9a08313a4 --- /dev/null +++ b/vpr/test/test_server_taskresolver.cpp @@ -0,0 +1,112 @@ +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +#include "taskresolver.h" +#include + +namespace { + +TEST_CASE("test_server_taskresolver_cmdSpamFilter", "[vpr]") { + server::TaskResolver resolver; + const int cmd = 10; + + { + server::TaskPtr task0 = std::make_unique(1,cmd); + server::TaskPtr task1 = std::make_unique(2,cmd); + server::TaskPtr task2 = std::make_unique(3,cmd); + server::TaskPtr task3 = std::make_unique(4,cmd); + server::TaskPtr task4 = std::make_unique(5,cmd); + + resolver.addTask(task0); + resolver.addTask(task1); + resolver.addTask(task2); + resolver.addTask(task3); + resolver.addTask(task4); + } + + std::vector finished; + resolver.takeFinished(finished); + + REQUIRE(finished.size() == 4); + + for (const auto& task: finished) { + REQUIRE(task->isFinished()); + REQUIRE(task->hasError()); + REQUIRE(task->jobId() != 1); + REQUIRE(task->cmd() == cmd); + } + REQUIRE(resolver.tasksNum() == 1); + const server::TaskPtr& task = resolver.tasks().at(0); + REQUIRE(task->jobId() == 1); + REQUIRE(task->cmd() == cmd); +} + +TEST_CASE("test_server_taskresolver_cmdOverrideFilter", "[vpr]") { + server::TaskResolver resolver; + const int cmd = 10; + + { + server::TaskPtr task0 = std::make_unique(1,cmd,"1"); + server::TaskPtr task1 = std::make_unique(2,cmd,"11"); + server::TaskPtr task2 = std::make_unique(3,cmd,"222"); + + resolver.addTask(task0); + resolver.addTask(task1); + resolver.addTask(task2); + } + + std::vector finished; + resolver.takeFinished(finished); + + REQUIRE(finished.size() == 2); + + for (const server::TaskPtr& task: finished) { + REQUIRE(task->isFinished()); + REQUIRE(task->hasError()); + REQUIRE(task->jobId() != 3); + } + REQUIRE(resolver.tasksNum() == 1); + const server::TaskPtr& task = resolver.tasks().at(0); + REQUIRE(task->jobId() == 3); + REQUIRE(task->cmd() == cmd); + REQUIRE(task->options() == "222"); +} + +TEST_CASE("test_server_taskresolver_cmdSpamAndOverrideOptions", "[vpr]") { + server::TaskResolver resolver; + + { + server::TaskPtr task0 = std::make_unique(1,2,"1"); + server::TaskPtr task1 = std::make_unique(2,2,"11"); + server::TaskPtr task2 = std::make_unique(3,2,"222"); + server::TaskPtr task3 = std::make_unique(4,2,"222"); + server::TaskPtr task4 = std::make_unique(5,1); + server::TaskPtr task5 = std::make_unique(6,1); + server::TaskPtr task6 = std::make_unique(7,1); + + resolver.addTask(task0); + resolver.addTask(task1); + resolver.addTask(task2); + resolver.addTask(task3); + resolver.addTask(task4); + resolver.addTask(task5); + resolver.addTask(task6); + } + + std::vector finished; + resolver.takeFinished(finished); + + REQUIRE(resolver.tasksNum() == 2); + const server::TaskPtr& task0 = resolver.tasks().at(0); + const server::TaskPtr& task1 = resolver.tasks().at(1); + + REQUIRE(task0->jobId() == 3); + REQUIRE(task0->cmd() == 2); + REQUIRE(task0->options() == "222"); + + REQUIRE(task1->jobId() == 5); + REQUIRE(task1->cmd() == 1); + REQUIRE(task1->options() == ""); +} + +} // namespace diff --git a/vpr/test/test_server_telegrambuffer.cpp b/vpr/test/test_server_telegrambuffer.cpp new file mode 100644 index 00000000000..d02746e5815 --- /dev/null +++ b/vpr/test/test_server_telegrambuffer.cpp @@ -0,0 +1,146 @@ +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +#include "telegrambuffer.h" + +namespace { + +TEST_CASE("test_server_bytearray", "[vpr]") { + comm::ByteArray array1{"111"}; + comm::ByteArray array2{"222"}; + comm::ByteArray array{array1}; + array.append(array2); + + REQUIRE(array.at(0) == '1'); + REQUIRE(array.at(1) == '1'); + REQUIRE(array.at(2) == '1'); + REQUIRE(array.at(3) == '2'); + REQUIRE(array.at(4) == '2'); + REQUIRE(array.at(5) == '2'); + + REQUIRE(array.to_string() == "111222"); + + REQUIRE(array.size() == 6); + + array.append('3'); + + REQUIRE(array.size() == 7); + REQUIRE(array.to_string() == "1112223"); + + REQUIRE(array.at(6) == '3'); + + array.clear(); + + REQUIRE(array.size() == 0); + REQUIRE(array.to_string() == ""); +} + +TEST_CASE("test_server_telegrambuffer_oneOpened", "[vpr]") { + comm::TelegramBuffer buff; + buff.append(comm::ByteArray{"111"}); + buff.append(comm::ByteArray{"222"}); + + auto frames = buff.takeTelegramFrames(); + REQUIRE(frames.size() == 0); + + REQUIRE(buff.data().to_string() == "111222"); +} + +TEST_CASE("test_server_telegrambuffer_notFilledTelegramButWithPrependedRubish", "[vpr]") +{ + comm::TelegramBuffer tBuff; + + const comm::ByteArray rubish{"#@!"}; + const comm::ByteArray msgBody{"some message"}; + const comm::TelegramHeader msgHeader{comm::TelegramHeader::constructFromData(msgBody)}; + + tBuff.append(rubish); + tBuff.append(msgHeader.buffer()); + + auto frames = tBuff.takeTelegramFrames(); + REQUIRE(0 == frames.size()); + + REQUIRE(msgHeader.buffer() == tBuff.data()); // the rubish prefix fragment will be absent here +} + +TEST_CASE("test_server_telegrambuffer__oneFinishedOneOpened", "[vpr]") +{ + comm::TelegramBuffer tBuff; + + const comm::ByteArray msgBody1{"message1"}; + const comm::ByteArray msgBody2{"message2"}; + + const comm::TelegramHeader msgHeader1{comm::TelegramHeader::constructFromData(msgBody1)}; + const comm::TelegramHeader msgHeader2{comm::TelegramHeader::constructFromData(msgBody2)}; + + comm::ByteArray t1(msgHeader1.buffer()); + t1.append(msgBody1); + + comm::ByteArray t2(msgHeader2.buffer()); + t2.append(msgBody2); + t2.resize(t2.size()-2); // drop 2 last elements + + tBuff.append(t1); + tBuff.append(t2); + + auto frames = tBuff.takeTelegramFrames(); + REQUIRE(1 == frames.size()); + + REQUIRE(msgBody1 == frames[0]->data); + + REQUIRE(t2 == tBuff.data()); +} + +TEST_CASE("test_server_telegrambuffer_twoFinished", "[vpr]") +{ + comm::TelegramBuffer tBuff; + + const comm::ByteArray msgBody1{"message1"}; + const comm::ByteArray msgBody2{"message2"}; + + const comm::TelegramHeader msgHeader1{comm::TelegramHeader::constructFromData(msgBody1)}; + const comm::TelegramHeader msgHeader2{comm::TelegramHeader::constructFromData(msgBody2)}; + + comm::ByteArray t1(msgHeader1.buffer()); + t1.append(msgBody1); + + comm::ByteArray t2(msgHeader2.buffer()); + t2.append(msgBody2); + + tBuff.append(t1); + tBuff.append(t2); + + auto frames = tBuff.takeTelegramFrames(); + REQUIRE(2 == frames.size()); + + REQUIRE(msgBody1 == frames[0]->data); + REQUIRE(msgBody2 == frames[1]->data); + + REQUIRE(comm::ByteArray{} == tBuff.data()); +} + +TEST_CASE("test_server_telegrambuffer_clear", "[vpr]") +{ + comm::TelegramBuffer tBuff; + + const comm::ByteArray msgBody1{"message1"}; + const comm::ByteArray msgBody2{"message2"}; + + const comm::TelegramHeader msgHeader1{comm::TelegramHeader::constructFromData(msgBody1)}; + const comm::TelegramHeader msgHeader2{comm::TelegramHeader::constructFromData(msgBody2)}; + + comm::ByteArray t1(msgHeader1.buffer()); + t1.append(msgBody1); + + comm::ByteArray t2(msgHeader2.buffer()); + t2.append(msgBody2); + + tBuff.clear(); + + auto frames = tBuff.takeTelegramFrames(); + REQUIRE(0 == frames.size()); + + REQUIRE(comm::ByteArray{} == tBuff.data()); +} + +} // namespace diff --git a/vpr/test/test_server_telegramoptions.cpp b/vpr/test/test_server_telegramoptions.cpp new file mode 100644 index 00000000000..36ff80157f1 --- /dev/null +++ b/vpr/test/test_server_telegramoptions.cpp @@ -0,0 +1,19 @@ +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +#include "telegramoptions.h" + +namespace { + +TEST_CASE("test_server_telegramoptions", "[vpr]") { + server::TelegramOptions options{"int:path_num:11;string:path_type:debug;int:details_level:3;bool:is_flat_routing:0", {"path_num", "path_type", "details_level", "is_flat_routing"}}; + + REQUIRE(options.errorsStr() == ""); + + REQUIRE(options.getString("path_type") == "debug"); + REQUIRE(options.getInt("path_num", -1) == 11); + REQUIRE(options.getInt("details_level", -1) == 3); + REQUIRE(options.getBool("is_flat_routing", true) == false); +} + +} // namespace diff --git a/vpr/test/test_server_telegramparser.cpp b/vpr/test/test_server_telegramparser.cpp new file mode 100644 index 00000000000..092d44fd620 --- /dev/null +++ b/vpr/test/test_server_telegramparser.cpp @@ -0,0 +1,43 @@ +#include "telegramparser.h" + +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +TEST_CASE("test_server_telegram_parser_base", "[vpr]") +{ + const std::string tdata{R"({"JOB_ID":"7","CMD":"2","OPTIONS":"type1:name1:value1;type2:name2:v a l u e 2;t3:n3:v3","DATA":"some_data...","STATUS":"1"})"}; + + REQUIRE(std::optional{7} == comm::TelegramParser::tryExtractFieldJobId(tdata)); + REQUIRE(std::optional{2} == comm::TelegramParser::tryExtractFieldCmd(tdata)); + std::optional optionsOpt; + REQUIRE(comm::TelegramParser::tryExtractFieldOptions(tdata, optionsOpt) == true); + REQUIRE(std::optional{"type1:name1:value1;type2:name2:v a l u e 2;t3:n3:v3"} == optionsOpt); + std::optional dataOpt; + REQUIRE(comm::TelegramParser::tryExtractFieldData(tdata, dataOpt) == true); + REQUIRE(std::optional{"some_data..."} == dataOpt); + REQUIRE(std::optional{1} == comm::TelegramParser::tryExtractFieldStatus(tdata)); +} + +TEST_CASE("test_server_telegram_parser_invalid_keys", "[vpr]") +{ + const std::string tBadData{R"({"_JOB_ID":"7","_CMD":"2","_OPTIONS":"type1:name1:value1;type2:name2:v a l u e 2;t3:n3:v3","_DATA":"some_data...","_STATUS":"1"})"}; + + REQUIRE(std::nullopt == comm::TelegramParser::tryExtractFieldJobId(tBadData)); + REQUIRE(std::nullopt == comm::TelegramParser::tryExtractFieldCmd(tBadData)); + std::optional optionsOpt; + REQUIRE_FALSE(comm::TelegramParser::tryExtractFieldOptions(tBadData, optionsOpt)); + REQUIRE(std::nullopt == optionsOpt); + std::optional dataOpt; + REQUIRE_FALSE(comm::TelegramParser::tryExtractFieldData(tBadData, dataOpt)); + REQUIRE(std::nullopt == dataOpt); + REQUIRE(std::nullopt == comm::TelegramParser::tryExtractFieldStatus(tBadData)); +} + +TEST_CASE("test_server_telegram_parser_invalid_types", "[vpr]") +{ + const std::string tBadData{R"({"JOB_ID":"x","CMD":"y","STATUS":"z"})"}; + + REQUIRE(std::nullopt == comm::TelegramParser::tryExtractFieldJobId(tBadData)); + REQUIRE(std::nullopt == comm::TelegramParser::tryExtractFieldCmd(tBadData)); + REQUIRE(std::nullopt == comm::TelegramParser::tryExtractFieldStatus(tBadData)); +} diff --git a/vpr/test/test_server_zlibutils.cpp b/vpr/test/test_server_zlibutils.cpp new file mode 100644 index 00000000000..9c8b12176d4 --- /dev/null +++ b/vpr/test/test_server_zlibutils.cpp @@ -0,0 +1,20 @@ +#include "zlibutils.h" + +#include "catch2/catch_test_macros.hpp" +#include "catch2/matchers/catch_matchers_all.hpp" + +TEST_CASE("test_server_zlib_utils", "[vpr]") +{ + const std::string orig{"This string is going to be compressed now"}; + + std::string compressed = tryCompress(orig); + std::string decompressed = tryDecompress(compressed); + + REQUIRE(orig != compressed); + REQUIRE(orig == decompressed); +} + + + + + From f9ffb52b5f13df5f0d225ecfb546f0c198e07cce Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Tue, 2 Apr 2024 18:38:48 +0300 Subject: [PATCH 399/608] add test_server_telegramoptions_get_wrong_keys TEST_CASE --- vpr/test/test_server_telegramoptions.cpp | 11 +++++++++++ 1 file changed, 11 insertions(+) diff --git a/vpr/test/test_server_telegramoptions.cpp b/vpr/test/test_server_telegramoptions.cpp index 36ff80157f1..ac87a47999b 100644 --- a/vpr/test/test_server_telegramoptions.cpp +++ b/vpr/test/test_server_telegramoptions.cpp @@ -16,4 +16,15 @@ TEST_CASE("test_server_telegramoptions", "[vpr]") { REQUIRE(options.getBool("is_flat_routing", true) == false); } +TEST_CASE("test_server_telegramoptions_get_wrong_keys", "[vpr]") { + server::TelegramOptions options{"int:path_num:11;string:path_type:debug;int:details_level:3;bool:is_flat_routing:0", {"_path_num", "_path_type", "_details_level", "_is_flat_routing"}}; + + REQUIRE(!options.errorsStr().empty()); + + REQUIRE(options.getString("_path_type") == ""); + REQUIRE(options.getInt("_path_num", -1) == -1); + REQUIRE(options.getInt("_details_level", -1) == -1); + REQUIRE(options.getBool("_is_flat_routing", true) == true); +} + } // namespace From 8e28113a5c187a51708c43529f9959b1d8d929ff Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Tue, 2 Apr 2024 15:51:31 -0400 Subject: [PATCH 400/608] replace std::vector with NdMatrix in MoveTypeStat --- libs/libarchfpga/src/device_grid.cpp | 10 ++++--- libs/libvtrutil/src/vtr_ndmatrix.h | 2 +- vpr/src/base/SetupGrid.cpp | 12 ++++---- vpr/src/base/SetupGrid.h | 2 +- vpr/src/place/move_generator.h | 12 ++++---- vpr/src/place/place.cpp | 42 +++++++++++++--------------- 6 files changed, 39 insertions(+), 41 deletions(-) diff --git a/libs/libarchfpga/src/device_grid.cpp b/libs/libarchfpga/src/device_grid.cpp index 993d445c27c..f96f1f0ca28 100644 --- a/libs/libarchfpga/src/device_grid.cpp +++ b/libs/libarchfpga/src/device_grid.cpp @@ -1,14 +1,16 @@ #include "device_grid.h" +#include + DeviceGrid::DeviceGrid(std::string grid_name, vtr::NdMatrix grid) - : name_(grid_name) - , grid_(grid) { + : name_(std::move(grid_name)) + , grid_(std::move(grid)) { count_instances(); } DeviceGrid::DeviceGrid(std::string grid_name, vtr::NdMatrix grid, std::vector limiting_res) - : DeviceGrid(grid_name, grid) { - limiting_resources_ = limiting_res; + : DeviceGrid(std::move(grid_name), std::move(grid)) { + limiting_resources_ = std::move(limiting_res); } size_t DeviceGrid::num_instances(t_physical_tile_type_ptr type, int layer_num) const { diff --git a/libs/libvtrutil/src/vtr_ndmatrix.h b/libs/libvtrutil/src/vtr_ndmatrix.h index d720cbcb2b6..dc69c7f60ba 100644 --- a/libs/libvtrutil/src/vtr_ndmatrix.h +++ b/libs/libvtrutil/src/vtr_ndmatrix.h @@ -139,7 +139,7 @@ class NdMatrixProxy { * This should improve memory usage (no extra pointers to store for each dimension), * and cache locality (less indirection via pointers, predictable strides). * - * The indices are calculated based on the dimensions to access the appropriate elements. + * The indicies are calculated based on the dimensions to access the appropriate elements. * Since the indexing calculations are visible to the compiler at compile time they can be * optimized to be efficient. */ diff --git a/vpr/src/base/SetupGrid.cpp b/vpr/src/base/SetupGrid.cpp index 3569f5bff1f..11ac2e52694 100644 --- a/vpr/src/base/SetupGrid.cpp +++ b/vpr/src/base/SetupGrid.cpp @@ -31,8 +31,8 @@ using vtr::t_formula_data; static DeviceGrid auto_size_device_grid(const std::vector& grid_layouts, const std::map& minimum_instance_counts, float maximum_device_utilization); static std::vector grid_overused_resources(const DeviceGrid& grid, std::map instance_counts); -static bool grid_satisfies_instance_counts(const DeviceGrid& grid, std::map instance_counts, float maximum_utilization); -static DeviceGrid build_device_grid(const t_grid_def& grid_def, size_t width, size_t height, bool warn_out_of_range = true, std::vector limiting_resources = std::vector()); +static bool grid_satisfies_instance_counts(const DeviceGrid& grid, const std::map& instance_counts, float maximum_utilization); +static DeviceGrid build_device_grid(const t_grid_def& grid_def, size_t width, size_t height, bool warn_out_of_range = true, const std::vector& limiting_resources = std::vector()); static void CheckGrid(const DeviceGrid& grid); @@ -316,8 +316,8 @@ static std::vector grid_overused_resources(const Devic return overused_resources; } -static bool grid_satisfies_instance_counts(const DeviceGrid& grid, std::map instance_counts, float maximum_utilization) { - //Are the resources satisified? +static bool grid_satisfies_instance_counts(const DeviceGrid& grid, const std::map& instance_counts, float maximum_utilization) { + //Are the resources satisfied? auto overused_resources = grid_overused_resources(grid, instance_counts); if (!overused_resources.empty()) { @@ -335,7 +335,7 @@ static bool grid_satisfies_instance_counts(const DeviceGrid& grid, std::map limiting_resources) { +static DeviceGrid build_device_grid(const t_grid_def& grid_def, size_t grid_width, size_t grid_height, bool warn_out_of_range, const std::vector& limiting_resources) { if (grid_def.grid_type == GridDefType::FIXED) { if (grid_def.width != int(grid_width) || grid_def.height != int(grid_height)) { VPR_FATAL_ERROR(VPR_ERROR_OTHER, @@ -754,7 +754,7 @@ static void CheckGrid(const DeviceGrid& grid) { } } -float calculate_device_utilization(const DeviceGrid& grid, std::map instance_counts) { +float calculate_device_utilization(const DeviceGrid& grid, const std::map& instance_counts) { //Record the resources of the grid std::map grid_resources; for (int layer_num = 0; layer_num < grid.get_num_layers(); ++layer_num) { diff --git a/vpr/src/base/SetupGrid.h b/vpr/src/base/SetupGrid.h index 4dd80c28539..cfde1e523d8 100644 --- a/vpr/src/base/SetupGrid.h +++ b/vpr/src/base/SetupGrid.h @@ -27,7 +27,7 @@ DeviceGrid create_device_grid(std::string layout_name, const std::vector instance_counts); +float calculate_device_utilization(const DeviceGrid& grid, const std::map& instance_counts); /** * @brief Returns the effective size of the device diff --git a/vpr/src/place/move_generator.h b/vpr/src/place/move_generator.h index 83791bbcf9b..d8cfb1aeb01 100644 --- a/vpr/src/place/move_generator.h +++ b/vpr/src/place/move_generator.h @@ -23,15 +23,15 @@ struct MoveOutcomeStats { /** * @brief A Struct to hold statistics about the different move types * - * blk_type_moves: the block type index of each proposed move (e.g. [0..NUM_PL_MOVE_TYPES * (agent_available_types.size()-1)]) - * accepted_moves: the number of accepted moves of each move and block type (e.g. [0..NUM_PL_MOVE_TYPES * (agent_available_types.size()-1)] ) - * rejected_moves: the number of rejected moves of each move and block type (e.g. [0..NUM_PL_MOVE_TYPES * (agent_available_types.size()-1)] ) + * blk_type_moves: the block type index of each proposed move (e.g. [0..NUM_PL_MOVE_TYPES][agent_available_types.size()-1)]) + * accepted_moves: the number of accepted moves of each move and block type (e.g. [0..NUM_PL_MOVE_TYPES][agent_available_types.size()-1)] ) + * rejected_moves: the number of rejected moves of each move and block type (e.g. [0..NUM_PL_MOVE_TYPES][agent_available_types.size()-1)] ) * */ struct MoveTypeStat { - std::vector blk_type_moves; - std::vector accepted_moves; - std::vector rejected_moves; + vtr::NdMatrix blk_type_moves; + vtr::NdMatrix accepted_moves; + vtr::NdMatrix rejected_moves; }; /** diff --git a/vpr/src/place/place.cpp b/vpr/src/place/place.cpp index a27858c9635..b2f66e4fe5b 100644 --- a/vpr/src/place/place.cpp +++ b/vpr/src/place/place.cpp @@ -543,8 +543,7 @@ static void print_resources_utilization(); static void print_placement_swaps_stats(const t_annealing_state& state); -static void print_placement_move_types_stats( - const MoveTypeStat& move_type_stat); +static void print_placement_move_types_stats(const MoveTypeStat& move_type_stat); /*****************************************************************************/ void try_place(const Netlist<>& net_list, @@ -936,9 +935,9 @@ void try_place(const Netlist<>& net_list, //allocate move type statistics vectors MoveTypeStat move_type_stat; - move_type_stat.blk_type_moves.resize(device_ctx.logical_block_types.size() * (int)e_move_type::NUMBER_OF_AUTO_MOVES, 0); - move_type_stat.accepted_moves.resize(device_ctx.logical_block_types.size() * (int)e_move_type::NUMBER_OF_AUTO_MOVES, 0); - move_type_stat.rejected_moves.resize(device_ctx.logical_block_types.size() * (int)e_move_type::NUMBER_OF_AUTO_MOVES, 0); + move_type_stat.blk_type_moves.resize({device_ctx.logical_block_types.size(), (int)e_move_type::NUMBER_OF_AUTO_MOVES}, 0); + move_type_stat.accepted_moves.resize({device_ctx.logical_block_types.size(), (int)e_move_type::NUMBER_OF_AUTO_MOVES}, 0); + move_type_stat.rejected_moves.resize({device_ctx.logical_block_types.size(), (int)e_move_type::NUMBER_OF_AUTO_MOVES}, 0); /* Get the first range limiter */ first_rlim = (float)max(device_ctx.grid.width() - 1, @@ -1725,7 +1724,7 @@ static e_move_result try_swap(const t_annealing_state* state, } if (proposed_action.logical_blk_type_index != -1) { //if the agent proposed the block type, then collect the block type stat - ++move_type_stat.blk_type_moves[(proposed_action.logical_blk_type_index * (int)e_move_type::NUMBER_OF_AUTO_MOVES) + (int)proposed_action.move_type]; + ++move_type_stat.blk_type_moves[proposed_action.logical_blk_type_index][(int)proposed_action.move_type]; } LOG_MOVE_STATS_PROPOSED(t, blocks_affected); @@ -1876,7 +1875,7 @@ static e_move_result try_swap(const t_annealing_state* state, commit_move_blocks(blocks_affected); if (proposed_action.logical_blk_type_index != -1) { //if the agent proposed the block type, then collect the block type stat - ++move_type_stat.accepted_moves[(proposed_action.logical_blk_type_index * (int)e_move_type::NUMBER_OF_AUTO_MOVES) + (int)proposed_action.move_type]; + ++move_type_stat.accepted_moves[proposed_action.logical_blk_type_index][(int)proposed_action.move_type]; } if (noc_opts.noc) { commit_noc_costs(); @@ -1927,7 +1926,7 @@ static e_move_result try_swap(const t_annealing_state* state, } if (proposed_action.logical_blk_type_index != -1) { //if the agent proposed the block type, then collect the block type stat - ++move_type_stat.rejected_moves[(proposed_action.logical_blk_type_index * (int)e_move_type::NUMBER_OF_AUTO_MOVES) + (int)proposed_action.move_type]; + ++move_type_stat.rejected_moves[proposed_action.logical_blk_type_index][(int)proposed_action.move_type]; } /* Revert the traffic flow routes within the NoC*/ if (noc_opts.noc) { @@ -4350,10 +4349,7 @@ static void print_placement_swaps_stats(const t_annealing_state& state) { num_swap_aborted, 100 * abort_rate); } -static void print_placement_move_types_stats( - const MoveTypeStat& move_type_stat) { - float moves, accepted, rejected, aborted; - +static void print_placement_move_types_stats(const MoveTypeStat& move_type_stat) { VTR_LOG("\n\nPlacement perturbation distribution by block and move type: \n"); VTR_LOG( @@ -4363,11 +4359,12 @@ static void print_placement_move_types_stats( VTR_LOG( "------------------ ----------------- ---------------- ---------------- --------------- ------------ \n"); - float total_moves = 0; - for (int blk_type_move : move_type_stat.blk_type_moves) { - total_moves += blk_type_move; + int total_moves = 0; + for (size_t i = 0; i < move_type_stat.blk_type_moves.size(); ++i) { + total_moves += move_type_stat.blk_type_moves.get(i); } + auto& device_ctx = g_vpr_ctx.device(); auto& cluster_ctx = g_vpr_ctx.clustering(); int count = 0; @@ -4381,14 +4378,13 @@ static void print_placement_move_types_stats( } count = 0; - for (int imove = 0; imove < num_of_avail_moves; imove++) { const auto& move_name = move_type_to_string(e_move_type(imove)); - moves = move_type_stat.blk_type_moves[itype.index * num_of_avail_moves + imove]; + int moves = move_type_stat.blk_type_moves[itype.index][imove]; if (moves != 0) { - accepted = move_type_stat.accepted_moves[itype.index * num_of_avail_moves + imove]; - rejected = move_type_stat.rejected_moves[itype.index * num_of_avail_moves + imove]; - aborted = moves - (accepted + rejected); + int accepted = move_type_stat.accepted_moves[itype.index][imove]; + int rejected = move_type_stat.rejected_moves[itype.index][imove]; + int aborted = moves - (accepted + rejected); if (count == 0) { VTR_LOG("%-18.20s", itype.name); } else { @@ -4396,9 +4392,9 @@ static void print_placement_move_types_stats( } VTR_LOG( " %-22.20s %-16.2f %-15.2f %-14.2f %-13.2f\n", - move_name.c_str(), 100 * moves / total_moves, - 100 * accepted / moves, 100 * rejected / moves, - 100 * aborted / moves); + move_name.c_str(), 100.0f * (float)moves / (float)total_moves, + 100.0f * (float)accepted / (float)moves, 100.0f * (float)rejected / (float)moves, + 100.0f * (float)aborted / (float)moves); } count++; } From 973acb7d25ede04243d41edcb6e33268363b9757 Mon Sep 17 00:00:00 2001 From: AlexandreSinger Date: Mon, 1 Apr 2024 12:20:15 -0400 Subject: [PATCH 401/608] [RR_GRAPH] Fixed Bug in LLVM17 Build The std::sort function requires operands to be "pointer-like", this requires that de-referencing a const pointer should be allowed. This was not an issue in the past, but in LLVM17 this property is required. The edge_sort_iterator did not follow this property. Fixing this by naively allowing this property led to a 10% performance degredation due to requiring pointers to be allocated and deallocated. To fix this for now, made the sort use std::stable_sort instead. The performance degredation is quite small and may be worth it. --- libs/librrgraph/src/base/rr_graph_storage.cpp | 11 +++++++++-- 1 file changed, 9 insertions(+), 2 deletions(-) diff --git a/libs/librrgraph/src/base/rr_graph_storage.cpp b/libs/librrgraph/src/base/rr_graph_storage.cpp index 110ce1eca80..b2cdefb9649 100644 --- a/libs/librrgraph/src/base/rr_graph_storage.cpp +++ b/libs/librrgraph/src/base/rr_graph_storage.cpp @@ -155,6 +155,13 @@ class edge_sort_iterator { using pointer = edge_swapper*; using difference_type = ssize_t; + // In order for this class to be used as an iterator within the std library, + // it needs to "act" like a pointer. One thing that it should do is that a + // const variable of this type should be de-referenceable. Therefore, this + // method should be const method; however, this requires modifying the class + // and may yield worst performance. For now the std::stable_sort allows this + // but in the future it may not. If this breaks, this is why. + // See issue #2517 and PR #2522 edge_swapper& operator*() { return this->swapper_; } @@ -419,7 +426,7 @@ size_t t_rr_graph_storage::count_rr_switches( // values. // // This sort is safe to do because partition_edges() has not been invoked yet. - std::sort( + std::stable_sort( edge_sort_iterator(this, 0), edge_sort_iterator(this, edge_dest_node_.size()), edge_compare_dest_node()); @@ -527,7 +534,7 @@ void t_rr_graph_storage::partition_edges(const vtr::vector Date: Fri, 5 Apr 2024 12:37:50 +0300 Subject: [PATCH 402/608] include std::string in telegramoptions.h --- vpr/src/server/telegramoptions.h | 1 + 1 file changed, 1 insertion(+) diff --git a/vpr/src/server/telegramoptions.h b/vpr/src/server/telegramoptions.h index 001e1bfb98a..3703926db33 100644 --- a/vpr/src/server/telegramoptions.h +++ b/vpr/src/server/telegramoptions.h @@ -5,6 +5,7 @@ #include #include #include +#include namespace server { From c752064f57ebe0ab8e44ca329eeae92aedc4b4b7 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Fri, 5 Apr 2024 13:41:28 +0300 Subject: [PATCH 403/608] move include gateio.h and serverupdate.h under condition #ifndef NO_GRAPHICS, since such feature are valid only for GRAPHICS mode --- vpr/src/draw/draw.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/vpr/src/draw/draw.cpp b/vpr/src/draw/draw.cpp index bb1446dff79..dcd8f57e93a 100644 --- a/vpr/src/draw/draw.cpp +++ b/vpr/src/draw/draw.cpp @@ -63,9 +63,6 @@ #include "ui_setup.h" #include "buttons.h" -#include "gateio.h" -#include "serverupdate.h" - #ifdef VTR_ENABLE_DEBUG_LOGGING # include "move_utils.h" #endif @@ -82,6 +79,9 @@ #ifndef NO_GRAPHICS +#include "gateio.h" +#include "serverupdate.h" + //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW # if defined(X11) && !defined(__MINGW32__) From 3a69da064b1817972c35ae3139b6c62d131ad19c Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Fri, 5 Apr 2024 11:45:13 -0400 Subject: [PATCH 404/608] fix fasm build warnings --- utils/fasm/src/fasm.cpp | 43 ++++++++++++++++------------------------- utils/fasm/src/fasm.h | 8 ++++---- 2 files changed, 21 insertions(+), 30 deletions(-) diff --git a/utils/fasm/src/fasm.cpp b/utils/fasm/src/fasm.cpp index 90d4b6671ee..b178055f8c6 100644 --- a/utils/fasm/src/fasm.cpp +++ b/utils/fasm/src/fasm.cpp @@ -1,14 +1,10 @@ #include "fasm.h" #include -#include -#include #include -#include #include #include #include -#include #include "globals.h" @@ -16,12 +12,9 @@ #include "vtr_assert.h" #include "vtr_logic.h" -#include "vtr_version.h" #include "vpr_error.h" #include "atom_netlist_utils.h" -#include "netlist_writer.h" -#include "vpr_utils.h" #include "fasm_utils.h" @@ -77,7 +70,7 @@ void FasmWriterVisitor::visit_clb_impl(ClusterBlockId blk_id, const t_pb* clb) { std::vector tag_defs = vtr::split(value->front().as_string().get(strings_), "\n"); for (auto& tag_def: tag_defs) { auto parts = split_fasm_entry(tag_def, "=:", "\t "); - if (parts.size() == 0) { + if (parts.empty()) { continue; } @@ -86,7 +79,7 @@ void FasmWriterVisitor::visit_clb_impl(ClusterBlockId blk_id, const t_pb* clb) { VTR_ASSERT(tags_.count(parts.at(0)) == 0); // When the value is "NULL" then substitute empty string - if (!parts.at(1).compare("NULL")) { + if (parts.at(1) == "NULL") { tags_[parts.at(0)] = ""; } else { @@ -179,7 +172,7 @@ std::string FasmWriterVisitor::handle_fasm_prefix(const t_metadata_dict *meta, } std::string FasmWriterVisitor::build_clb_prefix(const t_pb *pb, const t_pb_graph_node* pb_graph_node, bool* is_parent_pb_null) const { - std::string clb_prefix = ""; + std::string clb_prefix; const t_pb *pb_for_graph_node = nullptr; @@ -272,7 +265,7 @@ void FasmWriterVisitor::visit_all_impl(const t_pb_routes &pb_routes, const t_pb* std::string clb_prefix = build_clb_prefix(pb, pb_graph_node, &is_parent_pb_null); clb_prefix_map_.insert(std::make_pair(pb_graph_node, clb_prefix)); clb_prefix_ = clb_prefix; - if (is_parent_pb_null == true) { + if (is_parent_pb_null) { return; } @@ -365,7 +358,7 @@ static LogicVec lut_outputs(const t_pb* atom_pb, size_t num_inputs, const t_pb_r if(truth_table.size() == 1) { VTR_ASSERT(truth_table[0].size() == 1); lut.SetConstant(truth_table[0][0]); - } else if(truth_table.size() == 0) { + } else if(truth_table.empty()) { lut.SetConstant(vtr::LogicValue::FALSE); } else { vpr_throw(VPR_ERROR_OTHER, __FILE__, __LINE__, "LUT truth table unexpected size is %d", truth_table.size()); @@ -420,7 +413,7 @@ static LogicVec lut_outputs(const t_pb* atom_pb, size_t num_inputs, const t_pb_r return lut.table(); } -const t_metadata_dict *FasmWriterVisitor::get_fasm_type(const t_pb_graph_node* pb_graph_node, std::string target_type) const { +const t_metadata_dict *FasmWriterVisitor::get_fasm_type(const t_pb_graph_node* pb_graph_node, const std::string& target_type) const { if(pb_graph_node == nullptr) { return nullptr; } @@ -470,9 +463,8 @@ const LutOutputDefinition* FasmWriterVisitor::find_lut(const t_pb_graph_node* pb VTR_ASSERT(value != nullptr); std::vector> luts; - luts.push_back(std::make_pair( - vtr::string_fmt("%s[0]", pb_graph_node->pb_type->name), - LutOutputDefinition(value->as_string().get(strings_)))); + luts.emplace_back(vtr::string_fmt("%s[0]", pb_graph_node->pb_type->name), + LutOutputDefinition(value->as_string().get(strings_))); auto insert_result = lut_definitions_.insert( std::make_pair(pb_graph_node->pb_type, luts)); @@ -505,8 +497,7 @@ const LutOutputDefinition* FasmWriterVisitor::find_lut(const t_pb_graph_node* pb fasm_lut_str.c_str()); } - luts.push_back(std::make_pair( - parts[1], LutOutputDefinition(parts[0]))); + luts.emplace_back(parts[1], LutOutputDefinition(parts[0])); } auto insert_result = lut_definitions_.insert( @@ -569,9 +560,9 @@ void FasmWriterVisitor::check_for_param(const t_pb *atom) { VTR_ASSERT(value != nullptr); std::string fasm_params_str = value->as_string().get(strings_); - for(const auto param : vtr::split(fasm_params_str, "\n")) { + for(const auto& param : vtr::split(fasm_params_str, "\n")) { auto param_parts = split_fasm_entry(param, "=", "\t "); - if(param_parts.size() == 0) { + if(param_parts.empty()) { continue; } VTR_ASSERT(param_parts.size() == 2); @@ -589,10 +580,10 @@ void FasmWriterVisitor::check_for_param(const t_pb *atom) { auto ¶ms = iter->second; - for(auto param : atom_ctx.nlist.block_params(atom_blk_id)) { + for(const auto& param : atom_ctx.nlist.block_params(atom_blk_id)) { auto feature = params.EmitFasmFeature(param.first, param.second); - if(feature.size() > 0) { + if(!feature.empty()) { output_fasm_features(feature); } } @@ -668,7 +659,7 @@ void FasmWriterVisitor::find_clb_prefix(const t_pb_graph_node *node, } } -void FasmWriterVisitor::output_fasm_mux(std::string fasm_mux_str, +void FasmWriterVisitor::output_fasm_mux(const std::string& fasm_mux_str, t_interconnect *interconnect, const t_pb_graph_pin *mux_input_pin) { auto *pb_name = mux_input_pin->parent_node->pb_type->name; @@ -697,7 +688,7 @@ void FasmWriterVisitor::output_fasm_mux(std::string fasm_mux_str, for(const auto &mux_input : mux_inputs) { auto mux_parts = split_fasm_entry(mux_input, "=:", "\t "); - if(mux_parts.size() == 0) { + if(mux_parts.empty()) { // Swallow whitespace. continue; } @@ -753,11 +744,11 @@ void FasmWriterVisitor::output_fasm_mux(std::string fasm_mux_str, pb_name, pb_index, port_name, pin_index, fasm_mux_str.c_str()); } -void FasmWriterVisitor::output_fasm_features(const std::string features) const { +void FasmWriterVisitor::output_fasm_features(const std::string& features) const { output_fasm_features(features, clb_prefix_, blk_prefix_); } -void FasmWriterVisitor::output_fasm_features(const std::string features, const std::string clb_prefix, const std::string blk_prefix) const { +void FasmWriterVisitor::output_fasm_features(const std::string& features, const std::string& clb_prefix, const std::string& blk_prefix) const { std::stringstream os(features); while(os) { diff --git a/utils/fasm/src/fasm.h b/utils/fasm/src/fasm.h index 4239af18edc..eeb7fb2f44f 100644 --- a/utils/fasm/src/fasm.h +++ b/utils/fasm/src/fasm.h @@ -66,12 +66,12 @@ class FasmWriterVisitor : public NetlistVisitor { void finish_impl() override; private: - void output_fasm_features(const std::string features) const; - void output_fasm_features(const std::string features, const std::string clb_prefix, const std::string blk_prefix) const; + void output_fasm_features(const std::string& features) const; + void output_fasm_features(const std::string& features, const std::string& clb_prefix, const std::string& blk_prefix) const; void check_features(const t_metadata_dict *meta) const; void check_interconnect(const t_pb_routes &pb_route, int inode); void check_for_lut(const t_pb* atom); - void output_fasm_mux(std::string fasm_mux, t_interconnect *interconnect, const t_pb_graph_pin *mux_input_pin); + void output_fasm_mux(const std::string& fasm_mux, t_interconnect *interconnect, const t_pb_graph_pin *mux_input_pin); void walk_routing(); void walk_route_tree(const RRGraphBuilder& rr_graph_builder, const RouteTreeNode& root); std::string build_clb_prefix(const t_pb *pb, const t_pb_graph_node* pb_graph_node, bool* is_parent_pb_null) const; @@ -83,7 +83,7 @@ class FasmWriterVisitor : public NetlistVisitor { bool *have_prefix, std::string *clb_prefix) const; std::string handle_fasm_prefix(const t_metadata_dict *meta, const t_pb_graph_node *pb_graph_node, const t_pb_type *pb_type) const; - const t_metadata_dict *get_fasm_type(const t_pb_graph_node* pb_graph_node, std::string target_type) const; + const t_metadata_dict *get_fasm_type(const t_pb_graph_node* pb_graph_node, const std::string& target_type) const; vtr::string_internment *strings_; std::ostream& os_; From e1372bc882787393b2bc197c115c12e0950f8d64 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Fri, 5 Apr 2024 20:39:30 +0300 Subject: [PATCH 405/608] fix build errors with NO_GRAPHICS flag defined --- vpr/src/base/vpr_context.h | 6 ++++++ vpr/src/draw/draw.cpp | 3 +++ vpr/src/draw/gtkcomboboxhelper.cpp | 4 ++++ vpr/src/draw/gtkcomboboxhelper.h | 4 ++++ vpr/src/server/serverupdate.cpp | 4 ++-- vpr/src/server/serverupdate.h | 4 ++-- vpr/src/server/taskresolver.cpp | 4 ++++ vpr/src/server/taskresolver.h | 6 +++++- vpr/test/test_server_taskresolver.cpp | 4 ++++ 9 files changed, 34 insertions(+), 5 deletions(-) diff --git a/vpr/src/base/vpr_context.h b/vpr/src/base/vpr_context.h index 5a39c3be30c..bfed0a361cd 100644 --- a/vpr/src/base/vpr_context.h +++ b/vpr/src/base/vpr_context.h @@ -553,6 +553,7 @@ struct NocContext : public Context { std::unique_ptr noc_flows_router; }; +#ifndef NO_GRAPHICS /** * @brief State relating to server mode * @@ -621,6 +622,7 @@ class ServerContext : public Context { bool draw_crit_path_contour_ = false; }; +#endif /* NO_GRAPHICS */ /** * @brief This object encapsulates VPR's state. @@ -705,8 +707,10 @@ class VprContext : public Context { const PackingMultithreadingContext& packing_multithreading() const { return packing_multithreading_; } PackingMultithreadingContext& mutable_packing_multithreading() { return packing_multithreading_; } +#ifndef NO_GRAPHICS const ServerContext& server() const { return server_; } ServerContext& mutable_server() { return server_; } +#endif /* NO_GRAPHICS */ private: DeviceContext device_; @@ -724,7 +728,9 @@ class VprContext : public Context { FloorplanningContext constraints_; NocContext noc_; +#ifndef NO_GRAPHICS ServerContext server_; +#endif /* NO_GRAPHICS */ PackingMultithreadingContext packing_multithreading_; }; diff --git a/vpr/src/draw/draw.cpp b/vpr/src/draw/draw.cpp index dcd8f57e93a..06623655dba 100644 --- a/vpr/src/draw/draw.cpp +++ b/vpr/src/draw/draw.cpp @@ -217,6 +217,9 @@ void init_graphics_state(bool show_graphics_val, (void)route_type; (void)save_graphics; (void)graphics_commands; + (void)is_flat; + (void)enable_server; + (void)port_num; #endif // NO_GRAPHICS } diff --git a/vpr/src/draw/gtkcomboboxhelper.cpp b/vpr/src/draw/gtkcomboboxhelper.cpp index f07d0eae183..08f8701fd57 100644 --- a/vpr/src/draw/gtkcomboboxhelper.cpp +++ b/vpr/src/draw/gtkcomboboxhelper.cpp @@ -1,3 +1,5 @@ +#ifndef NO_GRAPHICS + #include "gtkcomboboxhelper.h" #include @@ -55,3 +57,5 @@ gint get_item_index_by_text(gpointer combo_box, const gchar* target_item) { g_free(current_item_text); return result_index; } + +#endif // NO_GRAPHICS \ No newline at end of file diff --git a/vpr/src/draw/gtkcomboboxhelper.h b/vpr/src/draw/gtkcomboboxhelper.h index 0de1aca3361..f7b2c2aa637 100644 --- a/vpr/src/draw/gtkcomboboxhelper.h +++ b/vpr/src/draw/gtkcomboboxhelper.h @@ -1,6 +1,8 @@ #ifndef GTKCOMBOBOXHELPER_H #define GTKCOMBOBOXHELPER_H +#ifndef NO_GRAPHICS + #include /** @@ -15,4 +17,6 @@ */ gint get_item_index_by_text(gpointer combo_box, const gchar* target_item); +#endif // NO_GRAPHICS + #endif // GTKCOMBOBOXHELPER_H diff --git a/vpr/src/server/serverupdate.cpp b/vpr/src/server/serverupdate.cpp index 65cec2db44e..daaa6ac752d 100644 --- a/vpr/src/server/serverupdate.cpp +++ b/vpr/src/server/serverupdate.cpp @@ -1,11 +1,11 @@ +#ifndef NO_GRAPHICS + #include "serverupdate.h" #include "gateio.h" #include "taskresolver.h" #include "globals.h" #include "ezgl/application.hpp" -#ifndef NO_GRAPHICS - namespace server { gboolean update(gpointer data) { diff --git a/vpr/src/server/serverupdate.h b/vpr/src/server/serverupdate.h index 17301988b45..57a4bbaaeed 100644 --- a/vpr/src/server/serverupdate.h +++ b/vpr/src/server/serverupdate.h @@ -1,10 +1,10 @@ #ifndef SERVERUPDATE_H #define SERVERUPDATE_H -#include - #ifndef NO_GRAPHICS +#include + namespace server { /** diff --git a/vpr/src/server/taskresolver.cpp b/vpr/src/server/taskresolver.cpp index 937a8d508d3..6ef5af6f455 100644 --- a/vpr/src/server/taskresolver.cpp +++ b/vpr/src/server/taskresolver.cpp @@ -1,3 +1,5 @@ +#ifndef NO_GRAPHICS + #include "taskresolver.h" #include "commconstants.h" @@ -163,3 +165,5 @@ void TaskResolver::processDrawCriticalPathTask(ezgl::application* app, const Tas } } // namespace server + +#endif /* NO_GRAPHICS */ \ No newline at end of file diff --git a/vpr/src/server/taskresolver.h b/vpr/src/server/taskresolver.h index afb1ddcd266..469fdfb839f 100644 --- a/vpr/src/server/taskresolver.h +++ b/vpr/src/server/taskresolver.h @@ -1,3 +1,5 @@ +#ifndef NO_GRAPHICS + #ifndef TASKRESOLVER_H #define TASKRESOLVER_H @@ -48,4 +50,6 @@ class TaskResolver { } // namespace server -#endif // TASKRESOLVER_H +#endif /* TASKRESOLVER_H */ + +#endif /* NO_GRAPHICS */ \ No newline at end of file diff --git a/vpr/test/test_server_taskresolver.cpp b/vpr/test/test_server_taskresolver.cpp index ba9a08313a4..d4922d149b3 100644 --- a/vpr/test/test_server_taskresolver.cpp +++ b/vpr/test/test_server_taskresolver.cpp @@ -4,6 +4,8 @@ #include "taskresolver.h" #include +#ifndef NO_GRAPHICS + namespace { TEST_CASE("test_server_taskresolver_cmdSpamFilter", "[vpr]") { @@ -110,3 +112,5 @@ TEST_CASE("test_server_taskresolver_cmdSpamAndOverrideOptions", "[vpr]") { } } // namespace + +#endif /* NO_GRAPHICS */ \ No newline at end of file From 6586c126aaddbaaabb641aad12bbf3eb3d6ccc9b Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Fri, 5 Apr 2024 20:41:37 +0300 Subject: [PATCH 406/608] remove anonymous namespace from test_server_*.cpp --- vpr/test/test_server_taskresolver.cpp | 4 ---- vpr/test/test_server_telegrambuffer.cpp | 4 ---- vpr/test/test_server_telegramoptions.cpp | 4 ---- 3 files changed, 12 deletions(-) diff --git a/vpr/test/test_server_taskresolver.cpp b/vpr/test/test_server_taskresolver.cpp index d4922d149b3..a480477d2ba 100644 --- a/vpr/test/test_server_taskresolver.cpp +++ b/vpr/test/test_server_taskresolver.cpp @@ -6,8 +6,6 @@ #ifndef NO_GRAPHICS -namespace { - TEST_CASE("test_server_taskresolver_cmdSpamFilter", "[vpr]") { server::TaskResolver resolver; const int cmd = 10; @@ -111,6 +109,4 @@ TEST_CASE("test_server_taskresolver_cmdSpamAndOverrideOptions", "[vpr]") { REQUIRE(task1->options() == ""); } -} // namespace - #endif /* NO_GRAPHICS */ \ No newline at end of file diff --git a/vpr/test/test_server_telegrambuffer.cpp b/vpr/test/test_server_telegrambuffer.cpp index d02746e5815..361e088a466 100644 --- a/vpr/test/test_server_telegrambuffer.cpp +++ b/vpr/test/test_server_telegrambuffer.cpp @@ -3,8 +3,6 @@ #include "telegrambuffer.h" -namespace { - TEST_CASE("test_server_bytearray", "[vpr]") { comm::ByteArray array1{"111"}; comm::ByteArray array2{"222"}; @@ -142,5 +140,3 @@ TEST_CASE("test_server_telegrambuffer_clear", "[vpr]") REQUIRE(comm::ByteArray{} == tBuff.data()); } - -} // namespace diff --git a/vpr/test/test_server_telegramoptions.cpp b/vpr/test/test_server_telegramoptions.cpp index ac87a47999b..a7bfbe1f364 100644 --- a/vpr/test/test_server_telegramoptions.cpp +++ b/vpr/test/test_server_telegramoptions.cpp @@ -3,8 +3,6 @@ #include "telegramoptions.h" -namespace { - TEST_CASE("test_server_telegramoptions", "[vpr]") { server::TelegramOptions options{"int:path_num:11;string:path_type:debug;int:details_level:3;bool:is_flat_routing:0", {"path_num", "path_type", "details_level", "is_flat_routing"}}; @@ -26,5 +24,3 @@ TEST_CASE("test_server_telegramoptions_get_wrong_keys", "[vpr]") { REQUIRE(options.getInt("_details_level", -1) == -1); REQUIRE(options.getBool("_is_flat_routing", true) == true); } - -} // namespace From e6c136f3cdf5bbaf8c3f7cba3ce350edbb79bca7 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Fri, 5 Apr 2024 20:46:09 +0300 Subject: [PATCH 407/608] fix post #endif comment formatting --- vpr/src/server/bytearray.h | 2 +- vpr/src/server/commconstants.h | 2 +- vpr/src/server/convertutils.h | 2 +- vpr/src/server/gateio.h | 2 +- vpr/src/server/pathhelper.h | 2 +- vpr/src/server/serverupdate.h | 4 ++-- vpr/src/server/task.h | 2 +- vpr/src/server/telegrambuffer.h | 2 +- vpr/src/server/telegramframe.h | 2 +- vpr/src/server/telegramheader.h | 2 +- vpr/src/server/telegramoptions.h | 2 +- vpr/src/server/telegramparser.h | 2 +- vpr/src/server/zlibutils.h | 2 +- 13 files changed, 14 insertions(+), 14 deletions(-) diff --git a/vpr/src/server/bytearray.h b/vpr/src/server/bytearray.h index d532c33b66a..1d0258be4bd 100644 --- a/vpr/src/server/bytearray.h +++ b/vpr/src/server/bytearray.h @@ -79,4 +79,4 @@ class ByteArray : public std::vector { } // namespace comm -#endif // BYTEARRAY_H +#endif /* BYTEARRAY_H */ diff --git a/vpr/src/server/commconstants.h b/vpr/src/server/commconstants.h index c6ec4efdfbe..ecb9b6122ed 100644 --- a/vpr/src/server/commconstants.h +++ b/vpr/src/server/commconstants.h @@ -35,4 +35,4 @@ enum CMD { } // namespace comm -#endif +#endif /* COMMCONSTS_H */ diff --git a/vpr/src/server/convertutils.h b/vpr/src/server/convertutils.h index f06f84114f0..55b7c874680 100644 --- a/vpr/src/server/convertutils.h +++ b/vpr/src/server/convertutils.h @@ -12,4 +12,4 @@ std::string getPrettyDurationStrFromMs(int64_t durationMs); std::string getPrettySizeStrFromBytesNum(int64_t bytesNum); std::string getTruncatedMiddleStr(const std::string& src, std::size_t num = DEFAULT_PRINT_STRING_MAX_NUM); -#endif // CONVERTUTILS_H +#endif /* CONVERTUTILS_H */ diff --git a/vpr/src/server/gateio.h b/vpr/src/server/gateio.h index 7ec977b914a..056a683261a 100644 --- a/vpr/src/server/gateio.h +++ b/vpr/src/server/gateio.h @@ -197,5 +197,5 @@ class GateIO } // namespace server -#endif // GATEIO_H +#endif /* GATEIO_H */ diff --git a/vpr/src/server/pathhelper.h b/vpr/src/server/pathhelper.h index 61c86295634..6b03553b9df 100644 --- a/vpr/src/server/pathhelper.h +++ b/vpr/src/server/pathhelper.h @@ -28,4 +28,4 @@ CritPathsResult calcCriticalPath(const std::string& type, int critPathNum, e_tim } // namespace server -#endif // PATHHELPER_H +#endif /* PATHHELPER_H */ diff --git a/vpr/src/server/serverupdate.h b/vpr/src/server/serverupdate.h index 57a4bbaaeed..cae5a8aac13 100644 --- a/vpr/src/server/serverupdate.h +++ b/vpr/src/server/serverupdate.h @@ -18,6 +18,6 @@ gboolean update(gpointer); } // namespace server -#endif // NO_GRAPHICS +#endif /* NO_GRAPHICS */ -#endif // SERVERUPDATE_H +#endif /* SERVERUPDATE_H */ diff --git a/vpr/src/server/task.h b/vpr/src/server/task.h index a14fd4228f6..79099e221f1 100644 --- a/vpr/src/server/task.h +++ b/vpr/src/server/task.h @@ -70,4 +70,4 @@ using TaskPtr = std::unique_ptr; } // namespace server -#endif // TASK_H +#endif /* TASK_H */ diff --git a/vpr/src/server/telegrambuffer.h b/vpr/src/server/telegrambuffer.h index 10e16ed6661..e8f9d6c241b 100644 --- a/vpr/src/server/telegrambuffer.h +++ b/vpr/src/server/telegrambuffer.h @@ -77,4 +77,4 @@ class TelegramBuffer } // namespace comm -#endif // TELEGRAMBUFFER_H +#endif /* TELEGRAMBUFFER_H */ diff --git a/vpr/src/server/telegramframe.h b/vpr/src/server/telegramframe.h index b942efa10ec..fc4e462cd27 100644 --- a/vpr/src/server/telegramframe.h +++ b/vpr/src/server/telegramframe.h @@ -16,4 +16,4 @@ using TelegramFramePtr = std::shared_ptr; } // namespace comm -#endif // TELEGRAMFRAME_H +#endif /* TELEGRAMFRAME_H */ diff --git a/vpr/src/server/telegramheader.h b/vpr/src/server/telegramheader.h index eb9cac30e1c..52c225cb275 100644 --- a/vpr/src/server/telegramheader.h +++ b/vpr/src/server/telegramheader.h @@ -58,4 +58,4 @@ class TelegramHeader { } // namespace comm -#endif // TELEGRAMHEADER_H +#endif /* TELEGRAMHEADER_H */ diff --git a/vpr/src/server/telegramoptions.h b/vpr/src/server/telegramoptions.h index 3703926db33..49fd9dbfc20 100644 --- a/vpr/src/server/telegramoptions.h +++ b/vpr/src/server/telegramoptions.h @@ -57,4 +57,4 @@ class TelegramOptions { } // namespace server -#endif // TELEGRAMOPTIONS_H +#endif /* TELEGRAMOPTIONS_H */ diff --git a/vpr/src/server/telegramparser.h b/vpr/src/server/telegramparser.h index 6f9eca4f37b..1a583b2ac08 100644 --- a/vpr/src/server/telegramparser.h +++ b/vpr/src/server/telegramparser.h @@ -26,4 +26,4 @@ class TelegramParser { } // namespace comm -#endif // TELEGRAMPARSER_H +#endif /* TELEGRAMPARSER_H */ diff --git a/vpr/src/server/zlibutils.h b/vpr/src/server/zlibutils.h index 7ef1873e6d1..fe0a8d7d1f1 100644 --- a/vpr/src/server/zlibutils.h +++ b/vpr/src/server/zlibutils.h @@ -7,4 +7,4 @@ std::string tryCompress(const std::string& decompressed); std::string tryDecompress(const std::string& compressed); -#endif +#endif /* ZLIBUTILS_H */ From abcc69a3d9604f71939f7bcacb407f10ca481a11 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Sat, 6 Apr 2024 22:22:23 +0300 Subject: [PATCH 408/608] =?UTF-8?q?fix=20warning=20[cast=20from=20type=20?= =?UTF-8?q?=E2=80=98const=20char*=E2=80=99=20to=20type=20=E2=80=98Bytef*?= =?UTF-8?q?=E2=80=99]=20in=20zlibtuils.cpp?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- vpr/src/server/zlibutils.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vpr/src/server/zlibutils.cpp b/vpr/src/server/zlibutils.cpp index 0cddc55a183..4998c5b1a3e 100644 --- a/vpr/src/server/zlibutils.cpp +++ b/vpr/src/server/zlibutils.cpp @@ -12,7 +12,7 @@ std::string tryCompress(const std::string& decompressed) return ""; } - zs.next_in = (Bytef*)decompressed.data(); + zs.next_in = reinterpret_cast(const_cast(decompressed.data())); zs.avail_in = decompressed.size(); int retCode; @@ -48,7 +48,7 @@ std::string tryDecompress(const std::string& compressed) return ""; } - zs.next_in = (Bytef*)compressed.data(); + zs.next_in = reinterpret_cast(const_cast(compressed.data())); zs.avail_in = compressed.size(); int retCode; From 087fb13aedf1c25923824dccc0ab0f6857f35ba3 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Sat, 6 Apr 2024 22:39:29 +0300 Subject: [PATCH 409/608] remove thirdparty/sockpp/conanfile.py since it's not used during build and case a problem at CI: Python Lint check --- vpr/thirdparty/sockpp/conanfile.py | 66 ------------------------------ 1 file changed, 66 deletions(-) delete mode 100644 vpr/thirdparty/sockpp/conanfile.py diff --git a/vpr/thirdparty/sockpp/conanfile.py b/vpr/thirdparty/sockpp/conanfile.py deleted file mode 100644 index 0b3d8111a81..00000000000 --- a/vpr/thirdparty/sockpp/conanfile.py +++ /dev/null @@ -1,66 +0,0 @@ -from conans import ConanFile, CMake, tools - -class Sockpp(ConanFile): - name = "sockpp" - description = """Modern C++ socket library.""" - license = "BSD-3-Clause License" - author = "fpagliughi" - settings = "os", "compiler", "build_type", "arch" - exports = "CMakeLists.txt", "src/*", "include/*", "doc/*", "tests/*", "examples/*", "Doxyfile", "version.h.in" - options = { - "shared" : [True, False, None], - "examples" : [True, False, None], - "tests" : [True, False, None], - "docs" : [True, False, None] - } - # If specified None the default values from CMakeLists will be used - default_options = { - "shared" : None, - "examples" : None, - "tests" : None, - "docs" : None - } - - def set_version(self): - git = tools.Git(folder=self.recipe_folder) - self.version = git.get_branch() - - def configure_cmake(self): - cmake = CMake(self) - # TODO: This might be removed from CMakeLists and BUILD_SHARED_LIBS might be used instead https://docs.conan.io/en/latest/reference/build_helpers/cmake.html#definitions - if self.options.shared != None: - if self.options.shared: - cmake.definitions["SOCKPP_BUILD_SHARED"] = "ON" - cmake.definitions["SOCKPP_BUILD_STATIC"] = "OFF" - else: - cmake.definitions["SOCKPP_BUILD_SHARED"] = "OFF" - cmake.definitions["SOCKPP_BUILD_STATIC"] = "ON" - - if self.options.examples != None: - cmake.definitions["SOCKPP_BUILD_EXAMPLES"] = "ON" if self.options.examples else "OFF" - - if self.options.tests != None: - cmake.definitions["SOCKPP_BUILD_TESTS"] = "ON" if self.options.tests else "OFF" - - if self.options.docs != None: - cmake.definitions["SOCKPP_BUILD_DOCUMENTATION"] = "ON" if self.options.docs else "OFF" - - cmake.configure() - return cmake - - def build(self): - cmake = self.configure_cmake() - cmake.build() - - def package(self): - cmake = self.configure_cmake() - cmake.install() - - def package_info(self): - self.cpp_info.includedirs = ["include"] - self.cpp_info.libdirs = ["lib"] - if self.settings.os == "Windows": - self.cpp_info.libs = ["sockpp-static"] - self.cpp_info.system_libs = ["ws2_32"] - if self.settings.os == "Linux": - self.cpp_info.libs = ["sockpp"] From 0231cdcee6d2b49111d427934ef55bd0681b7409 Mon Sep 17 00:00:00 2001 From: vaughnbetz Date: Wed, 10 Apr 2024 11:49:50 -0400 Subject: [PATCH 410/608] Update benchmarks.rst to fix a typo where we said VTR instead of Koios --- doc/src/vtr/benchmarks.rst | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/doc/src/vtr/benchmarks.rst b/doc/src/vtr/benchmarks.rst index bdd9062b919..e011657eed2 100644 --- a/doc/src/vtr/benchmarks.rst +++ b/doc/src/vtr/benchmarks.rst @@ -102,7 +102,7 @@ These designs use many precisions including binary, different fixed point types proxy Proxy/synthetic benchmarks ================= ====================================== -The VTR benchmarks are provided as Verilog (enabling full flexibility to modify and change how the designs are implemented) under: :: +The Koios benchmarks are provided as Verilog (enabling full flexibility to modify and change how the designs are implemented) under: :: $VTR_ROOT/vtr_flow/benchmarks/verilog/koios @@ -207,4 +207,4 @@ real application domains. On the other hand, MLP benchmarks include modules that and move data. Pre-synthesized netlists for the synthetic benchmarks are added to VTR project, but MLP netlists should be downloaded separately. -.. note:: The NoC MLP benchmarks are not included with the VTR release (due to their size). However they can be downloaded and extracted by running ``make get_noc_mlp_benchmarks`` from the root of the VTR tree. They can also be `downloaded manually `_. \ No newline at end of file +.. note:: The NoC MLP benchmarks are not included with the VTR release (due to their size). However they can be downloaded and extracted by running ``make get_noc_mlp_benchmarks`` from the root of the VTR tree. They can also be `downloaded manually `_. From 9c6d14999e03a22a0d0b46483a41b0023b590ee4 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 10 Apr 2024 16:17:58 -0400 Subject: [PATCH 411/608] replace some string& with string_view --- libs/libvtrutil/src/vtr_util.cpp | 8 ++++---- libs/libvtrutil/src/vtr_util.h | 5 +++-- utils/fasm/src/fasm.cpp | 10 +++++----- utils/fasm/src/fasm.h | 7 ++++--- utils/fasm/src/fasm_utils.cpp | 20 ++++++++++---------- utils/fasm/src/fasm_utils.h | 15 ++++++++------- vpr/src/base/vpr_api.cpp | 9 ++++----- 7 files changed, 38 insertions(+), 36 deletions(-) diff --git a/libs/libvtrutil/src/vtr_util.cpp b/libs/libvtrutil/src/vtr_util.cpp index 29d9c7cb6d8..a74e01c625f 100644 --- a/libs/libvtrutil/src/vtr_util.cpp +++ b/libs/libvtrutil/src/vtr_util.cpp @@ -26,7 +26,7 @@ static int cont; /* line continued? (used by strtok)*/ * * The split strings (excluding the delimiters) are returned */ -std::vector split(const char* text, const std::string& delims) { +std::vector split(const char* text, std::string_view delims) { if (text) { std::string text_str(text); return split(text_str, delims); @@ -39,13 +39,13 @@ std::vector split(const char* text, const std::string& delims) { * * The split strings (excluding the delimiters) are returned */ -std::vector split(const std::string& text, const std::string& delims) { +std::vector split(std::string_view text, std::string_view delims) { std::vector tokens; std::string curr_tok; for (char c : text) { if (delims.find(c) != std::string::npos) { - //Delimeter character + //Delimiter character if (!curr_tok.empty()) { //At the end of the token @@ -58,7 +58,7 @@ std::vector split(const std::string& text, const std::string& delim //Pass } } else { - //Non-delimeter append to token + //Non-delimiter append to token curr_tok += c; } } diff --git a/libs/libvtrutil/src/vtr_util.h b/libs/libvtrutil/src/vtr_util.h index 114de793751..3366b3fabfe 100644 --- a/libs/libvtrutil/src/vtr_util.h +++ b/libs/libvtrutil/src/vtr_util.h @@ -4,6 +4,7 @@ #include #include #include +#include #include #include @@ -14,8 +15,8 @@ namespace vtr { * * The split strings (excluding the delimiters) are returned */ -std::vector split(const char* text, const std::string& delims = " \t\n"); -std::vector split(const std::string& text, const std::string& delims = " \t\n"); +std::vector split(const char* text, std::string_view string_view = " \t\n"); +std::vector split(std::string_view text, std::string_view delims = " \t\n"); ///@brief Returns 'input' with the first instance of 'search' replaced with 'replace' std::string replace_first(const std::string& input, const std::string& search, const std::string& replace); diff --git a/utils/fasm/src/fasm.cpp b/utils/fasm/src/fasm.cpp index b178055f8c6..5a1f314d331 100644 --- a/utils/fasm/src/fasm.cpp +++ b/utils/fasm/src/fasm.cpp @@ -413,7 +413,7 @@ static LogicVec lut_outputs(const t_pb* atom_pb, size_t num_inputs, const t_pb_r return lut.table(); } -const t_metadata_dict *FasmWriterVisitor::get_fasm_type(const t_pb_graph_node* pb_graph_node, const std::string& target_type) const { +const t_metadata_dict *FasmWriterVisitor::get_fasm_type(const t_pb_graph_node* pb_graph_node, std::string_view target_type) const { if(pb_graph_node == nullptr) { return nullptr; } @@ -659,7 +659,7 @@ void FasmWriterVisitor::find_clb_prefix(const t_pb_graph_node *node, } } -void FasmWriterVisitor::output_fasm_mux(const std::string& fasm_mux_str, +void FasmWriterVisitor::output_fasm_mux(std::string_view fasm_mux_str, t_interconnect *interconnect, const t_pb_graph_pin *mux_input_pin) { auto *pb_name = mux_input_pin->parent_node->pb_type->name; @@ -741,15 +741,15 @@ void FasmWriterVisitor::output_fasm_mux(const std::string& fasm_mux_str, vpr_throw(VPR_ERROR_OTHER, __FILE__, __LINE__, "fasm_mux %s[%d].%s[%d] found no matches in:\n%s\n", - pb_name, pb_index, port_name, pin_index, fasm_mux_str.c_str()); + pb_name, pb_index, port_name, pin_index, fasm_mux_str.data()); } void FasmWriterVisitor::output_fasm_features(const std::string& features) const { output_fasm_features(features, clb_prefix_, blk_prefix_); } -void FasmWriterVisitor::output_fasm_features(const std::string& features, const std::string& clb_prefix, const std::string& blk_prefix) const { - std::stringstream os(features); +void FasmWriterVisitor::output_fasm_features(const std::string& features, std::string_view clb_prefix, std::string_view blk_prefix) const { + std::istringstream os(features); while(os) { std::string feature; diff --git a/utils/fasm/src/fasm.h b/utils/fasm/src/fasm.h index eeb7fb2f44f..8317831dc87 100644 --- a/utils/fasm/src/fasm.h +++ b/utils/fasm/src/fasm.h @@ -15,6 +15,7 @@ #include #include #include +#include #include #include @@ -67,11 +68,11 @@ class FasmWriterVisitor : public NetlistVisitor { private: void output_fasm_features(const std::string& features) const; - void output_fasm_features(const std::string& features, const std::string& clb_prefix, const std::string& blk_prefix) const; + void output_fasm_features(const std::string& features, std::string_view clb_prefix, std::string_view blk_prefix) const; void check_features(const t_metadata_dict *meta) const; void check_interconnect(const t_pb_routes &pb_route, int inode); void check_for_lut(const t_pb* atom); - void output_fasm_mux(const std::string& fasm_mux, t_interconnect *interconnect, const t_pb_graph_pin *mux_input_pin); + void output_fasm_mux(std::string_view fasm_mux, t_interconnect *interconnect, const t_pb_graph_pin *mux_input_pin); void walk_routing(); void walk_route_tree(const RRGraphBuilder& rr_graph_builder, const RouteTreeNode& root); std::string build_clb_prefix(const t_pb *pb, const t_pb_graph_node* pb_graph_node, bool* is_parent_pb_null) const; @@ -83,7 +84,7 @@ class FasmWriterVisitor : public NetlistVisitor { bool *have_prefix, std::string *clb_prefix) const; std::string handle_fasm_prefix(const t_metadata_dict *meta, const t_pb_graph_node *pb_graph_node, const t_pb_type *pb_type) const; - const t_metadata_dict *get_fasm_type(const t_pb_graph_node* pb_graph_node, const std::string& target_type) const; + const t_metadata_dict *get_fasm_type(const t_pb_graph_node* pb_graph_node, std::string_view target_type) const; vtr::string_internment *strings_; std::ostream& os_; diff --git a/utils/fasm/src/fasm_utils.cpp b/utils/fasm/src/fasm_utils.cpp index b7e7027fa12..ce7bd5c3759 100644 --- a/utils/fasm/src/fasm_utils.cpp +++ b/utils/fasm/src/fasm_utils.cpp @@ -5,7 +5,7 @@ namespace fasm { -void parse_name_with_optional_index(const std::string in, std::string *name, int *index) { +void parse_name_with_optional_index(std::string_view in, std::string *name, int *index) { auto in_parts = vtr::split(in, "[]"); if(in_parts.size() == 1) { @@ -16,13 +16,13 @@ void parse_name_with_optional_index(const std::string in, std::string *name, int *index = vtr::atoi(in_parts[1]); } else { vpr_throw(VPR_ERROR_OTHER, __FILE__, __LINE__, - "Cannot parse %s.", in.c_str()); + "Cannot parse %s.", in.data()); } } std::vector split_fasm_entry(std::string entry, - std::string delims, - std::string ignore) { + std::string_view delims, + std::string_view ignore) { for (size_t ii=0; ii split_fasm_entry(std::string entry, return vtr::split(entry, delims); } -std::vector find_tags_in_feature (const std::string& a_String) { +std::vector find_tags_in_feature (std::string_view a_String) { const std::regex regex ("(\\{[a-zA-Z0-9_]+\\})"); std::vector tags; @@ -51,17 +51,17 @@ std::vector find_tags_in_feature (const std::string& a_String) { return tags; } -std::string substitute_tags (const std::string& a_Feature, const std::map& a_Tags) { +std::string substitute_tags (std::string_view a_Feature, const std::map& a_Tags) { // First list tags that are given in the feature string auto tags = find_tags_in_feature(a_Feature); if (tags.empty()) { - return a_Feature; + return std::string{a_Feature}; } // Check if those tags are defined, If not then throw an error bool have_errors = false; - for (auto tag: tags) { + for (const auto& tag: tags) { if (a_Tags.count(tag) == 0) { vtr::printf_error(__FILE__, __LINE__, "fasm placeholder '%s' not defined!", tag.c_str()); have_errors = true; @@ -71,13 +71,13 @@ std::string substitute_tags (const std::string& a_Feature, const std::map +#include #include #include @@ -13,27 +14,27 @@ namespace fasm { // in="A[5]" parts to *name="A", *index=5 // // Throws vpr exception if parsing fails. -void parse_name_with_optional_index(const std::string in, std::string *name, int *index); +void parse_name_with_optional_index(std::string_view in, std::string *name, int *index); // Split FASM entry into parts. // // delims - Characters to split on. // ignore - Characters to ignore. std::vector split_fasm_entry(std::string entry, - std::string delims, - std::string ignore); + std::string_view delims, + std::string_view ignore); // Searches for tags in given string, returns their names in a vector. -std::vector find_tags_in_feature (const std::string& a_String); +std::vector find_tags_in_feature(std::string_view a_String); // Substitutes tags found in a string with their values provided by the map. -// Thorws an error if a tag is found in the string and its value is not present +// Throws an error if a tag is found in the string and its value is not present // in the map. // // a_Feature - Fasm feature string (or any other string) // a_Tags - Map with tags and their values -std::string substitute_tags (const std::string& a_Feature, - const std::map& a_Tags); +std::string substitute_tags(std::string_view a_Feature, + const std::map& a_Tags); } // namespace fasm diff --git a/vpr/src/base/vpr_api.cpp b/vpr/src/base/vpr_api.cpp index 47733286088..15f4f5244c6 100644 --- a/vpr/src/base/vpr_api.cpp +++ b/vpr/src/base/vpr_api.cpp @@ -251,7 +251,7 @@ void vpr_init_with_options(const t_options* options, t_vpr_setup* vpr_setup, t_a * Initialize the functions names for which VPR_ERRORs * are demoted to VTR_LOG_WARNs */ - for (const std::string& func_name : vtr::split(options->disable_errors, std::string(":"))) { + for (const std::string& func_name : vtr::split(options->disable_errors.value(), ":")) { map_error_activation_status(func_name); } @@ -259,7 +259,7 @@ void vpr_init_with_options(const t_options* options, t_vpr_setup* vpr_setup, t_a * Initialize the functions names for which * warnings are being suppressed */ - std::vector split_warning_option = vtr::split(options->suppress_warnings, std::string(",")); + std::vector split_warning_option = vtr::split(options->suppress_warnings.value(), ","); std::string warn_log_file; std::string warn_functions; // If no log file name is provided, the specified warning @@ -507,7 +507,7 @@ void vpr_create_device_grid(const t_vpr_setup& vpr_setup, const t_arch& Arch) { if (!device_ctx.grid.limiting_resources().empty()) { std::vector limiting_block_names; for (auto blk_type : device_ctx.grid.limiting_resources()) { - limiting_block_names.push_back(blk_type->name); + limiting_block_names.emplace_back(blk_type->name); } VTR_LOG("FPGA size limited by block type(s): %s\n", vtr::join(limiting_block_names, " ").c_str()); VTR_LOG("\n"); @@ -565,7 +565,6 @@ void vpr_setup_noc_routing_algorithm(const std::string& noc_routing_algorithm_na auto& noc_ctx = g_vpr_ctx.mutable_noc(); noc_ctx.noc_flows_router = NocRoutingAlgorithmCreator::create_routing_algorithm(noc_routing_algorithm_name); - return; } bool vpr_pack_flow(t_vpr_setup& vpr_setup, const t_arch& arch) { @@ -598,7 +597,7 @@ bool vpr_pack_flow(t_vpr_setup& vpr_setup, const t_arch& arch) { check_netlist(packer_opts.pack_verbosity); /* Output the netlist stats to console and optionally to file. */ - writeClusteredNetlistStats(vpr_setup.FileNameOpts.write_block_usage.c_str()); + writeClusteredNetlistStats(vpr_setup.FileNameOpts.write_block_usage); // print the total number of used physical blocks for each // physical block type after finishing the packing stage From e4edc6a55d87d4b4260b366307cf561f998a5528 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Wed, 10 Apr 2024 16:44:15 -0400 Subject: [PATCH 412/608] avoid constructing strings when char* is passed as an argument --- libs/libvtrutil/src/vtr_util.cpp | 16 ++++++++-------- libs/libvtrutil/src/vtr_util.h | 20 ++++++++++---------- vpr/src/base/read_blif.cpp | 12 ++++++------ 3 files changed, 24 insertions(+), 24 deletions(-) diff --git a/libs/libvtrutil/src/vtr_util.cpp b/libs/libvtrutil/src/vtr_util.cpp index a74e01c625f..b3eef7379eb 100644 --- a/libs/libvtrutil/src/vtr_util.cpp +++ b/libs/libvtrutil/src/vtr_util.cpp @@ -72,18 +72,18 @@ std::vector split(std::string_view text, std::string_view delims) { } ///@brief Returns 'input' with the first instance of 'search' replaced with 'replace' -std::string replace_first(const std::string& input, const std::string& search, const std::string& replace) { +std::string replace_first(std::string_view input, std::string_view search, std::string_view replace) { auto pos = input.find(search); std::string output(input, 0, pos); output += replace; - output += std::string(input, pos + search.size()); + output += input.substr(pos + search.size()); return output; } ///@brief Returns 'input' with all instances of 'search' replaced with 'replace' -std::string replace_all(const std::string& input, const std::string& search, const std::string& replace) { +std::string replace_all(std::string_view input, std::string_view search, std::string_view replace) { std::string output; size_t last = 0; @@ -101,8 +101,8 @@ std::string replace_all(const std::string& input, const std::string& search, con return output; } -///@brief Retruns true if str starts with prefix -bool starts_with(const std::string& str, const std::string& prefix) { +///@brief Returns true if str starts with prefix +bool starts_with(const std::string& str, std::string_view prefix) { return str.find(prefix) == 0; } @@ -195,7 +195,7 @@ char* strdup(const char* str) { * and/or correct 'unexpected' behaviour of the standard c-functions */ template -T atoT(const std::string& value, const std::string& type_name) { +T atoT(const std::string& value, std::string_view type_name) { //The c version of atof doesn't catch errors. // //This version uses stringstream to detect conversion errors @@ -461,8 +461,8 @@ bool file_exists(const char* filename) { * * Returns true if the extension is correct, and false otherwise. */ -bool check_file_name_extension(const std::string& file_name, - const std::string& file_extension) { +bool check_file_name_extension(std::string_view file_name, + std::string_view file_extension) { auto ext = std::filesystem::path(file_name).extension(); return ext == file_extension; } diff --git a/libs/libvtrutil/src/vtr_util.h b/libs/libvtrutil/src/vtr_util.h index 3366b3fabfe..b5737372557 100644 --- a/libs/libvtrutil/src/vtr_util.h +++ b/libs/libvtrutil/src/vtr_util.h @@ -19,13 +19,13 @@ std::vector split(const char* text, std::string_view string_view = std::vector split(std::string_view text, std::string_view delims = " \t\n"); ///@brief Returns 'input' with the first instance of 'search' replaced with 'replace' -std::string replace_first(const std::string& input, const std::string& search, const std::string& replace); +std::string replace_first(std::string_view input, std::string_view search, std::string_view replace); ///@brief Returns 'input' with all instances of 'search' replaced with 'replace' -std::string replace_all(const std::string& input, const std::string& search, const std::string& replace); +std::string replace_all(std::string_view input, std::string_view search, std::string_view replace); ///@brief Retruns true if str starts with prefix -bool starts_with(const std::string& str, const std::string& prefix); +bool starts_with(const std::string& str, std::string_view prefix); ///@brief Returns a std::string formatted using a printf-style format string std::string string_fmt(const char* fmt, ...); @@ -40,13 +40,13 @@ std::string vstring_fmt(const char* fmt, va_list args); * would return "home/user/my_files/test.blif" */ template -std::string join(Iter begin, Iter end, std::string delim); +std::string join(Iter begin, Iter end, std::string_view delim); template -std::string join(Container container, std::string delim); +std::string join(Container container, std::string_view delim); template -std::string join(std::initializer_list list, std::string delim); +std::string join(std::initializer_list list, std::string_view delim); template void uniquify(Container container); @@ -70,7 +70,7 @@ double atod(const std::string& value); */ int get_file_line_number_of_last_opened_file(); bool file_exists(const char* filename); -bool check_file_name_extension(const std::string& file_name, const std::string& file_extension); +bool check_file_name_extension(std::string_view file_name, std::string_view file_extension); extern std::string out_file_prefix; @@ -83,7 +83,7 @@ std::vector ReadLineTokens(FILE* InFile, int* LineNum); * @brief Template join function implementation */ template -std::string join(Iter begin, Iter end, std::string delim) { +std::string join(Iter begin, Iter end, std::string_view delim) { std::string joined_str; for (auto iter = begin; iter != end; ++iter) { joined_str += *iter; @@ -95,12 +95,12 @@ std::string join(Iter begin, Iter end, std::string delim) { } template -std::string join(Container container, std::string delim) { +std::string join(Container container, std::string_view delim) { return join(std::begin(container), std::end(container), delim); } template -std::string join(std::initializer_list list, std::string delim) { +std::string join(std::initializer_list list, std::string_view delim) { return join(list.begin(), list.end(), delim); } diff --git a/vpr/src/base/read_blif.cpp b/vpr/src/base/read_blif.cpp index 2425a18d239..807e8c4a8c4 100644 --- a/vpr/src/base/read_blif.cpp +++ b/vpr/src/base/read_blif.cpp @@ -443,7 +443,7 @@ struct BlifAllocCallback : public blifparse::Callback { } private: - const t_model* find_model(std::string name) { + const t_model* find_model(std::string_view name) { const t_model* arch_model = nullptr; for (const t_model* arch_models : {user_arch_models_, library_arch_models_}) { arch_model = arch_models; @@ -461,12 +461,12 @@ struct BlifAllocCallback : public blifparse::Callback { } if (!arch_model) { vpr_throw(VPR_ERROR_BLIF_F, filename_.c_str(), lineno_, "Failed to find matching architecture model for '%s'\n", - name.c_str()); + name.data()); } return arch_model; } - const t_model_ports* find_model_port(const t_model* blk_model, std::string port_name) { + const t_model_ports* find_model_port(const t_model* blk_model, const std::string& port_name) { //We need to handle both single, and multi-bit port names // //By convention multi-bit port names have the bit index stored in square brackets @@ -582,7 +582,7 @@ struct BlifAllocCallback : public blifparse::Callback { } else { VTR_ASSERT(blif_model.block_type(blk_id) == AtomBlockType::OUTPAD); - auto raw_output_name = blif_model.block_name(blk_id); + const auto& raw_output_name = blif_model.block_name(blk_id); std::string output_name = vtr::replace_first(raw_output_name, OUTPAD_NAME_PREFIX, ""); @@ -618,7 +618,7 @@ struct BlifAllocCallback : public blifparse::Callback { * @brief Merges all the recorded net pairs which need to be merged * * This should only be called at the end of a .model to ensure that - * all the associated driver/sink pins have been delcared and connected + * all the associated driver/sink pins have been declared and connected * to their nets */ void merge_conn_nets() { @@ -668,7 +668,7 @@ vtr::LogicValue to_vtr_logic_value(blifparse::LogicValue val) { new_val = vtr::LogicValue::UNKOWN; break; default: - VTR_ASSERT_OPT_MSG(false, "Unkown logic value"); + VTR_ASSERT_OPT_MSG(false, "Unknown logic value"); } return new_val; } From e5a065054ec320dc6eb7ec9333252a7f12992f3b Mon Sep 17 00:00:00 2001 From: AlexandreSinger Date: Wed, 10 Apr 2024 16:36:11 -0400 Subject: [PATCH 413/608] Fixed Make Being Called With Multiple Threads CMake was calling another Make command, but was explicitly passing the number of threads to use. Make files should not pass the number of threads to use since it may cause more threads to be consumed than what the user intended. For example, if the user used `make -j10`, the parent make would use 10 threads, and the child make would also use 10 threads; but this is not what the user wanted. I think CMake recognized this and was suppressing this behaviour anyways. I believe that CMake will pass the number of threads for the child make to use anyways, but in a way that would prevent the above issue. Removed the ability to set the number of threads for Yosys builds to handle the warning. --- CMakeLists.txt | 12 +++++++----- yosys/CMakeLists.txt | 4 ++-- 2 files changed, 9 insertions(+), 7 deletions(-) diff --git a/CMakeLists.txt b/CMakeLists.txt index c818811d8ff..3326eae4168 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -418,11 +418,13 @@ if(${WITH_PARMYS}) # define cmake params to compile Yosys set(MAKE_PROGRAM "make") endif() - if(NOT DEFINED "${CMAKE_BUILD_PARALLEL_LEVEL}") - set(CUSTOM_BUILD_PARALLEL_LEVEL 16) - else() - set(CUSTOM_BUILD_PARALLEL_LEVEL "${CMAKE_BUILD_PARALLEL_LEVEL}") - endif() + # Commented out since a make file should not call another make command with + # threads. It should pass this information from the parent automatically. + # if(NOT DEFINED "${CMAKE_BUILD_PARALLEL_LEVEL}") + # set(CUSTOM_BUILD_PARALLEL_LEVEL 16) + # else() + # set(CUSTOM_BUILD_PARALLEL_LEVEL "${CMAKE_BUILD_PARALLEL_LEVEL}") + # endif() add_subdirectory(yosys) endif() diff --git a/yosys/CMakeLists.txt b/yosys/CMakeLists.txt index dd13b7c49ae..455e575cc99 100644 --- a/yosys/CMakeLists.txt +++ b/yosys/CMakeLists.txt @@ -20,7 +20,7 @@ add_custom_command(OUTPUT yosys-bin # -C ${CMAKE_CURRENT_BINARY_DIR} # -f ${CMAKE_CURRENT_SOURCE_DIR}/Makefile #(out-of-tree) build directory PREFIX=${CMAKE_BINARY_DIR} - -j${CUSTOM_BUILD_PARALLEL_LEVEL} +# -j${CUSTOM_BUILD_PARALLEL_LEVEL} > /dev/null COMMAND ${MAKE_PROGRAM} install ENABLE_ABC=0 @@ -43,4 +43,4 @@ add_custom_target(yosys ALL DEPENDS yosys-bin) # INTERFACE_INCLUDE_DIRECTORIES ${YOSYS_INCLUDE_DIRS}) -#install(FILES ${BINARY_LIB_FILE1} DESTINATION ${CMAKE_CURRENT_BINARY_DIR}) \ No newline at end of file +#install(FILES ${BINARY_LIB_FILE1} DESTINATION ${CMAKE_CURRENT_BINARY_DIR}) From a7a5fd33cfea6a5e212f168b18d13aa166cbf350 Mon Sep 17 00:00:00 2001 From: AlexandreSinger Date: Thu, 11 Apr 2024 11:08:44 -0400 Subject: [PATCH 414/608] [CI][XML] Added XMLLINT to CI Dependencies A warning was being generated by EZGL when pre-processing the resources for main.ui. This could not be removed since the library we use to preprocess always tries to use XMLLINT even when it is not used. If the system does not have XMLLINT installed, it will always give a warning saying it is not installed. As a quick fix, we can make it so the CI has it installed, since this is technically the use case we want tested. --- .github/scripts/install_dependencies.sh | 1 + 1 file changed, 1 insertion(+) diff --git a/.github/scripts/install_dependencies.sh b/.github/scripts/install_dependencies.sh index e0acc3255db..b33a2e1bba4 100755 --- a/.github/scripts/install_dependencies.sh +++ b/.github/scripts/install_dependencies.sh @@ -29,6 +29,7 @@ sudo apt install -y \ libncurses5-dev \ libx11-dev \ libxft-dev \ + libxml2-utils \ libxml++2.6-dev \ libreadline-dev \ tcllib \ From a6f114592173338963f245bf5df57cab5d2c0192 Mon Sep 17 00:00:00 2001 From: soheilshahrouz Date: Thu, 11 Apr 2024 15:59:04 -0400 Subject: [PATCH 415/608] CMAKE_CXX_STANDARD 17 inlifexplorer --- blifexplorer/CMakeLists.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/blifexplorer/CMakeLists.txt b/blifexplorer/CMakeLists.txt index c027ef95348..70c28edfd43 100644 --- a/blifexplorer/CMakeLists.txt +++ b/blifexplorer/CMakeLists.txt @@ -2,7 +2,7 @@ cmake_minimum_required(VERSION 3.16) project("blifexplorer") -set(CMAKE_CXX_STANDARD 14) +set(CMAKE_CXX_STANDARD 17) set(CMAKE_CXX_STANDARD_REQUIRED ON) set(CMAKE_CXX_EXTENSIONS OFF) From 44a95caffbce80f144a265340a35e25a25f53a28 Mon Sep 17 00:00:00 2001 From: Oleksandr Date: Fri, 12 Apr 2024 18:48:37 +0300 Subject: [PATCH 416/608] move sockpp to lib/EXTERNAL as a submodule --- .gitmodules | 3 + dev/subtree_config.xml | 5 + libs/EXTERNAL/CMakeLists.txt | 6 + libs/EXTERNAL/sockpp | 1 + vpr/CMakeLists.txt | 6 - vpr/thirdparty/sockpp/.editorconfig | 17 - vpr/thirdparty/sockpp/.gitattributes | 34 - vpr/thirdparty/sockpp/.gitignore | 45 - vpr/thirdparty/sockpp/.hgeol | 32 - vpr/thirdparty/sockpp/.travis.yml | 83 - vpr/thirdparty/sockpp/CHANGELOG.md | 123 - vpr/thirdparty/sockpp/CMakeLists.txt | 223 -- vpr/thirdparty/sockpp/CONTRIBUTING.md | 21 - vpr/thirdparty/sockpp/Doxyfile | 2606 ----------------- vpr/thirdparty/sockpp/LICENSE | 30 - vpr/thirdparty/sockpp/README.md | 261 -- vpr/thirdparty/sockpp/buildtst.sh | 52 - .../sockpp/cmake/sockppConfig.cmake | 14 - vpr/thirdparty/sockpp/cmake/version.h.in | 59 - vpr/thirdparty/sockpp/devenv.sh | 9 - vpr/thirdparty/sockpp/doc/CMakeLists.txt | 66 - vpr/thirdparty/sockpp/doc/Doxyfile.cmake | 2304 --------------- vpr/thirdparty/sockpp/examples/CMakeLists.txt | 47 - .../sockpp/examples/linux/CMakeLists.txt | 67 - .../sockpp/examples/linux/canrecv.cpp | 94 - .../sockpp/examples/linux/cantime.cpp | 98 - .../sockpp/examples/tcp/CMakeLists.txt | 86 - .../sockpp/examples/tcp/tcp6echo.cpp | 99 - .../sockpp/examples/tcp/tcp6echosvr.cpp | 109 - .../sockpp/examples/tcp/tcpecho.cpp | 97 - .../sockpp/examples/tcp/tcpechomt.cpp | 124 - .../sockpp/examples/tcp/tcpechosvr.cpp | 110 - .../sockpp/examples/tcp/tcpechotest.cpp | 122 - .../sockpp/examples/udp/CMakeLists.txt | 82 - .../sockpp/examples/udp/udp6echo.cpp | 89 - .../sockpp/examples/udp/udpecho.cpp | 89 - .../sockpp/examples/udp/udpechosvr.cpp | 121 - .../sockpp/examples/unix/CMakeLists.txt | 87 - .../sockpp/examples/unix/undgramecho.cpp | 97 - .../sockpp/examples/unix/undgramechosvr.cpp | 93 - .../sockpp/examples/unix/unecho.cpp | 87 - .../sockpp/examples/unix/unechosvr.cpp | 111 - .../sockpp/examples/unix/unechotest.cpp | 114 - .../sockpp/include/sockpp/acceptor.h | 279 -- .../sockpp/include/sockpp/can_address.h | 200 -- .../sockpp/include/sockpp/can_frame.h | 103 - .../sockpp/include/sockpp/can_socket.h | 206 -- .../sockpp/include/sockpp/connector.h | 268 -- .../sockpp/include/sockpp/datagram_socket.h | 421 --- .../sockpp/include/sockpp/exception.h | 129 - .../sockpp/include/sockpp/inet6_address.h | 250 -- .../sockpp/include/sockpp/inet_address.h | 242 -- .../sockpp/include/sockpp/platform.h | 112 - vpr/thirdparty/sockpp/include/sockpp/result.h | 126 - .../sockpp/include/sockpp/sock_address.h | 203 -- vpr/thirdparty/sockpp/include/sockpp/socket.h | 581 ---- .../sockpp/include/sockpp/stream_socket.h | 367 --- .../sockpp/include/sockpp/tcp6_acceptor.h | 69 - .../sockpp/include/sockpp/tcp6_connector.h | 66 - .../sockpp/include/sockpp/tcp6_socket.h | 65 - .../sockpp/include/sockpp/tcp_acceptor.h | 69 - .../sockpp/include/sockpp/tcp_connector.h | 65 - .../sockpp/include/sockpp/tcp_socket.h | 65 - .../sockpp/include/sockpp/udp6_socket.h | 65 - .../sockpp/include/sockpp/udp_socket.h | 65 - .../sockpp/include/sockpp/unix_acceptor.h | 115 - .../sockpp/include/sockpp/unix_address.h | 194 -- .../sockpp/include/sockpp/unix_connector.h | 65 - .../sockpp/include/sockpp/unix_dgram_socket.h | 68 - .../include/sockpp/unix_stream_socket.h | 68 - vpr/thirdparty/sockpp/src/CMakeLists.txt | 88 - vpr/thirdparty/sockpp/src/acceptor.cpp | 110 - vpr/thirdparty/sockpp/src/connector.cpp | 136 - vpr/thirdparty/sockpp/src/datagram_socket.cpp | 81 - vpr/thirdparty/sockpp/src/exception.cpp | 96 - vpr/thirdparty/sockpp/src/inet6_address.cpp | 137 - vpr/thirdparty/sockpp/src/inet_address.cpp | 131 - .../sockpp/src/linux/can_address.cpp | 104 - .../sockpp/src/linux/can_socket.cpp | 93 - vpr/thirdparty/sockpp/src/result.cpp | 59 - vpr/thirdparty/sockpp/src/socket.cpp | 333 --- vpr/thirdparty/sockpp/src/stream_socket.cpp | 277 -- .../sockpp/src/unix/unix_address.cpp | 82 - .../sockpp/tests/unit/CMakeLists.txt | 100 - .../sockpp/tests/unit/catch2_version.h | 48 - .../sockpp/tests/unit/test_acceptor.cpp | 130 - .../sockpp/tests/unit/test_connector.cpp | 66 - .../tests/unit/test_datagram_socket.cpp | 98 - .../sockpp/tests/unit/test_inet6_address.cpp | 173 -- .../sockpp/tests/unit/test_inet_address.cpp | 144 - .../sockpp/tests/unit/test_result.cpp | 71 - .../sockpp/tests/unit/test_socket.cpp | 351 --- .../sockpp/tests/unit/test_stream_socket.cpp | 100 - .../sockpp/tests/unit/test_tcp_socket.cpp | 154 - .../sockpp/tests/unit/test_unix_address.cpp | 157 - .../tests/unit/test_unix_dgram_socket.cpp | 71 - .../tests/unit/test_unix_stream_socket.cpp | 71 - .../sockpp/tests/unit/unit_tests.cpp | 71 - vpr/thirdparty/sockpp/travis_build.sh | 19 - .../sockpp/travis_install_catch2.sh | 20 - 100 files changed, 15 insertions(+), 16105 deletions(-) create mode 160000 libs/EXTERNAL/sockpp delete mode 100644 vpr/thirdparty/sockpp/.editorconfig delete mode 100644 vpr/thirdparty/sockpp/.gitattributes delete mode 100644 vpr/thirdparty/sockpp/.gitignore delete mode 100644 vpr/thirdparty/sockpp/.hgeol delete mode 100644 vpr/thirdparty/sockpp/.travis.yml delete mode 100644 vpr/thirdparty/sockpp/CHANGELOG.md delete mode 100644 vpr/thirdparty/sockpp/CMakeLists.txt delete mode 100644 vpr/thirdparty/sockpp/CONTRIBUTING.md delete mode 100644 vpr/thirdparty/sockpp/Doxyfile delete mode 100644 vpr/thirdparty/sockpp/LICENSE delete mode 100644 vpr/thirdparty/sockpp/README.md delete mode 100755 vpr/thirdparty/sockpp/buildtst.sh delete mode 100644 vpr/thirdparty/sockpp/cmake/sockppConfig.cmake delete mode 100644 vpr/thirdparty/sockpp/cmake/version.h.in delete mode 100755 vpr/thirdparty/sockpp/devenv.sh delete mode 100644 vpr/thirdparty/sockpp/doc/CMakeLists.txt delete mode 100644 vpr/thirdparty/sockpp/doc/Doxyfile.cmake delete mode 100644 vpr/thirdparty/sockpp/examples/CMakeLists.txt delete mode 100644 vpr/thirdparty/sockpp/examples/linux/CMakeLists.txt delete mode 100644 vpr/thirdparty/sockpp/examples/linux/canrecv.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/linux/cantime.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/tcp/CMakeLists.txt delete mode 100644 vpr/thirdparty/sockpp/examples/tcp/tcp6echo.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/tcp/tcp6echosvr.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/tcp/tcpecho.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/tcp/tcpechomt.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/tcp/tcpechosvr.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/tcp/tcpechotest.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/udp/CMakeLists.txt delete mode 100644 vpr/thirdparty/sockpp/examples/udp/udp6echo.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/udp/udpecho.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/udp/udpechosvr.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/unix/CMakeLists.txt delete mode 100644 vpr/thirdparty/sockpp/examples/unix/undgramecho.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/unix/undgramechosvr.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/unix/unecho.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/unix/unechosvr.cpp delete mode 100644 vpr/thirdparty/sockpp/examples/unix/unechotest.cpp delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/acceptor.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/can_address.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/can_frame.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/can_socket.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/connector.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/datagram_socket.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/exception.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/inet6_address.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/inet_address.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/platform.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/result.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/sock_address.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/socket.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/stream_socket.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/tcp6_acceptor.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/tcp6_connector.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/tcp6_socket.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/tcp_acceptor.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/tcp_connector.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/tcp_socket.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/udp6_socket.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/udp_socket.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/unix_acceptor.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/unix_address.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/unix_connector.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/unix_dgram_socket.h delete mode 100644 vpr/thirdparty/sockpp/include/sockpp/unix_stream_socket.h delete mode 100644 vpr/thirdparty/sockpp/src/CMakeLists.txt delete mode 100644 vpr/thirdparty/sockpp/src/acceptor.cpp delete mode 100644 vpr/thirdparty/sockpp/src/connector.cpp delete mode 100644 vpr/thirdparty/sockpp/src/datagram_socket.cpp delete mode 100644 vpr/thirdparty/sockpp/src/exception.cpp delete mode 100644 vpr/thirdparty/sockpp/src/inet6_address.cpp delete mode 100644 vpr/thirdparty/sockpp/src/inet_address.cpp delete mode 100644 vpr/thirdparty/sockpp/src/linux/can_address.cpp delete mode 100644 vpr/thirdparty/sockpp/src/linux/can_socket.cpp delete mode 100644 vpr/thirdparty/sockpp/src/result.cpp delete mode 100644 vpr/thirdparty/sockpp/src/socket.cpp delete mode 100644 vpr/thirdparty/sockpp/src/stream_socket.cpp delete mode 100644 vpr/thirdparty/sockpp/src/unix/unix_address.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/CMakeLists.txt delete mode 100644 vpr/thirdparty/sockpp/tests/unit/catch2_version.h delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_acceptor.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_connector.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_datagram_socket.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_inet6_address.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_inet_address.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_result.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_socket.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_stream_socket.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_tcp_socket.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_unix_address.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_unix_dgram_socket.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/test_unix_stream_socket.cpp delete mode 100644 vpr/thirdparty/sockpp/tests/unit/unit_tests.cpp delete mode 100755 vpr/thirdparty/sockpp/travis_build.sh delete mode 100755 vpr/thirdparty/sockpp/travis_install_catch2.sh diff --git a/.gitmodules b/.gitmodules index 08b907e160b..0819c6cf682 100644 --- a/.gitmodules +++ b/.gitmodules @@ -1,3 +1,6 @@ [submodule "libs/EXTERNAL/libcatch2"] path = libs/EXTERNAL/libcatch2 url = https://github.com/catchorg/Catch2.git +[submodule "libs/EXTERNAL/sockpp"] + path = libs/EXTERNAL/sockpp + url = git@github.com:fpagliughi/sockpp.git diff --git a/dev/subtree_config.xml b/dev/subtree_config.xml index 3d230120ed7..ad55d735458 100644 --- a/dev/subtree_config.xml +++ b/dev/subtree_config.xml @@ -64,4 +64,9 @@ internal_path="parmys" external_url="https://github.com/CAS-Atlantic/parmys-plugin.git" default_external_ref="v3.0"/> + diff --git a/libs/EXTERNAL/CMakeLists.txt b/libs/EXTERNAL/CMakeLists.txt index 0cb127387ab..b6304d05300 100644 --- a/libs/EXTERNAL/CMakeLists.txt +++ b/libs/EXTERNAL/CMakeLists.txt @@ -11,6 +11,12 @@ add_subdirectory(libtatum) add_subdirectory(libcatch2) #add_subdirectory(parmys) +#sockpp +set(SOCKPP_BUILD_SHARED OFF CACHE BOOL "Override default value" FORCE) +set(SOCKPP_BUILD_STATIC ON CACHE BOOL "Override default value" FORCE) +add_subdirectory(sockpp) +set(THIRDPARTY_INCLUDE_DIRS ${CMAKE_CURRENT_SOURCE_DIR}/sockpp/include) + #VPR_USE_EZGL is initialized in the root CMakeLists. #compile libezgl only if the user asks for or has its dependencies installed. if (VPR_USE_EZGL STREQUAL "on") diff --git a/libs/EXTERNAL/sockpp b/libs/EXTERNAL/sockpp new file mode 160000 index 00000000000..e6c4688a576 --- /dev/null +++ b/libs/EXTERNAL/sockpp @@ -0,0 +1 @@ +Subproject commit e6c4688a576d95f42dd7628cefe68092f6c5cd0f diff --git a/vpr/CMakeLists.txt b/vpr/CMakeLists.txt index 8f1c14ea55a..a5b139b71c5 100644 --- a/vpr/CMakeLists.txt +++ b/vpr/CMakeLists.txt @@ -15,12 +15,6 @@ set(VPR_PGO_DATA_DIR "." CACHE PATH "Where to store and retrieve PGO data") #Handle graphics setup set(GRAPHICS_DEFINES "") -#sockpp -set(SOCKPP_BUILD_SHARED OFF CACHE BOOL "Override default value" FORCE) -set(SOCKPP_BUILD_STATIC ON CACHE BOOL "Override default value" FORCE) -add_subdirectory(thirdparty/sockpp) -set(THIRDPARTY_INCLUDE_DIRS thirdparty/sockpp/include) - if (VPR_USE_EZGL STREQUAL "on") message(STATUS "EZGL: graphics enabled") set( diff --git a/vpr/thirdparty/sockpp/.editorconfig b/vpr/thirdparty/sockpp/.editorconfig deleted file mode 100644 index 3418f791d89..00000000000 --- a/vpr/thirdparty/sockpp/.editorconfig +++ /dev/null @@ -1,17 +0,0 @@ -# This file is for unifying the coding style for different editors and IDEs -# http://EditorConfig.org - -root = true - -[*] -charset = utf-8 -indent_style = tab -indent_size = 4 -end_of_line = lf -insert_final_newline = true - -[*.{cpp,c,h}] -trim_trailing_whitespace = true - -[*.md] -trim_trailing_whitespace = false diff --git a/vpr/thirdparty/sockpp/.gitattributes b/vpr/thirdparty/sockpp/.gitattributes deleted file mode 100644 index 70f7a9bc58a..00000000000 --- a/vpr/thirdparty/sockpp/.gitattributes +++ /dev/null @@ -1,34 +0,0 @@ -# .gitattributes for the 'sockpp' repository - -# Use default native line endings -* text=auto - -# Specify file type for line handling -*.cpp text -*.c text -*.h text -*.hpp text -*.md text -*.txt text -*.ac text -*.yml text -*.cfg text -*.config text -*.html text -Makefile text -*.jpg binary -*.png binary -*.tif binary -*.project text -*.properties text -*.py text -*.txt text -*.url text -*.xml text - -# Windows-specific text files -*.bat text eol=crlf - -# Linux-specific text files -*.sh text eol=lf - diff --git a/vpr/thirdparty/sockpp/.gitignore b/vpr/thirdparty/sockpp/.gitignore deleted file mode 100644 index e443ae50530..00000000000 --- a/vpr/thirdparty/sockpp/.gitignore +++ /dev/null @@ -1,45 +0,0 @@ -# Directories for temporaries & build targets -obj/ -lib/ - -# Compiled Object files -*.slo -*.lo -*.o -*.obj - -# Precompiled Headers -*.gch -*.pch - -# Compiled Dynamic libraries -*.so -*.dylib -*.dll - -# Fortran module files -*.mod -*.smod - -# Compiled Static libraries -*.lai -*.la -*.a -*.lib - -# Executables -*.exe -*.out -*.app - -# Preliminary Notes -*.fodt - -# Mercurial Files -.hg/ -.hgignore -.hgtags - -# SlickEdit files -*.vpj - diff --git a/vpr/thirdparty/sockpp/.hgeol b/vpr/thirdparty/sockpp/.hgeol deleted file mode 100644 index 90d14eef7bb..00000000000 --- a/vpr/thirdparty/sockpp/.hgeol +++ /dev/null @@ -1,32 +0,0 @@ -# .hgeol for the 'sockpp' repository - -[patterns] -**.cpp = native -**.c = native -**.h = native -**.hpp = native -**.md = native -**.txt = native -**.ac = native -**.yml = native -**.cfg = native -**.config = native -**.html = native -Makefile = native -**.jpg = BIN -**.png = BIN -**.tif = BIN -**.project = native -**.properties = native -**.py = native -**.txt = native -**.url = native -**.xml = native - -# Windows-specific text files -**.bat = CRLF -**.vcproj = CRLF - -# Linux-specific text files -*.sh = LF - diff --git a/vpr/thirdparty/sockpp/.travis.yml b/vpr/thirdparty/sockpp/.travis.yml deleted file mode 100644 index 0a0528534ce..00000000000 --- a/vpr/thirdparty/sockpp/.travis.yml +++ /dev/null @@ -1,83 +0,0 @@ -language: cpp -sudo: required -dist: xenial -os: linux - -before_install: - - ./travis_install_catch2.sh - -matrix: - include: - - compiler: gcc - addons: - apt: - sources: - - ubuntu-toolchain-r-test - packages: - - g++-5 - env: COMPILER=g++-5 - - compiler: gcc - addons: - apt: - sources: - - ubuntu-toolchain-r-test - packages: - - g++-6 - env: COMPILER=g++-6 - - compiler: gcc - addons: - apt: - sources: - - ubuntu-toolchain-r-test - packages: - - g++-7 - env: COMPILER=g++-7 - - compiler: gcc - addons: - apt: - sources: - - ubuntu-toolchain-r-test - packages: - - g++-8 - env: COMPILER=g++-8 - - compiler: clang - addons: - apt: - sources: - - ubuntu-toolchain-r-test - - llvm-toolchain-precise-3.8 - packages: - - clang-3.8 - env: COMPILER=clang++-3.8 - - compiler: clang - addons: - apt: - sources: - - ubuntu-toolchain-r-test - packages: - - clang-4.0 - env: COMPILER=clang++-4.0 - - compiler: clang - addons: - apt: - sources: - - ubuntu-toolchain-r-test - - llvm-toolchain-xenial-7 - packages: - - clang-7 - env: COMPILER=clang++-7 - - compiler: clang - addons: - apt: - sources: - - ubuntu-toolchain-r-test - - llvm-toolchain-xenial-8 - packages: - - clang-8 - env: COMPILER=clang++-8 - exclude: - - compiler: gcc - - -script: if [ "$COMPILER" == "" ]; then CXX=g++ ./travis_build.sh; else CXX=$COMPILER ./travis_build.sh; fi - diff --git a/vpr/thirdparty/sockpp/CHANGELOG.md b/vpr/thirdparty/sockpp/CHANGELOG.md deleted file mode 100644 index 410d2d478e5..00000000000 --- a/vpr/thirdparty/sockpp/CHANGELOG.md +++ /dev/null @@ -1,123 +0,0 @@ -# Change Log for _sockpp_ - -## [Version 1.0.0](https://github.com/fpagliughi/sockpp/compare/v0.8.3..v1.0.0) - (2023-12-17) - -This is a release of the previous 0.8.x line as the initial, stable API. - -## [Version 0.8.3](https://github.com/fpagliughi/sockpp/compare/v0.8.2..v0.8.3) - (2023-12-11) - -- [#64](https://github.com/fpagliughi/sockpp/pull/84) Added support for Catch2 v3.x for unit tests. (v2.x still supported) - - -## [Version 0.8.2](https://github.com/fpagliughi/sockpp/compare/v0.8.1..v0.8.2) - (2023-12-05) - -- [#89](https://github.com/fpagliughi/sockpp/issue/89) Fixed generator expression for older CMake -- [#91](https://github.com/fpagliughi/sockpp/issue/91) Fixed uniform_int_distribution<> in UNIX socket example - - -## [Version 0.8.1](https://github.com/fpagliughi/sockpp/compare/v0.8.0..v0.8.1) - (2023-01-30) - -- Cherry picked most of the non-TLS commits in PR [#17](https://github.com/fpagliughi/sockpp/pull/17) - - Connector timeouts - - Stateless reads & writes for streaming sockets w/ functions returning `ioresult` - - Some small bug fixes - - No shutdown on invalid sockets -- [#38](https://github.com/fpagliughi/sockpp/issues/38) Made system libs public for static builds to fix Windows -- [#73](https://github.com/fpagliughi/sockpp/issue/73) Clone a datagram (UDP) socket -- [#74](https://github.com/fpagliughi/sockpp/issue/74) Added `` to properly get `timeval` in *nix builds. -- [#56](https://github.com/fpagliughi/sockpp/issue/56) handling unix paths with maximum length (no NUL term) -- Fixed outstanding build warnings on Windows when using MSVC - -## [Version 0.8.0](https://github.com/fpagliughi/sockpp/compare/v0.7.1..v0.8.0) - (2023-01-17) - -- [Breaking] Library initializer now uses a static singleton created via `socket_initializer::initialize()` call, which can be called repeatedly with no ill effect. Also added global `socketpp::initialize()` function as shortcut. -- Improvements to CMake to better follow modern standards. - - CMake required version bumped up to 3.12 - - Generating CMake files for downstream projects (config, target, version) - - Windows builds default to shared DLL, not static library - - Lots of cleanup - -## [Version 0.7.1](https://github.com/fpagliughi/sockpp/compare/v0.7..v0.7.1) - -Released: 2022-01-24 - -- [Experimental] **SocketCAN**, CAN bus support on Linux -- [#37](https://github.com/fpagliughi/sockpp/pull/37) socket::get_option() not returning length on Windows -- [#39](https://github.com/fpagliughi/sockpp/pull/39) Using *SSIZE_T* for *ssize_t* in Windows -- [#53](https://github.com/fpagliughi/sockpp/pull/53) Add Conan support -- [#55](https://github.com/fpagliughi/sockpp/pull/55) Fix Android strerror -- [#60](https://github.com/fpagliughi/sockpp/pull/60) Add missing move constructor for connector template. -- Now `acceptor::open()` uses the *SO_REUSEPORT* option instead of *SO_REUSEADDR* on non-Windows systems. Also made reuse optional. - -## Version 0.7 - -- Base `socket` class - - `shutdown()` added - - `create()` added - - `bind()` moved into base socket (from `acceptor`) -- Unix-domain socket pairs (stream and datagram) -- Non-blocking I/O -- Scatter/Gather I/O -- `stream_socket` cloning. -- Set and get socket options using template types. -- `stream_socket::read_n()` and `write_n()` now properly handle EINTR return. -- `to_timeval()` can convert from any `std::chrono::duration` type. -- `socket::close()` and `shutdown()` check for errors, set last error, and return a bool. -- _tcpechomt.cpp_: Example of a client sharing a socket between read and write threads - using `clone()`. -- Windows enhancements: - - Implemented socket timeouts on Windows - - Fixed bug in Windows socket cloning. - - Fixed bug in Windows `socket::last_error_string`. - - Unit tests working on Windows -- More unit tests - -## Version 0.6 - -- UDP support - - The base `datagram_socket` added to the Windows build - - The `datagram_socket` cleaned up for proper parameter and return types. - - New `datagram_socket_tmpl` template class for defining UDP sockets for the different address families. - - New datagram classes for IPv4 (`udp_socket`), IPv6 (`udp6_socket`), and Unix-domain (`unix_dgram_socket`) -- Windows support - - Windows support was broken in release v0.5. It is now fixed, and includes the UDP features. -- Proper move semantics for stream sockets and connectors. -- Separate tcp socket header files for each address family (`tcp_socket.h`, `tcp6_socket.h`, etc). -- Proper implementation of Unix-domain streaming socket. -- CMake auto-generates a version header file, _version.h_ -- CI dropped tests for gcc-4.9, and added support for clang-7 and 8. - -## Version 0.5 - -- (Breaking change) Updated the hierarchy of network address classes, now derived from a common base class. - - Removed `sock_address_ref` class. Now a C++ reference to `sock_address` will replace it (i.e. `sock_address&`). - - `sock_address` is now an abstract base class. - - All the network address classes now derive from `sock_address` - - Consolidates a number of overloaded functions that took different forms of addresses to just take a `const sock_address&` - - Adds a new `sock_address_any` class that can contain any address, and is used by base classes that need a generic address. -- The `acceptor` and `connector` classes are still concrete, generic classes, but now a template derives from each of them to specialize. -- The connector and acceptor classes for each address family (`tcp_connector`, `tcp_acceptor`, `tcp6_connector`, etc) are now typedef'ed to template specializations. -- The `acceptor::bind()` and `acceptor::listen()` methods are now public. -- CMake build now honors the `CMAKE_BUILD_TYPE` flag. - -## Version 0.4 - -The work in this branch is proceeding to add support for IPv6 and refactor the class hierarchies to better support the different address families without so much redundant code. - - - IPv6 support: `inet6_address`, `tcp6_acceptor`, `tcp_connector`, etc. - - (Breaking change) The `sock_address` class is now contains storage for any type of address and follows copy semantics. Previously it was a non-owning reference class. That reference class now exists as `sock_addresss_ref`. - - Generic base classses are being re-implemented to use _sock_address_ and _sock_address_ref_ as generic addresses. - - (Breaking change) In the `socket` class(es) the `bool address(address&)` and `bool peer_address(addr&)` forms of getting the socket addresses have been removed in favor of the ones that simply return the address. - Added `get_option()` and `set_option()` methods to the base `socket`class. - - The GNU Make build system (Makefile) was deprecated and removed. - -## Version 0.3 - - - Socket class hierarcy now splits out for streaming and datagram sockets. - - Support for UNIX-domain sockets. - - New modern CMake build system. - - GNU Make system marked for deprecation. - -## Version 0.2 - - - Initial working version for IPv4. - - API using boolean return values for pass/fail functions instead of syscall-style integers. \ No newline at end of file diff --git a/vpr/thirdparty/sockpp/CMakeLists.txt b/vpr/thirdparty/sockpp/CMakeLists.txt deleted file mode 100644 index b6f828f0e97..00000000000 --- a/vpr/thirdparty/sockpp/CMakeLists.txt +++ /dev/null @@ -1,223 +0,0 @@ -# CMakeLists.txt -# -# Top-level CMake build file for the 'sockpp' library. -# -# --------------------------------------------------------------------------- -# This file is part of the "sockpp" C++ socket library. -# -# Copyright (c) 2017-2023 Frank Pagliughi -# All rights reserved. -# -# Redistribution and use in source and binary forms, with or without -# modification, are permitted provided that the following conditions are -# met: -# -# 1. Redistributions of source code must retain the above copyright notice, -# this list of conditions and the following disclaimer. -# -# 2. Redistributions in binary form must reproduce the above copyright -# notice, this list of conditions and the following disclaimer in the -# documentation and/or other materials provided with the distribution. -# -# 3. Neither the name of the copyright holder nor the names of its -# contributors may be used to endorse or promote products derived from this -# software without specific prior written permission. -# -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS -# IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -# THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -# PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR -# CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, -# PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -# PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -# LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -# --------------------------------------------------------------------------- - -# --- CMake required version --- - -cmake_minimum_required(VERSION 3.12) - -# --- Project setup --- - -project(sockpp VERSION "1.0.0") - -# --- Build Options --- - -option(SOCKPP_BUILD_SHARED "Build shared library" ON) -option(SOCKPP_BUILD_STATIC "Build static library" OFF) -option(SOCKPP_BUILD_EXAMPLES "Build example applications" OFF) -option(SOCKPP_BUILD_TESTS "Build unit tests" OFF) -option(SOCKPP_BUILD_DOCUMENTATION "Create Doxygen reference documentation" OFF) -option(SOCKPP_BUILD_CAN "Build the Linux SocketCAN components" OFF) - -# --- Setting naming variables --- - -set(SOCKPP_SHARED_LIBRARY sockpp) -set(SOCKPP_STATIC_LIBRARY sockpp-static) -set(SOCKPP_OBJECT_LIBRARY sockpp-objs) - -set(SOCKPP_INCLUDE_DIR ${PROJECT_SOURCE_DIR}/include) -set(SOCKPP_GENERATED_DIR ${CMAKE_CURRENT_BINARY_DIR}/generated) - -# --- Generate a version header --- - -configure_file( - ${PROJECT_SOURCE_DIR}/cmake/version.h.in - ${SOCKPP_GENERATED_DIR}/include/sockpp/version.h - @ONLY -) - -# --- Common library sources, etc --- - -add_subdirectory(src) - -# --- System libraries --- - -if(WIN32) - set(CMAKE_WINDOWS_EXPORT_ALL_SYMBOLS ON) - set(LIBS_SYSTEM ws2_32) -endif() - -# --- Collect the targets names --- - -if(${SOCKPP_BUILD_SHARED}) - list(APPEND SOCKPP_TARGETS ${SOCKPP_SHARED_LIBRARY}) -endif() - -if(${SOCKPP_BUILD_STATIC}) - list(APPEND SOCKPP_TARGETS ${SOCKPP_STATIC_LIBRARY}) -endif() - -# --- Create the libraries and export them --- - -if(NOT SOCKPP_TARGETS) - message(FATAL_ERROR "No targets are specified") -endif() - -if(${SOCKPP_BUILD_SHARED}) - message(STATUS "Creating shared library: ${SOCKPP_SHARED_LIBRARY}") - add_library(${SOCKPP_SHARED_LIBRARY} SHARED $) - - target_compile_features(${SOCKPP_SHARED_LIBRARY} PUBLIC cxx_std_14) - - target_include_directories(${SOCKPP_SHARED_LIBRARY} - PUBLIC - $ - $ - PRIVATE - ${SOCKPP_GENERATED_DIR}/include - ) - - target_link_libraries(${SOCKPP_SHARED_LIBRARY} PUBLIC ${LIBS_SYSTEM}) - - set_target_properties(${SOCKPP_SHARED_LIBRARY} PROPERTIES - VERSION ${PROJECT_VERSION} - SOVERSION ${PROJECT_VERSION_MAJOR} - CXX_EXTENSIONS OFF - ) - - list(APPEND TARGET_FILES ${SOCKPP_SHARED_LIBRARY}) -endif() - -if(${SOCKPP_BUILD_STATIC}) - message(STATUS "Creating static library: ${SOCKPP_STATIC_LIBRARY}") - add_library(${SOCKPP_STATIC_LIBRARY} STATIC $) - - target_compile_features(${SOCKPP_STATIC_LIBRARY} PUBLIC cxx_std_14) - - target_include_directories(${SOCKPP_STATIC_LIBRARY} - PUBLIC - $ - $ - PRIVATE - ${SOCKPP_GENERATED_DIR}/include - ) - - target_link_libraries(${SOCKPP_STATIC_LIBRARY} PUBLIC ${LIBS_SYSTEM}) - - set_target_properties(${SOCKPP_STATIC_LIBRARY} PROPERTIES - CXX_EXTENSIONS OFF - ) - - # On *nix systems, the static library can have the same base filename - # as the shared library, thus 'libsockpp.a' for the static lib. - # On Windows they need different names to tell the static lib from the - # DLL import library. - if(UNIX) - set_target_properties(${SOCKPP_STATIC_LIBRARY} PROPERTIES - OUTPUT_NAME ${SOCKPP_SHARED_LIBRARY} - ) - endif() - - list(APPEND TARGET_FILES ${SOCKPP_STATIC_LIBRARY}) -endif() - -# --- Install Targets --- - -include(GNUInstallDirs) - -install(TARGETS ${TARGET_FILES} - EXPORT sockpp-targets - ARCHIVE DESTINATION ${CMAKE_INSTALL_LIBDIR} - LIBRARY DESTINATION ${CMAKE_INSTALL_LIBDIR} - RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR} -) - -install(EXPORT sockpp-targets - FILE - sockppTargets.cmake - NAMESPACE - Sockpp:: - DESTINATION - ${CMAKE_INSTALL_LIBDIR}/cmake/sockpp -) - -include(CMakePackageConfigHelpers) - -write_basic_package_version_file( - ${SOCKPP_GENERATED_DIR}/cmake/sockppConfigVersion.cmake - VERSION ${PROJECT_VERSION} - COMPATIBILITY AnyNewerVersion -) - -install(DIRECTORY include/ ${SOCKPP_GENERATED_DIR}/include/ - DESTINATION ${CMAKE_INSTALL_INCLUDEDIR} -) - -install( - FILES - ${PROJECT_SOURCE_DIR}/cmake/sockppConfig.cmake - ${SOCKPP_GENERATED_DIR}/cmake/sockppConfigVersion.cmake - DESTINATION - ${CMAKE_INSTALL_LIBDIR}/cmake/sockpp -) - -# --- Documentation --- - -if(SOCKPP_BUILD_DOCUMENTATION) - add_subdirectory(doc) -endif() - -# --- Default library for examples and unit tests --- - -if(SOCKPP_BUILD_SHARED) - set(SOCKPP_LIB ${SOCKPP_SHARED_LIBRARY}) -else() - set(SOCKPP_LIB ${SOCKPP_STATIC_LIBRARY}) -endif() - -# --- Example applications --- - -if(SOCKPP_BUILD_EXAMPLES) - add_subdirectory(examples) -endif() - -# --- Unit Tests --- - -if(SOCKPP_BUILD_TESTS) - add_subdirectory(tests/unit) -endif() - diff --git a/vpr/thirdparty/sockpp/CONTRIBUTING.md b/vpr/thirdparty/sockpp/CONTRIBUTING.md deleted file mode 100644 index f532f6b08ef..00000000000 --- a/vpr/thirdparty/sockpp/CONTRIBUTING.md +++ /dev/null @@ -1,21 +0,0 @@ -# Contributing to _sockpp_ - -Thank you for your interest in the _sockpp_ library! - -Contributions are accepted and much appreciated. You can contribute updates, bug fixes, and bug reports through the GitHub site for the project. - -1. New and unstable development is done in the `develop` branch. Please make all pull requests against the `develop` branch. - -1. Please follow the naming and format conventions of the existing code. - -1. New features should be zero cost. Existing applications that do not use the feature(s) should not pay a cost in speed or size due to the new additions. - -1. Prefer smaller, targeted pull requests (PR's). - 1. Put each different new feature in a separate PR. - 1. Separate bug fixes and new features in individual PR's. - -1. Include unit tests for new features. - -1. Please indicate the system, OS, and compiler used for development and whether there are any known incompatibilities with other supported systems. - -1. Please only contribute code for which you have legal right to ownership. **Do not** contribute any code written at an employer site or on equipment ownd by an employer, if you have not been given explicit, written consent by the employer to contribute to open-source projects. diff --git a/vpr/thirdparty/sockpp/Doxyfile b/vpr/thirdparty/sockpp/Doxyfile deleted file mode 100644 index 0fa5d4df61e..00000000000 --- a/vpr/thirdparty/sockpp/Doxyfile +++ /dev/null @@ -1,2606 +0,0 @@ -# Doxyfile 1.9.3 - -# This file describes the settings to be used by the documentation system -# doxygen (www.doxygen.org) for a project. -# -# All text after a double hash (##) is considered a comment and is placed in -# front of the TAG it is preceding. -# -# All text after a single hash (#) is considered a comment and will be ignored. -# The format is: -# TAG = value [value, ...] -# For lists, items can also be appended using: -# TAG += value [value, ...] -# Values that contain spaces should be placed between quotes (\" \"). - -#--------------------------------------------------------------------------- -# Project related configuration options -#--------------------------------------------------------------------------- - -# This tag specifies the encoding used for all characters in the configuration -# file that follow. The default is UTF-8 which is also the encoding used for all -# text before the first occurrence of this tag. Doxygen uses libiconv (or the -# iconv built into libc) for the transcoding. See -# https://www.gnu.org/software/libiconv/ for the list of possible encodings. -# The default value is: UTF-8. - -DOXYFILE_ENCODING = UTF-8 - -# The PROJECT_NAME tag is a single word (or a sequence of words surrounded by -# double-quotes, unless you are using Doxywizard) that should identify the -# project for which the documentation is generated. This name is used in the -# title of most generated pages and in a few other places. -# The default value is: My Project. - -PROJECT_NAME = sockpp - -# The PROJECT_NUMBER tag can be used to enter a project or revision number. This -# could be handy for archiving the generated documentation or if some version -# control system is used. - -PROJECT_NUMBER = - -# Using the PROJECT_BRIEF tag one can provide an optional one line description -# for a project that appears at the top of each page and should give viewer a -# quick idea about the purpose of the project. Keep the description short. - -PROJECT_BRIEF = "Modern C++ socket library wrapper" - -# With the PROJECT_LOGO tag one can specify a logo or an icon that is included -# in the documentation. The maximum height of the logo should not exceed 55 -# pixels and the maximum width should not exceed 200 pixels. Doxygen will copy -# the logo to the output directory. - -PROJECT_LOGO = - -# The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute) path -# into which the generated documentation will be written. If a relative path is -# entered, it will be relative to the location where doxygen was started. If -# left blank the current directory will be used. - -OUTPUT_DIRECTORY = doc - -# If the CREATE_SUBDIRS tag is set to YES then doxygen will create 4096 sub- -# directories (in 2 levels) under the output directory of each output format and -# will distribute the generated files over these directories. Enabling this -# option can be useful when feeding doxygen a huge amount of source files, where -# putting all generated files in the same directory would otherwise causes -# performance problems for the file system. -# The default value is: NO. - -CREATE_SUBDIRS = NO - -# If the ALLOW_UNICODE_NAMES tag is set to YES, doxygen will allow non-ASCII -# characters to appear in the names of generated files. If set to NO, non-ASCII -# characters will be escaped, for example _xE3_x81_x84 will be used for Unicode -# U+3044. -# The default value is: NO. - -ALLOW_UNICODE_NAMES = NO - -# The OUTPUT_LANGUAGE tag is used to specify the language in which all -# documentation generated by doxygen is written. Doxygen will use this -# information to generate all constant output in the proper language. -# Possible values are: Afrikaans, Arabic, Armenian, Brazilian, Catalan, Chinese, -# Chinese-Traditional, Croatian, Czech, Danish, Dutch, English (United States), -# Esperanto, Farsi (Persian), Finnish, French, German, Greek, Hungarian, -# Indonesian, Italian, Japanese, Japanese-en (Japanese with English messages), -# Korean, Korean-en (Korean with English messages), Latvian, Lithuanian, -# Macedonian, Norwegian, Persian (Farsi), Polish, Portuguese, Romanian, Russian, -# Serbian, Serbian-Cyrillic, Slovak, Slovene, Spanish, Swedish, Turkish, -# Ukrainian and Vietnamese. -# The default value is: English. - -OUTPUT_LANGUAGE = English - -# If the BRIEF_MEMBER_DESC tag is set to YES, doxygen will include brief member -# descriptions after the members that are listed in the file and class -# documentation (similar to Javadoc). Set to NO to disable this. -# The default value is: YES. - -BRIEF_MEMBER_DESC = YES - -# If the REPEAT_BRIEF tag is set to YES, doxygen will prepend the brief -# description of a member or function before the detailed description -# -# Note: If both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the -# brief descriptions will be completely suppressed. -# The default value is: YES. - -REPEAT_BRIEF = YES - -# This tag implements a quasi-intelligent brief description abbreviator that is -# used to form the text in various listings. Each string in this list, if found -# as the leading text of the brief description, will be stripped from the text -# and the result, after processing the whole list, is used as the annotated -# text. Otherwise, the brief description is used as-is. If left blank, the -# following values are used ($name is automatically replaced with the name of -# the entity):The $name class, The $name widget, The $name file, is, provides, -# specifies, contains, represents, a, an and the. - -ABBREVIATE_BRIEF = - -# If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then -# doxygen will generate a detailed section even if there is only a brief -# description. -# The default value is: NO. - -ALWAYS_DETAILED_SEC = NO - -# If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all -# inherited members of a class in the documentation of that class as if those -# members were ordinary class members. Constructors, destructors and assignment -# operators of the base classes will not be shown. -# The default value is: NO. - -INLINE_INHERITED_MEMB = NO - -# If the FULL_PATH_NAMES tag is set to YES, doxygen will prepend the full path -# before files name in the file list and in the header files. If set to NO the -# shortest path that makes the file name unique will be used -# The default value is: YES. - -FULL_PATH_NAMES = YES - -# The STRIP_FROM_PATH tag can be used to strip a user-defined part of the path. -# Stripping is only done if one of the specified strings matches the left-hand -# part of the path. The tag can be used to show relative paths in the file list. -# If left blank the directory from which doxygen is run is used as the path to -# strip. -# -# Note that you can specify absolute paths here, but also relative paths, which -# will be relative from the directory where doxygen is started. -# This tag requires that the tag FULL_PATH_NAMES is set to YES. - -STRIP_FROM_PATH = - -# The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of the -# path mentioned in the documentation of a class, which tells the reader which -# header file to include in order to use a class. If left blank only the name of -# the header file containing the class definition is used. Otherwise one should -# specify the list of include paths that are normally passed to the compiler -# using the -I flag. - -STRIP_FROM_INC_PATH = - -# If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter (but -# less readable) file names. This can be useful is your file systems doesn't -# support long names like on DOS, Mac, or CD-ROM. -# The default value is: NO. - -SHORT_NAMES = NO - -# If the JAVADOC_AUTOBRIEF tag is set to YES then doxygen will interpret the -# first line (until the first dot) of a Javadoc-style comment as the brief -# description. If set to NO, the Javadoc-style will behave just like regular Qt- -# style comments (thus requiring an explicit @brief command for a brief -# description.) -# The default value is: NO. - -JAVADOC_AUTOBRIEF = YES - -# If the JAVADOC_BANNER tag is set to YES then doxygen will interpret a line -# such as -# /*************** -# as being the beginning of a Javadoc-style comment "banner". If set to NO, the -# Javadoc-style will behave just like regular comments and it will not be -# interpreted by doxygen. -# The default value is: NO. - -JAVADOC_BANNER = NO - -# If the QT_AUTOBRIEF tag is set to YES then doxygen will interpret the first -# line (until the first dot) of a Qt-style comment as the brief description. If -# set to NO, the Qt-style will behave just like regular Qt-style comments (thus -# requiring an explicit \brief command for a brief description.) -# The default value is: NO. - -QT_AUTOBRIEF = NO - -# The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make doxygen treat a -# multi-line C++ special comment block (i.e. a block of //! or /// comments) as -# a brief description. This used to be the default behavior. The new default is -# to treat a multi-line C++ comment block as a detailed description. Set this -# tag to YES if you prefer the old behavior instead. -# -# Note that setting this tag to YES also means that rational rose comments are -# not recognized any more. -# The default value is: NO. - -MULTILINE_CPP_IS_BRIEF = NO - -# By default Python docstrings are displayed as preformatted text and doxygen's -# special commands cannot be used. By setting PYTHON_DOCSTRING to NO the -# doxygen's special commands can be used and the contents of the docstring -# documentation blocks is shown as doxygen documentation. -# The default value is: YES. - -PYTHON_DOCSTRING = YES - -# If the INHERIT_DOCS tag is set to YES then an undocumented member inherits the -# documentation from any documented member that it re-implements. -# The default value is: YES. - -INHERIT_DOCS = YES - -# If the SEPARATE_MEMBER_PAGES tag is set to YES then doxygen will produce a new -# page for each member. If set to NO, the documentation of a member will be part -# of the file/class/namespace that contains it. -# The default value is: NO. - -SEPARATE_MEMBER_PAGES = NO - -# The TAB_SIZE tag can be used to set the number of spaces in a tab. Doxygen -# uses this value to replace tabs by spaces in code fragments. -# Minimum value: 1, maximum value: 16, default value: 4. - -TAB_SIZE = 4 - -# This tag can be used to specify a number of aliases that act as commands in -# the documentation. An alias has the form: -# name=value -# For example adding -# "sideeffect=@par Side Effects:^^" -# will allow you to put the command \sideeffect (or @sideeffect) in the -# documentation, which will result in a user-defined paragraph with heading -# "Side Effects:". Note that you cannot put \n's in the value part of an alias -# to insert newlines (in the resulting output). You can put ^^ in the value part -# of an alias to insert a newline as if a physical newline was in the original -# file. When you need a literal { or } or , in the value part of an alias you -# have to escape them by means of a backslash (\), this can lead to conflicts -# with the commands \{ and \} for these it is advised to use the version @{ and -# @} or use a double escape (\\{ and \\}) - -ALIASES = - -# Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C sources -# only. Doxygen will then generate output that is more tailored for C. For -# instance, some of the names that are used will be different. The list of all -# members will be omitted, etc. -# The default value is: NO. - -OPTIMIZE_OUTPUT_FOR_C = NO - -# Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java or -# Python sources only. Doxygen will then generate output that is more tailored -# for that language. For instance, namespaces will be presented as packages, -# qualified scopes will look different, etc. -# The default value is: NO. - -OPTIMIZE_OUTPUT_JAVA = NO - -# Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran -# sources. Doxygen will then generate output that is tailored for Fortran. -# The default value is: NO. - -OPTIMIZE_FOR_FORTRAN = NO - -# Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL -# sources. Doxygen will then generate output that is tailored for VHDL. -# The default value is: NO. - -OPTIMIZE_OUTPUT_VHDL = NO - -# Set the OPTIMIZE_OUTPUT_SLICE tag to YES if your project consists of Slice -# sources only. Doxygen will then generate output that is more tailored for that -# language. For instance, namespaces will be presented as modules, types will be -# separated into more groups, etc. -# The default value is: NO. - -OPTIMIZE_OUTPUT_SLICE = NO - -# Doxygen selects the parser to use depending on the extension of the files it -# parses. With this tag you can assign which parser to use for a given -# extension. Doxygen has a built-in mapping, but you can override or extend it -# using this tag. The format is ext=language, where ext is a file extension, and -# language is one of the parsers supported by doxygen: IDL, Java, JavaScript, -# Csharp (C#), C, C++, Lex, D, PHP, md (Markdown), Objective-C, Python, Slice, -# VHDL, Fortran (fixed format Fortran: FortranFixed, free formatted Fortran: -# FortranFree, unknown formatted Fortran: Fortran. In the later case the parser -# tries to guess whether the code is fixed or free formatted code, this is the -# default for Fortran type files). For instance to make doxygen treat .inc files -# as Fortran files (default is PHP), and .f files as C (default is Fortran), -# use: inc=Fortran f=C. -# -# Note: For files without extension you can use no_extension as a placeholder. -# -# Note that for custom extensions you also need to set FILE_PATTERNS otherwise -# the files are not read by doxygen. When specifying no_extension you should add -# * to the FILE_PATTERNS. -# -# Note see also the list of default file extension mappings. - -EXTENSION_MAPPING = - -# If the MARKDOWN_SUPPORT tag is enabled then doxygen pre-processes all comments -# according to the Markdown format, which allows for more readable -# documentation. See https://daringfireball.net/projects/markdown/ for details. -# The output of markdown processing is further processed by doxygen, so you can -# mix doxygen, HTML, and XML commands with Markdown formatting. Disable only in -# case of backward compatibilities issues. -# The default value is: YES. - -MARKDOWN_SUPPORT = YES - -# When the TOC_INCLUDE_HEADINGS tag is set to a non-zero value, all headings up -# to that level are automatically included in the table of contents, even if -# they do not have an id attribute. -# Note: This feature currently applies only to Markdown headings. -# Minimum value: 0, maximum value: 99, default value: 5. -# This tag requires that the tag MARKDOWN_SUPPORT is set to YES. - -TOC_INCLUDE_HEADINGS = 5 - -# When enabled doxygen tries to link words that correspond to documented -# classes, or namespaces to their corresponding documentation. Such a link can -# be prevented in individual cases by putting a % sign in front of the word or -# globally by setting AUTOLINK_SUPPORT to NO. -# The default value is: YES. - -AUTOLINK_SUPPORT = YES - -# If you use STL classes (i.e. std::string, std::vector, etc.) but do not want -# to include (a tag file for) the STL sources as input, then you should set this -# tag to YES in order to let doxygen match functions declarations and -# definitions whose arguments contain STL classes (e.g. func(std::string); -# versus func(std::string) {}). This also make the inheritance and collaboration -# diagrams that involve STL classes more complete and accurate. -# The default value is: NO. - -BUILTIN_STL_SUPPORT = NO - -# If you use Microsoft's C++/CLI language, you should set this option to YES to -# enable parsing support. -# The default value is: NO. - -CPP_CLI_SUPPORT = NO - -# Set the SIP_SUPPORT tag to YES if your project consists of sip (see: -# https://www.riverbankcomputing.com/software/sip/intro) sources only. Doxygen -# will parse them like normal C++ but will assume all classes use public instead -# of private inheritance when no explicit protection keyword is present. -# The default value is: NO. - -SIP_SUPPORT = NO - -# For Microsoft's IDL there are propget and propput attributes to indicate -# getter and setter methods for a property. Setting this option to YES will make -# doxygen to replace the get and set methods by a property in the documentation. -# This will only work if the methods are indeed getting or setting a simple -# type. If this is not the case, or you want to show the methods anyway, you -# should set this option to NO. -# The default value is: YES. - -IDL_PROPERTY_SUPPORT = YES - -# If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC -# tag is set to YES then doxygen will reuse the documentation of the first -# member in the group (if any) for the other members of the group. By default -# all members of a group must be documented explicitly. -# The default value is: NO. - -DISTRIBUTE_GROUP_DOC = NO - -# If one adds a struct or class to a group and this option is enabled, then also -# any nested class or struct is added to the same group. By default this option -# is disabled and one has to add nested compounds explicitly via \ingroup. -# The default value is: NO. - -GROUP_NESTED_COMPOUNDS = NO - -# Set the SUBGROUPING tag to YES to allow class member groups of the same type -# (for instance a group of public functions) to be put as a subgroup of that -# type (e.g. under the Public Functions section). Set it to NO to prevent -# subgrouping. Alternatively, this can be done per class using the -# \nosubgrouping command. -# The default value is: YES. - -SUBGROUPING = YES - -# When the INLINE_GROUPED_CLASSES tag is set to YES, classes, structs and unions -# are shown inside the group in which they are included (e.g. using \ingroup) -# instead of on a separate page (for HTML and Man pages) or section (for LaTeX -# and RTF). -# -# Note that this feature does not work in combination with -# SEPARATE_MEMBER_PAGES. -# The default value is: NO. - -INLINE_GROUPED_CLASSES = NO - -# When the INLINE_SIMPLE_STRUCTS tag is set to YES, structs, classes, and unions -# with only public data fields or simple typedef fields will be shown inline in -# the documentation of the scope in which they are defined (i.e. file, -# namespace, or group documentation), provided this scope is documented. If set -# to NO, structs, classes, and unions are shown on a separate page (for HTML and -# Man pages) or section (for LaTeX and RTF). -# The default value is: NO. - -INLINE_SIMPLE_STRUCTS = NO - -# When TYPEDEF_HIDES_STRUCT tag is enabled, a typedef of a struct, union, or -# enum is documented as struct, union, or enum with the name of the typedef. So -# typedef struct TypeS {} TypeT, will appear in the documentation as a struct -# with name TypeT. When disabled the typedef will appear as a member of a file, -# namespace, or class. And the struct will be named TypeS. This can typically be -# useful for C code in case the coding convention dictates that all compound -# types are typedef'ed and only the typedef is referenced, never the tag name. -# The default value is: NO. - -TYPEDEF_HIDES_STRUCT = NO - -# The size of the symbol lookup cache can be set using LOOKUP_CACHE_SIZE. This -# cache is used to resolve symbols given their name and scope. Since this can be -# an expensive process and often the same symbol appears multiple times in the -# code, doxygen keeps a cache of pre-resolved symbols. If the cache is too small -# doxygen will become slower. If the cache is too large, memory is wasted. The -# cache size is given by this formula: 2^(16+LOOKUP_CACHE_SIZE). The valid range -# is 0..9, the default is 0, corresponding to a cache size of 2^16=65536 -# symbols. At the end of a run doxygen will report the cache usage and suggest -# the optimal cache size from a speed point of view. -# Minimum value: 0, maximum value: 9, default value: 0. - -LOOKUP_CACHE_SIZE = 0 - -# The NUM_PROC_THREADS specifies the number threads doxygen is allowed to use -# during processing. When set to 0 doxygen will based this on the number of -# cores available in the system. You can set it explicitly to a value larger -# than 0 to get more control over the balance between CPU load and processing -# speed. At this moment only the input processing can be done using multiple -# threads. Since this is still an experimental feature the default is set to 1, -# which effectively disables parallel processing. Please report any issues you -# encounter. Generating dot graphs in parallel is controlled by the -# DOT_NUM_THREADS setting. -# Minimum value: 0, maximum value: 32, default value: 1. - -NUM_PROC_THREADS = 1 - -#--------------------------------------------------------------------------- -# Build related configuration options -#--------------------------------------------------------------------------- - -# If the EXTRACT_ALL tag is set to YES, doxygen will assume all entities in -# documentation are documented, even if no documentation was available. Private -# class members and static file members will be hidden unless the -# EXTRACT_PRIVATE respectively EXTRACT_STATIC tags are set to YES. -# Note: This will also disable the warnings about undocumented members that are -# normally produced when WARNINGS is set to YES. -# The default value is: NO. - -EXTRACT_ALL = NO - -# If the EXTRACT_PRIVATE tag is set to YES, all private members of a class will -# be included in the documentation. -# The default value is: NO. - -EXTRACT_PRIVATE = NO - -# If the EXTRACT_PRIV_VIRTUAL tag is set to YES, documented private virtual -# methods of a class will be included in the documentation. -# The default value is: NO. - -EXTRACT_PRIV_VIRTUAL = NO - -# If the EXTRACT_PACKAGE tag is set to YES, all members with package or internal -# scope will be included in the documentation. -# The default value is: NO. - -EXTRACT_PACKAGE = NO - -# If the EXTRACT_STATIC tag is set to YES, all static members of a file will be -# included in the documentation. -# The default value is: NO. - -EXTRACT_STATIC = NO - -# If the EXTRACT_LOCAL_CLASSES tag is set to YES, classes (and structs) defined -# locally in source files will be included in the documentation. If set to NO, -# only classes defined in header files are included. Does not have any effect -# for Java sources. -# The default value is: YES. - -EXTRACT_LOCAL_CLASSES = YES - -# This flag is only useful for Objective-C code. If set to YES, local methods, -# which are defined in the implementation section but not in the interface are -# included in the documentation. If set to NO, only methods in the interface are -# included. -# The default value is: NO. - -EXTRACT_LOCAL_METHODS = NO - -# If this flag is set to YES, the members of anonymous namespaces will be -# extracted and appear in the documentation as a namespace called -# 'anonymous_namespace{file}', where file will be replaced with the base name of -# the file that contains the anonymous namespace. By default anonymous namespace -# are hidden. -# The default value is: NO. - -EXTRACT_ANON_NSPACES = NO - -# If this flag is set to YES, the name of an unnamed parameter in a declaration -# will be determined by the corresponding definition. By default unnamed -# parameters remain unnamed in the output. -# The default value is: YES. - -RESOLVE_UNNAMED_PARAMS = YES - -# If the HIDE_UNDOC_MEMBERS tag is set to YES, doxygen will hide all -# undocumented members inside documented classes or files. If set to NO these -# members will be included in the various overviews, but no documentation -# section is generated. This option has no effect if EXTRACT_ALL is enabled. -# The default value is: NO. - -HIDE_UNDOC_MEMBERS = NO - -# If the HIDE_UNDOC_CLASSES tag is set to YES, doxygen will hide all -# undocumented classes that are normally visible in the class hierarchy. If set -# to NO, these classes will be included in the various overviews. This option -# has no effect if EXTRACT_ALL is enabled. -# The default value is: NO. - -HIDE_UNDOC_CLASSES = NO - -# If the HIDE_FRIEND_COMPOUNDS tag is set to YES, doxygen will hide all friend -# declarations. If set to NO, these declarations will be included in the -# documentation. -# The default value is: NO. - -HIDE_FRIEND_COMPOUNDS = NO - -# If the HIDE_IN_BODY_DOCS tag is set to YES, doxygen will hide any -# documentation blocks found inside the body of a function. If set to NO, these -# blocks will be appended to the function's detailed documentation block. -# The default value is: NO. - -HIDE_IN_BODY_DOCS = NO - -# The INTERNAL_DOCS tag determines if documentation that is typed after a -# \internal command is included. If the tag is set to NO then the documentation -# will be excluded. Set it to YES to include the internal documentation. -# The default value is: NO. - -INTERNAL_DOCS = NO - -# With the correct setting of option CASE_SENSE_NAMES doxygen will better be -# able to match the capabilities of the underlying filesystem. In case the -# filesystem is case sensitive (i.e. it supports files in the same directory -# whose names only differ in casing), the option must be set to YES to properly -# deal with such files in case they appear in the input. For filesystems that -# are not case sensitive the option should be be set to NO to properly deal with -# output files written for symbols that only differ in casing, such as for two -# classes, one named CLASS and the other named Class, and to also support -# references to files without having to specify the exact matching casing. On -# Windows (including Cygwin) and MacOS, users should typically set this option -# to NO, whereas on Linux or other Unix flavors it should typically be set to -# YES. -# The default value is: system dependent. - -CASE_SENSE_NAMES = YES - -# If the HIDE_SCOPE_NAMES tag is set to NO then doxygen will show members with -# their full class and namespace scopes in the documentation. If set to YES, the -# scope will be hidden. -# The default value is: NO. - -HIDE_SCOPE_NAMES = NO - -# If the HIDE_COMPOUND_REFERENCE tag is set to NO (default) then doxygen will -# append additional text to a page's title, such as Class Reference. If set to -# YES the compound reference will be hidden. -# The default value is: NO. - -HIDE_COMPOUND_REFERENCE= NO - -# If the SHOW_HEADERFILE tag is set to YES then the documentation for a class -# will show which file needs to be included to use the class. -# The default value is: YES. - -SHOW_HEADERFILE = YES - -# If the SHOW_INCLUDE_FILES tag is set to YES then doxygen will put a list of -# the files that are included by a file in the documentation of that file. -# The default value is: YES. - -SHOW_INCLUDE_FILES = YES - -# If the SHOW_GROUPED_MEMB_INC tag is set to YES then Doxygen will add for each -# grouped member an include statement to the documentation, telling the reader -# which file to include in order to use the member. -# The default value is: NO. - -SHOW_GROUPED_MEMB_INC = NO - -# If the FORCE_LOCAL_INCLUDES tag is set to YES then doxygen will list include -# files with double quotes in the documentation rather than with sharp brackets. -# The default value is: NO. - -FORCE_LOCAL_INCLUDES = NO - -# If the INLINE_INFO tag is set to YES then a tag [inline] is inserted in the -# documentation for inline members. -# The default value is: YES. - -INLINE_INFO = YES - -# If the SORT_MEMBER_DOCS tag is set to YES then doxygen will sort the -# (detailed) documentation of file and class members alphabetically by member -# name. If set to NO, the members will appear in declaration order. -# The default value is: YES. - -SORT_MEMBER_DOCS = YES - -# If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the brief -# descriptions of file, namespace and class members alphabetically by member -# name. If set to NO, the members will appear in declaration order. Note that -# this will also influence the order of the classes in the class list. -# The default value is: NO. - -SORT_BRIEF_DOCS = NO - -# If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen will sort the -# (brief and detailed) documentation of class members so that constructors and -# destructors are listed first. If set to NO the constructors will appear in the -# respective orders defined by SORT_BRIEF_DOCS and SORT_MEMBER_DOCS. -# Note: If SORT_BRIEF_DOCS is set to NO this option is ignored for sorting brief -# member documentation. -# Note: If SORT_MEMBER_DOCS is set to NO this option is ignored for sorting -# detailed member documentation. -# The default value is: NO. - -SORT_MEMBERS_CTORS_1ST = NO - -# If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the hierarchy -# of group names into alphabetical order. If set to NO the group names will -# appear in their defined order. -# The default value is: NO. - -SORT_GROUP_NAMES = NO - -# If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be sorted by -# fully-qualified names, including namespaces. If set to NO, the class list will -# be sorted only by class name, not including the namespace part. -# Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES. -# Note: This option applies only to the class list, not to the alphabetical -# list. -# The default value is: NO. - -SORT_BY_SCOPE_NAME = NO - -# If the STRICT_PROTO_MATCHING option is enabled and doxygen fails to do proper -# type resolution of all parameters of a function it will reject a match between -# the prototype and the implementation of a member function even if there is -# only one candidate or it is obvious which candidate to choose by doing a -# simple string match. By disabling STRICT_PROTO_MATCHING doxygen will still -# accept a match between prototype and implementation in such cases. -# The default value is: NO. - -STRICT_PROTO_MATCHING = NO - -# The GENERATE_TODOLIST tag can be used to enable (YES) or disable (NO) the todo -# list. This list is created by putting \todo commands in the documentation. -# The default value is: YES. - -GENERATE_TODOLIST = YES - -# The GENERATE_TESTLIST tag can be used to enable (YES) or disable (NO) the test -# list. This list is created by putting \test commands in the documentation. -# The default value is: YES. - -GENERATE_TESTLIST = YES - -# The GENERATE_BUGLIST tag can be used to enable (YES) or disable (NO) the bug -# list. This list is created by putting \bug commands in the documentation. -# The default value is: YES. - -GENERATE_BUGLIST = YES - -# The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or disable (NO) -# the deprecated list. This list is created by putting \deprecated commands in -# the documentation. -# The default value is: YES. - -GENERATE_DEPRECATEDLIST= YES - -# The ENABLED_SECTIONS tag can be used to enable conditional documentation -# sections, marked by \if ... \endif and \cond -# ... \endcond blocks. - -ENABLED_SECTIONS = - -# The MAX_INITIALIZER_LINES tag determines the maximum number of lines that the -# initial value of a variable or macro / define can have for it to appear in the -# documentation. If the initializer consists of more lines than specified here -# it will be hidden. Use a value of 0 to hide initializers completely. The -# appearance of the value of individual variables and macros / defines can be -# controlled using \showinitializer or \hideinitializer command in the -# documentation regardless of this setting. -# Minimum value: 0, maximum value: 10000, default value: 30. - -MAX_INITIALIZER_LINES = 30 - -# Set the SHOW_USED_FILES tag to NO to disable the list of files generated at -# the bottom of the documentation of classes and structs. If set to YES, the -# list will mention the files that were used to generate the documentation. -# The default value is: YES. - -SHOW_USED_FILES = YES - -# Set the SHOW_FILES tag to NO to disable the generation of the Files page. This -# will remove the Files entry from the Quick Index and from the Folder Tree View -# (if specified). -# The default value is: YES. - -SHOW_FILES = YES - -# Set the SHOW_NAMESPACES tag to NO to disable the generation of the Namespaces -# page. This will remove the Namespaces entry from the Quick Index and from the -# Folder Tree View (if specified). -# The default value is: YES. - -SHOW_NAMESPACES = YES - -# The FILE_VERSION_FILTER tag can be used to specify a program or script that -# doxygen should invoke to get the current version for each file (typically from -# the version control system). Doxygen will invoke the program by executing (via -# popen()) the command command input-file, where command is the value of the -# FILE_VERSION_FILTER tag, and input-file is the name of an input file provided -# by doxygen. Whatever the program writes to standard output is used as the file -# version. For an example see the documentation. - -FILE_VERSION_FILTER = - -# The LAYOUT_FILE tag can be used to specify a layout file which will be parsed -# by doxygen. The layout file controls the global structure of the generated -# output files in an output format independent way. To create the layout file -# that represents doxygen's defaults, run doxygen with the -l option. You can -# optionally specify a file name after the option, if omitted DoxygenLayout.xml -# will be used as the name of the layout file. See also section "Changing the -# layout of pages" for information. -# -# Note that if you run doxygen from a directory containing a file called -# DoxygenLayout.xml, doxygen will parse it automatically even if the LAYOUT_FILE -# tag is left empty. - -LAYOUT_FILE = - -# The CITE_BIB_FILES tag can be used to specify one or more bib files containing -# the reference definitions. This must be a list of .bib files. The .bib -# extension is automatically appended if omitted. This requires the bibtex tool -# to be installed. See also https://en.wikipedia.org/wiki/BibTeX for more info. -# For LaTeX the style of the bibliography can be controlled using -# LATEX_BIB_STYLE. To use this feature you need bibtex and perl available in the -# search path. See also \cite for info how to create references. - -CITE_BIB_FILES = - -#--------------------------------------------------------------------------- -# Configuration options related to warning and progress messages -#--------------------------------------------------------------------------- - -# The QUIET tag can be used to turn on/off the messages that are generated to -# standard output by doxygen. If QUIET is set to YES this implies that the -# messages are off. -# The default value is: NO. - -QUIET = NO - -# The WARNINGS tag can be used to turn on/off the warning messages that are -# generated to standard error (stderr) by doxygen. If WARNINGS is set to YES -# this implies that the warnings are on. -# -# Tip: Turn warnings on while writing the documentation. -# The default value is: YES. - -WARNINGS = YES - -# If the WARN_IF_UNDOCUMENTED tag is set to YES then doxygen will generate -# warnings for undocumented members. If EXTRACT_ALL is set to YES then this flag -# will automatically be disabled. -# The default value is: YES. - -WARN_IF_UNDOCUMENTED = YES - -# If the WARN_IF_DOC_ERROR tag is set to YES, doxygen will generate warnings for -# potential errors in the documentation, such as documenting some parameters in -# a documented function twice, or documenting parameters that don't exist or -# using markup commands wrongly. -# The default value is: YES. - -WARN_IF_DOC_ERROR = YES - -# If WARN_IF_INCOMPLETE_DOC is set to YES, doxygen will warn about incomplete -# function parameter documentation. If set to NO, doxygen will accept that some -# parameters have no documentation without warning. -# The default value is: YES. - -WARN_IF_INCOMPLETE_DOC = YES - -# This WARN_NO_PARAMDOC option can be enabled to get warnings for functions that -# are documented, but have no documentation for their parameters or return -# value. If set to NO, doxygen will only warn about wrong parameter -# documentation, but not about the absence of documentation. If EXTRACT_ALL is -# set to YES then this flag will automatically be disabled. See also -# WARN_IF_INCOMPLETE_DOC -# The default value is: NO. - -WARN_NO_PARAMDOC = NO - -# If the WARN_AS_ERROR tag is set to YES then doxygen will immediately stop when -# a warning is encountered. If the WARN_AS_ERROR tag is set to FAIL_ON_WARNINGS -# then doxygen will continue running as if WARN_AS_ERROR tag is set to NO, but -# at the end of the doxygen process doxygen will return with a non-zero status. -# Possible values are: NO, YES and FAIL_ON_WARNINGS. -# The default value is: NO. - -WARN_AS_ERROR = NO - -# The WARN_FORMAT tag determines the format of the warning messages that doxygen -# can produce. The string should contain the $file, $line, and $text tags, which -# will be replaced by the file and line number from which the warning originated -# and the warning text. Optionally the format may contain $version, which will -# be replaced by the version of the file (if it could be obtained via -# FILE_VERSION_FILTER) -# The default value is: $file:$line: $text. - -WARN_FORMAT = "$file:$line: $text" - -# The WARN_LOGFILE tag can be used to specify a file to which warning and error -# messages should be written. If left blank the output is written to standard -# error (stderr). In case the file specified cannot be opened for writing the -# warning and error messages are written to standard error. When as file - is -# specified the warning and error messages are written to standard output -# (stdout). - -WARN_LOGFILE = - -#--------------------------------------------------------------------------- -# Configuration options related to the input files -#--------------------------------------------------------------------------- - -# The INPUT tag is used to specify the files and/or directories that contain -# documented source files. You may enter file names like myfile.cpp or -# directories like /usr/src/myproject. Separate the files or directories with -# spaces. See also FILE_PATTERNS and EXTENSION_MAPPING -# Note: If this tag is empty the current directory is searched. - -INPUT = include/sockpp - -# This tag can be used to specify the character encoding of the source files -# that doxygen parses. Internally doxygen uses the UTF-8 encoding. Doxygen uses -# libiconv (or the iconv built into libc) for the transcoding. See the libiconv -# documentation (see: -# https://www.gnu.org/software/libiconv/) for the list of possible encodings. -# The default value is: UTF-8. - -INPUT_ENCODING = UTF-8 - -# If the value of the INPUT tag contains directories, you can use the -# FILE_PATTERNS tag to specify one or more wildcard patterns (like *.cpp and -# *.h) to filter out the source-files in the directories. -# -# Note that for custom extensions or not directly supported extensions you also -# need to set EXTENSION_MAPPING for the extension otherwise the files are not -# read by doxygen. -# -# Note the list of default checked file patterns might differ from the list of -# default file extension mappings. -# -# If left blank the following patterns are tested:*.c, *.cc, *.cxx, *.cpp, -# *.c++, *.java, *.ii, *.ixx, *.ipp, *.i++, *.inl, *.idl, *.ddl, *.odl, *.h, -# *.hh, *.hxx, *.hpp, *.h++, *.l, *.cs, *.d, *.php, *.php4, *.php5, *.phtml, -# *.inc, *.m, *.markdown, *.md, *.mm, *.dox (to be provided as doxygen C -# comment), *.py, *.pyw, *.f90, *.f95, *.f03, *.f08, *.f18, *.f, *.for, *.vhd, -# *.vhdl, *.ucf, *.qsf and *.ice. - -FILE_PATTERNS = *.h \ - *.hh \ - *.hxx \ - *.hpp - -# The RECURSIVE tag can be used to specify whether or not subdirectories should -# be searched for input files as well. -# The default value is: NO. - -RECURSIVE = YES - -# The EXCLUDE tag can be used to specify files and/or directories that should be -# excluded from the INPUT source files. This way you can easily exclude a -# subdirectory from a directory tree whose root is specified with the INPUT tag. -# -# Note that relative paths are relative to the directory from which doxygen is -# run. - -EXCLUDE = - -# The EXCLUDE_SYMLINKS tag can be used to select whether or not files or -# directories that are symbolic links (a Unix file system feature) are excluded -# from the input. -# The default value is: NO. - -EXCLUDE_SYMLINKS = NO - -# If the value of the INPUT tag contains directories, you can use the -# EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude -# certain files from those directories. -# -# Note that the wildcards are matched against the file with absolute path, so to -# exclude all test directories for example use the pattern */test/* - -EXCLUDE_PATTERNS = - -# The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names -# (namespaces, classes, functions, etc.) that should be excluded from the -# output. The symbol name can be a fully qualified name, a word, or if the -# wildcard * is used, a substring. Examples: ANamespace, AClass, -# ANamespace::AClass, ANamespace::*Test -# -# Note that the wildcards are matched against the file with absolute path, so to -# exclude all test directories use the pattern */test/* - -EXCLUDE_SYMBOLS = - -# The EXAMPLE_PATH tag can be used to specify one or more files or directories -# that contain example code fragments that are included (see the \include -# command). - -EXAMPLE_PATH = - -# If the value of the EXAMPLE_PATH tag contains directories, you can use the -# EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp and -# *.h) to filter out the source-files in the directories. If left blank all -# files are included. - -EXAMPLE_PATTERNS = - -# If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be -# searched for input files to be used with the \include or \dontinclude commands -# irrespective of the value of the RECURSIVE tag. -# The default value is: NO. - -EXAMPLE_RECURSIVE = NO - -# The IMAGE_PATH tag can be used to specify one or more files or directories -# that contain images that are to be included in the documentation (see the -# \image command). - -IMAGE_PATH = - -# The INPUT_FILTER tag can be used to specify a program that doxygen should -# invoke to filter for each input file. Doxygen will invoke the filter program -# by executing (via popen()) the command: -# -# -# -# where is the value of the INPUT_FILTER tag, and is the -# name of an input file. Doxygen will then use the output that the filter -# program writes to standard output. If FILTER_PATTERNS is specified, this tag -# will be ignored. -# -# Note that the filter must not add or remove lines; it is applied before the -# code is scanned, but not when the output code is generated. If lines are added -# or removed, the anchors will not be placed correctly. -# -# Note that for custom extensions or not directly supported extensions you also -# need to set EXTENSION_MAPPING for the extension otherwise the files are not -# properly processed by doxygen. - -INPUT_FILTER = - -# The FILTER_PATTERNS tag can be used to specify filters on a per file pattern -# basis. Doxygen will compare the file name with each pattern and apply the -# filter if there is a match. The filters are a list of the form: pattern=filter -# (like *.cpp=my_cpp_filter). See INPUT_FILTER for further information on how -# filters are used. If the FILTER_PATTERNS tag is empty or if none of the -# patterns match the file name, INPUT_FILTER is applied. -# -# Note that for custom extensions or not directly supported extensions you also -# need to set EXTENSION_MAPPING for the extension otherwise the files are not -# properly processed by doxygen. - -FILTER_PATTERNS = - -# If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using -# INPUT_FILTER) will also be used to filter the input files that are used for -# producing the source files to browse (i.e. when SOURCE_BROWSER is set to YES). -# The default value is: NO. - -FILTER_SOURCE_FILES = NO - -# The FILTER_SOURCE_PATTERNS tag can be used to specify source filters per file -# pattern. A pattern will override the setting for FILTER_PATTERN (if any) and -# it is also possible to disable source filtering for a specific pattern using -# *.ext= (so without naming a filter). -# This tag requires that the tag FILTER_SOURCE_FILES is set to YES. - -FILTER_SOURCE_PATTERNS = - -# If the USE_MDFILE_AS_MAINPAGE tag refers to the name of a markdown file that -# is part of the input, its contents will be placed on the main page -# (index.html). This can be useful if you have a project on for instance GitHub -# and want to reuse the introduction page also for the doxygen output. - -USE_MDFILE_AS_MAINPAGE = - -#--------------------------------------------------------------------------- -# Configuration options related to source browsing -#--------------------------------------------------------------------------- - -# If the SOURCE_BROWSER tag is set to YES then a list of source files will be -# generated. Documented entities will be cross-referenced with these sources. -# -# Note: To get rid of all source code in the generated output, make sure that -# also VERBATIM_HEADERS is set to NO. -# The default value is: NO. - -SOURCE_BROWSER = NO - -# Setting the INLINE_SOURCES tag to YES will include the body of functions, -# classes and enums directly into the documentation. -# The default value is: NO. - -INLINE_SOURCES = NO - -# Setting the STRIP_CODE_COMMENTS tag to YES will instruct doxygen to hide any -# special comment blocks from generated source code fragments. Normal C, C++ and -# Fortran comments will always remain visible. -# The default value is: YES. - -STRIP_CODE_COMMENTS = YES - -# If the REFERENCED_BY_RELATION tag is set to YES then for each documented -# entity all documented functions referencing it will be listed. -# The default value is: NO. - -REFERENCED_BY_RELATION = NO - -# If the REFERENCES_RELATION tag is set to YES then for each documented function -# all documented entities called/used by that function will be listed. -# The default value is: NO. - -REFERENCES_RELATION = NO - -# If the REFERENCES_LINK_SOURCE tag is set to YES and SOURCE_BROWSER tag is set -# to YES then the hyperlinks from functions in REFERENCES_RELATION and -# REFERENCED_BY_RELATION lists will link to the source code. Otherwise they will -# link to the documentation. -# The default value is: YES. - -REFERENCES_LINK_SOURCE = YES - -# If SOURCE_TOOLTIPS is enabled (the default) then hovering a hyperlink in the -# source code will show a tooltip with additional information such as prototype, -# brief description and links to the definition and documentation. Since this -# will make the HTML file larger and loading of large files a bit slower, you -# can opt to disable this feature. -# The default value is: YES. -# This tag requires that the tag SOURCE_BROWSER is set to YES. - -SOURCE_TOOLTIPS = YES - -# If the USE_HTAGS tag is set to YES then the references to source code will -# point to the HTML generated by the htags(1) tool instead of doxygen built-in -# source browser. The htags tool is part of GNU's global source tagging system -# (see https://www.gnu.org/software/global/global.html). You will need version -# 4.8.6 or higher. -# -# To use it do the following: -# - Install the latest version of global -# - Enable SOURCE_BROWSER and USE_HTAGS in the configuration file -# - Make sure the INPUT points to the root of the source tree -# - Run doxygen as normal -# -# Doxygen will invoke htags (and that will in turn invoke gtags), so these -# tools must be available from the command line (i.e. in the search path). -# -# The result: instead of the source browser generated by doxygen, the links to -# source code will now point to the output of htags. -# The default value is: NO. -# This tag requires that the tag SOURCE_BROWSER is set to YES. - -USE_HTAGS = NO - -# If the VERBATIM_HEADERS tag is set the YES then doxygen will generate a -# verbatim copy of the header file for each class for which an include is -# specified. Set to NO to disable this. -# See also: Section \class. -# The default value is: YES. - -VERBATIM_HEADERS = YES - -#--------------------------------------------------------------------------- -# Configuration options related to the alphabetical class index -#--------------------------------------------------------------------------- - -# If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index of all -# compounds will be generated. Enable this if the project contains a lot of -# classes, structs, unions or interfaces. -# The default value is: YES. - -ALPHABETICAL_INDEX = YES - -# In case all classes in a project start with a common prefix, all classes will -# be put under the same header in the alphabetical index. The IGNORE_PREFIX tag -# can be used to specify a prefix (or a list of prefixes) that should be ignored -# while generating the index headers. -# This tag requires that the tag ALPHABETICAL_INDEX is set to YES. - -IGNORE_PREFIX = - -#--------------------------------------------------------------------------- -# Configuration options related to the HTML output -#--------------------------------------------------------------------------- - -# If the GENERATE_HTML tag is set to YES, doxygen will generate HTML output -# The default value is: YES. - -GENERATE_HTML = YES - -# The HTML_OUTPUT tag is used to specify where the HTML docs will be put. If a -# relative path is entered the value of OUTPUT_DIRECTORY will be put in front of -# it. -# The default directory is: html. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_OUTPUT = html - -# The HTML_FILE_EXTENSION tag can be used to specify the file extension for each -# generated HTML page (for example: .htm, .php, .asp). -# The default value is: .html. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_FILE_EXTENSION = .html - -# The HTML_HEADER tag can be used to specify a user-defined HTML header file for -# each generated HTML page. If the tag is left blank doxygen will generate a -# standard header. -# -# To get valid HTML the header file that includes any scripts and style sheets -# that doxygen needs, which is dependent on the configuration options used (e.g. -# the setting GENERATE_TREEVIEW). It is highly recommended to start with a -# default header using -# doxygen -w html new_header.html new_footer.html new_stylesheet.css -# YourConfigFile -# and then modify the file new_header.html. See also section "Doxygen usage" -# for information on how to generate the default header that doxygen normally -# uses. -# Note: The header is subject to change so you typically have to regenerate the -# default header when upgrading to a newer version of doxygen. For a description -# of the possible markers and block names see the documentation. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_HEADER = - -# The HTML_FOOTER tag can be used to specify a user-defined HTML footer for each -# generated HTML page. If the tag is left blank doxygen will generate a standard -# footer. See HTML_HEADER for more information on how to generate a default -# footer and what special commands can be used inside the footer. See also -# section "Doxygen usage" for information on how to generate the default footer -# that doxygen normally uses. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_FOOTER = - -# The HTML_STYLESHEET tag can be used to specify a user-defined cascading style -# sheet that is used by each HTML page. It can be used to fine-tune the look of -# the HTML output. If left blank doxygen will generate a default style sheet. -# See also section "Doxygen usage" for information on how to generate the style -# sheet that doxygen normally uses. -# Note: It is recommended to use HTML_EXTRA_STYLESHEET instead of this tag, as -# it is more robust and this tag (HTML_STYLESHEET) will in the future become -# obsolete. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_STYLESHEET = - -# The HTML_EXTRA_STYLESHEET tag can be used to specify additional user-defined -# cascading style sheets that are included after the standard style sheets -# created by doxygen. Using this option one can overrule certain style aspects. -# This is preferred over using HTML_STYLESHEET since it does not replace the -# standard style sheet and is therefore more robust against future updates. -# Doxygen will copy the style sheet files to the output directory. -# Note: The order of the extra style sheet files is of importance (e.g. the last -# style sheet in the list overrules the setting of the previous ones in the -# list). For an example see the documentation. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_EXTRA_STYLESHEET = - -# The HTML_EXTRA_FILES tag can be used to specify one or more extra images or -# other source files which should be copied to the HTML output directory. Note -# that these files will be copied to the base HTML output directory. Use the -# $relpath^ marker in the HTML_HEADER and/or HTML_FOOTER files to load these -# files. In the HTML_STYLESHEET file, use the file name only. Also note that the -# files will be copied as-is; there are no commands or markers available. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_EXTRA_FILES = - -# The HTML_COLORSTYLE_HUE tag controls the color of the HTML output. Doxygen -# will adjust the colors in the style sheet and background images according to -# this color. Hue is specified as an angle on a color-wheel, see -# https://en.wikipedia.org/wiki/Hue for more information. For instance the value -# 0 represents red, 60 is yellow, 120 is green, 180 is cyan, 240 is blue, 300 -# purple, and 360 is red again. -# Minimum value: 0, maximum value: 359, default value: 220. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_COLORSTYLE_HUE = 220 - -# The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of the colors -# in the HTML output. For a value of 0 the output will use gray-scales only. A -# value of 255 will produce the most vivid colors. -# Minimum value: 0, maximum value: 255, default value: 100. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_COLORSTYLE_SAT = 100 - -# The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to the -# luminance component of the colors in the HTML output. Values below 100 -# gradually make the output lighter, whereas values above 100 make the output -# darker. The value divided by 100 is the actual gamma applied, so 80 represents -# a gamma of 0.8, The value 220 represents a gamma of 2.2, and 100 does not -# change the gamma. -# Minimum value: 40, maximum value: 240, default value: 80. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_COLORSTYLE_GAMMA = 80 - -# If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML -# page will contain the date and time when the page was generated. Setting this -# to YES can help to show when doxygen was last run and thus if the -# documentation is up to date. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_TIMESTAMP = YES - -# If the HTML_DYNAMIC_MENUS tag is set to YES then the generated HTML -# documentation will contain a main index with vertical navigation menus that -# are dynamically created via JavaScript. If disabled, the navigation index will -# consists of multiple levels of tabs that are statically embedded in every HTML -# page. Disable this option to support browsers that do not have JavaScript, -# like the Qt help browser. -# The default value is: YES. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_DYNAMIC_MENUS = YES - -# If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML -# documentation will contain sections that can be hidden and shown after the -# page has loaded. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_DYNAMIC_SECTIONS = NO - -# With HTML_INDEX_NUM_ENTRIES one can control the preferred number of entries -# shown in the various tree structured indices initially; the user can expand -# and collapse entries dynamically later on. Doxygen will expand the tree to -# such a level that at most the specified number of entries are visible (unless -# a fully collapsed tree already exceeds this amount). So setting the number of -# entries 1 will produce a full collapsed tree by default. 0 is a special value -# representing an infinite number of entries and will result in a full expanded -# tree by default. -# Minimum value: 0, maximum value: 9999, default value: 100. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_INDEX_NUM_ENTRIES = 100 - -# If the GENERATE_DOCSET tag is set to YES, additional index files will be -# generated that can be used as input for Apple's Xcode 3 integrated development -# environment (see: -# https://developer.apple.com/xcode/), introduced with OSX 10.5 (Leopard). To -# create a documentation set, doxygen will generate a Makefile in the HTML -# output directory. Running make will produce the docset in that directory and -# running make install will install the docset in -# ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find it at -# startup. See https://developer.apple.com/library/archive/featuredarticles/Doxy -# genXcode/_index.html for more information. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -GENERATE_DOCSET = NO - -# This tag determines the name of the docset feed. A documentation feed provides -# an umbrella under which multiple documentation sets from a single provider -# (such as a company or product suite) can be grouped. -# The default value is: Doxygen generated docs. -# This tag requires that the tag GENERATE_DOCSET is set to YES. - -DOCSET_FEEDNAME = "Doxygen generated docs" - -# This tag determines the URL of the docset feed. A documentation feed provides -# an umbrella under which multiple documentation sets from a single provider -# (such as a company or product suite) can be grouped. -# This tag requires that the tag GENERATE_DOCSET is set to YES. - -DOCSET_FEEDURL = - -# This tag specifies a string that should uniquely identify the documentation -# set bundle. This should be a reverse domain-name style string, e.g. -# com.mycompany.MyDocSet. Doxygen will append .docset to the name. -# The default value is: org.doxygen.Project. -# This tag requires that the tag GENERATE_DOCSET is set to YES. - -DOCSET_BUNDLE_ID = org.doxygen.Project - -# The DOCSET_PUBLISHER_ID tag specifies a string that should uniquely identify -# the documentation publisher. This should be a reverse domain-name style -# string, e.g. com.mycompany.MyDocSet.documentation. -# The default value is: org.doxygen.Publisher. -# This tag requires that the tag GENERATE_DOCSET is set to YES. - -DOCSET_PUBLISHER_ID = org.doxygen.Publisher - -# The DOCSET_PUBLISHER_NAME tag identifies the documentation publisher. -# The default value is: Publisher. -# This tag requires that the tag GENERATE_DOCSET is set to YES. - -DOCSET_PUBLISHER_NAME = Publisher - -# If the GENERATE_HTMLHELP tag is set to YES then doxygen generates three -# additional HTML index files: index.hhp, index.hhc, and index.hhk. The -# index.hhp is a project file that can be read by Microsoft's HTML Help Workshop -# on Windows. In the beginning of 2021 Microsoft took the original page, with -# a.o. the download links, offline the HTML help workshop was already many years -# in maintenance mode). You can download the HTML help workshop from the web -# archives at Installation executable (see: -# http://web.archive.org/web/20160201063255/http://download.microsoft.com/downlo -# ad/0/A/9/0A939EF6-E31C-430F-A3DF-DFAE7960D564/htmlhelp.exe). -# -# The HTML Help Workshop contains a compiler that can convert all HTML output -# generated by doxygen into a single compiled HTML file (.chm). Compiled HTML -# files are now used as the Windows 98 help format, and will replace the old -# Windows help format (.hlp) on all Windows platforms in the future. Compressed -# HTML files also contain an index, a table of contents, and you can search for -# words in the documentation. The HTML workshop also contains a viewer for -# compressed HTML files. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -GENERATE_HTMLHELP = NO - -# The CHM_FILE tag can be used to specify the file name of the resulting .chm -# file. You can add a path in front of the file if the result should not be -# written to the html output directory. -# This tag requires that the tag GENERATE_HTMLHELP is set to YES. - -CHM_FILE = - -# The HHC_LOCATION tag can be used to specify the location (absolute path -# including file name) of the HTML help compiler (hhc.exe). If non-empty, -# doxygen will try to run the HTML help compiler on the generated index.hhp. -# The file has to be specified with full path. -# This tag requires that the tag GENERATE_HTMLHELP is set to YES. - -HHC_LOCATION = - -# The GENERATE_CHI flag controls if a separate .chi index file is generated -# (YES) or that it should be included in the main .chm file (NO). -# The default value is: NO. -# This tag requires that the tag GENERATE_HTMLHELP is set to YES. - -GENERATE_CHI = NO - -# The CHM_INDEX_ENCODING is used to encode HtmlHelp index (hhk), content (hhc) -# and project file content. -# This tag requires that the tag GENERATE_HTMLHELP is set to YES. - -CHM_INDEX_ENCODING = - -# The BINARY_TOC flag controls whether a binary table of contents is generated -# (YES) or a normal table of contents (NO) in the .chm file. Furthermore it -# enables the Previous and Next buttons. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTMLHELP is set to YES. - -BINARY_TOC = NO - -# The TOC_EXPAND flag can be set to YES to add extra items for group members to -# the table of contents of the HTML help documentation and to the tree view. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTMLHELP is set to YES. - -TOC_EXPAND = NO - -# If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and -# QHP_VIRTUAL_FOLDER are set, an additional index file will be generated that -# can be used as input for Qt's qhelpgenerator to generate a Qt Compressed Help -# (.qch) of the generated HTML documentation. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -GENERATE_QHP = NO - -# If the QHG_LOCATION tag is specified, the QCH_FILE tag can be used to specify -# the file name of the resulting .qch file. The path specified is relative to -# the HTML output folder. -# This tag requires that the tag GENERATE_QHP is set to YES. - -QCH_FILE = - -# The QHP_NAMESPACE tag specifies the namespace to use when generating Qt Help -# Project output. For more information please see Qt Help Project / Namespace -# (see: -# https://doc.qt.io/archives/qt-4.8/qthelpproject.html#namespace). -# The default value is: org.doxygen.Project. -# This tag requires that the tag GENERATE_QHP is set to YES. - -QHP_NAMESPACE = org.doxygen.Project - -# The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating Qt -# Help Project output. For more information please see Qt Help Project / Virtual -# Folders (see: -# https://doc.qt.io/archives/qt-4.8/qthelpproject.html#virtual-folders). -# The default value is: doc. -# This tag requires that the tag GENERATE_QHP is set to YES. - -QHP_VIRTUAL_FOLDER = doc - -# If the QHP_CUST_FILTER_NAME tag is set, it specifies the name of a custom -# filter to add. For more information please see Qt Help Project / Custom -# Filters (see: -# https://doc.qt.io/archives/qt-4.8/qthelpproject.html#custom-filters). -# This tag requires that the tag GENERATE_QHP is set to YES. - -QHP_CUST_FILTER_NAME = - -# The QHP_CUST_FILTER_ATTRS tag specifies the list of the attributes of the -# custom filter to add. For more information please see Qt Help Project / Custom -# Filters (see: -# https://doc.qt.io/archives/qt-4.8/qthelpproject.html#custom-filters). -# This tag requires that the tag GENERATE_QHP is set to YES. - -QHP_CUST_FILTER_ATTRS = - -# The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this -# project's filter section matches. Qt Help Project / Filter Attributes (see: -# https://doc.qt.io/archives/qt-4.8/qthelpproject.html#filter-attributes). -# This tag requires that the tag GENERATE_QHP is set to YES. - -QHP_SECT_FILTER_ATTRS = - -# The QHG_LOCATION tag can be used to specify the location (absolute path -# including file name) of Qt's qhelpgenerator. If non-empty doxygen will try to -# run qhelpgenerator on the generated .qhp file. -# This tag requires that the tag GENERATE_QHP is set to YES. - -QHG_LOCATION = - -# If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files will be -# generated, together with the HTML files, they form an Eclipse help plugin. To -# install this plugin and make it available under the help contents menu in -# Eclipse, the contents of the directory containing the HTML and XML files needs -# to be copied into the plugins directory of eclipse. The name of the directory -# within the plugins directory should be the same as the ECLIPSE_DOC_ID value. -# After copying Eclipse needs to be restarted before the help appears. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -GENERATE_ECLIPSEHELP = NO - -# A unique identifier for the Eclipse help plugin. When installing the plugin -# the directory name containing the HTML and XML files should also have this -# name. Each documentation set should have its own identifier. -# The default value is: org.doxygen.Project. -# This tag requires that the tag GENERATE_ECLIPSEHELP is set to YES. - -ECLIPSE_DOC_ID = org.doxygen.Project - -# If you want full control over the layout of the generated HTML pages it might -# be necessary to disable the index and replace it with your own. The -# DISABLE_INDEX tag can be used to turn on/off the condensed index (tabs) at top -# of each HTML page. A value of NO enables the index and the value YES disables -# it. Since the tabs in the index contain the same information as the navigation -# tree, you can set this option to YES if you also set GENERATE_TREEVIEW to YES. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -DISABLE_INDEX = NO - -# The GENERATE_TREEVIEW tag is used to specify whether a tree-like index -# structure should be generated to display hierarchical information. If the tag -# value is set to YES, a side panel will be generated containing a tree-like -# index structure (just like the one that is generated for HTML Help). For this -# to work a browser that supports JavaScript, DHTML, CSS and frames is required -# (i.e. any modern browser). Windows users are probably better off using the -# HTML help feature. Via custom style sheets (see HTML_EXTRA_STYLESHEET) one can -# further fine tune the look of the index (see "Fine-tuning the output"). As an -# example, the default style sheet generated by doxygen has an example that -# shows how to put an image at the root of the tree instead of the PROJECT_NAME. -# Since the tree basically has the same information as the tab index, you could -# consider setting DISABLE_INDEX to YES when enabling this option. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -GENERATE_TREEVIEW = NO - -# When both GENERATE_TREEVIEW and DISABLE_INDEX are set to YES, then the -# FULL_SIDEBAR option determines if the side bar is limited to only the treeview -# area (value NO) or if it should extend to the full height of the window (value -# YES). Setting this to YES gives a layout similar to -# https://docs.readthedocs.io with more room for contents, but less room for the -# project logo, title, and description. If either GENERATE_TREEVIEW or -# DISABLE_INDEX is set to NO, this option has no effect. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -FULL_SIDEBAR = NO - -# The ENUM_VALUES_PER_LINE tag can be used to set the number of enum values that -# doxygen will group on one line in the generated HTML documentation. -# -# Note that a value of 0 will completely suppress the enum values from appearing -# in the overview section. -# Minimum value: 0, maximum value: 20, default value: 4. -# This tag requires that the tag GENERATE_HTML is set to YES. - -ENUM_VALUES_PER_LINE = 4 - -# If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be used -# to set the initial width (in pixels) of the frame in which the tree is shown. -# Minimum value: 0, maximum value: 1500, default value: 250. -# This tag requires that the tag GENERATE_HTML is set to YES. - -TREEVIEW_WIDTH = 250 - -# If the EXT_LINKS_IN_WINDOW option is set to YES, doxygen will open links to -# external symbols imported via tag files in a separate window. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -EXT_LINKS_IN_WINDOW = NO - -# If the OBFUSCATE_EMAILS tag is set to YES, doxygen will obfuscate email -# addresses. -# The default value is: YES. -# This tag requires that the tag GENERATE_HTML is set to YES. - -OBFUSCATE_EMAILS = YES - -# If the HTML_FORMULA_FORMAT option is set to svg, doxygen will use the pdf2svg -# tool (see https://github.com/dawbarton/pdf2svg) or inkscape (see -# https://inkscape.org) to generate formulas as SVG images instead of PNGs for -# the HTML output. These images will generally look nicer at scaled resolutions. -# Possible values are: png (the default) and svg (looks nicer but requires the -# pdf2svg or inkscape tool). -# The default value is: png. -# This tag requires that the tag GENERATE_HTML is set to YES. - -HTML_FORMULA_FORMAT = png - -# Use this tag to change the font size of LaTeX formulas included as images in -# the HTML documentation. When you change the font size after a successful -# doxygen run you need to manually remove any form_*.png images from the HTML -# output directory to force them to be regenerated. -# Minimum value: 8, maximum value: 50, default value: 10. -# This tag requires that the tag GENERATE_HTML is set to YES. - -FORMULA_FONTSIZE = 10 - -# Use the FORMULA_TRANSPARENT tag to determine whether or not the images -# generated for formulas are transparent PNGs. Transparent PNGs are not -# supported properly for IE 6.0, but are supported on all modern browsers. -# -# Note that when changing this option you need to delete any form_*.png files in -# the HTML output directory before the changes have effect. -# The default value is: YES. -# This tag requires that the tag GENERATE_HTML is set to YES. - -FORMULA_TRANSPARENT = YES - -# The FORMULA_MACROFILE can contain LaTeX \newcommand and \renewcommand commands -# to create new LaTeX commands to be used in formulas as building blocks. See -# the section "Including formulas" for details. - -FORMULA_MACROFILE = - -# Enable the USE_MATHJAX option to render LaTeX formulas using MathJax (see -# https://www.mathjax.org) which uses client side JavaScript for the rendering -# instead of using pre-rendered bitmaps. Use this if you do not have LaTeX -# installed or if you want to formulas look prettier in the HTML output. When -# enabled you may also need to install MathJax separately and configure the path -# to it using the MATHJAX_RELPATH option. -# The default value is: NO. -# This tag requires that the tag GENERATE_HTML is set to YES. - -USE_MATHJAX = NO - -# With MATHJAX_VERSION it is possible to specify the MathJax version to be used. -# Note that the different versions of MathJax have different requirements with -# regards to the different settings, so it is possible that also other MathJax -# settings have to be changed when switching between the different MathJax -# versions. -# Possible values are: MathJax_2 and MathJax_3. -# The default value is: MathJax_2. -# This tag requires that the tag USE_MATHJAX is set to YES. - -MATHJAX_VERSION = MathJax_2 - -# When MathJax is enabled you can set the default output format to be used for -# the MathJax output. For more details about the output format see MathJax -# version 2 (see: -# http://docs.mathjax.org/en/v2.7-latest/output.html) and MathJax version 3 -# (see: -# http://docs.mathjax.org/en/latest/web/components/output.html). -# Possible values are: HTML-CSS (which is slower, but has the best -# compatibility. This is the name for Mathjax version 2, for MathJax version 3 -# this will be translated into chtml), NativeMML (i.e. MathML. Only supported -# for NathJax 2. For MathJax version 3 chtml will be used instead.), chtml (This -# is the name for Mathjax version 3, for MathJax version 2 this will be -# translated into HTML-CSS) and SVG. -# The default value is: HTML-CSS. -# This tag requires that the tag USE_MATHJAX is set to YES. - -MATHJAX_FORMAT = HTML-CSS - -# When MathJax is enabled you need to specify the location relative to the HTML -# output directory using the MATHJAX_RELPATH option. The destination directory -# should contain the MathJax.js script. For instance, if the mathjax directory -# is located at the same level as the HTML output directory, then -# MATHJAX_RELPATH should be ../mathjax. The default value points to the MathJax -# Content Delivery Network so you can quickly see the result without installing -# MathJax. However, it is strongly recommended to install a local copy of -# MathJax from https://www.mathjax.org before deployment. The default value is: -# - in case of MathJax version 2: https://cdn.jsdelivr.net/npm/mathjax@2 -# - in case of MathJax version 3: https://cdn.jsdelivr.net/npm/mathjax@3 -# This tag requires that the tag USE_MATHJAX is set to YES. - -MATHJAX_RELPATH = http://cdn.mathjax.org/mathjax/latest - -# The MATHJAX_EXTENSIONS tag can be used to specify one or more MathJax -# extension names that should be enabled during MathJax rendering. For example -# for MathJax version 2 (see https://docs.mathjax.org/en/v2.7-latest/tex.html -# #tex-and-latex-extensions): -# MATHJAX_EXTENSIONS = TeX/AMSmath TeX/AMSsymbols -# For example for MathJax version 3 (see -# http://docs.mathjax.org/en/latest/input/tex/extensions/index.html): -# MATHJAX_EXTENSIONS = ams -# This tag requires that the tag USE_MATHJAX is set to YES. - -MATHJAX_EXTENSIONS = - -# The MATHJAX_CODEFILE tag can be used to specify a file with javascript pieces -# of code that will be used on startup of the MathJax code. See the MathJax site -# (see: -# http://docs.mathjax.org/en/v2.7-latest/output.html) for more details. For an -# example see the documentation. -# This tag requires that the tag USE_MATHJAX is set to YES. - -MATHJAX_CODEFILE = - -# When the SEARCHENGINE tag is enabled doxygen will generate a search box for -# the HTML output. The underlying search engine uses javascript and DHTML and -# should work on any modern browser. Note that when using HTML help -# (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets (GENERATE_DOCSET) -# there is already a search function so this one should typically be disabled. -# For large projects the javascript based search engine can be slow, then -# enabling SERVER_BASED_SEARCH may provide a better solution. It is possible to -# search using the keyboard; to jump to the search box use + S -# (what the is depends on the OS and browser, but it is typically -# , /