Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Issue with the quartus compilation (with VTXCart c3g version) for the ALTERA MAX3000A EPM3256ATC144-10N, on CHA_CP1, only . #11

Open
pluger opened this issue Oct 12, 2023 · 5 comments

Comments

@pluger
Copy link

pluger commented Oct 12, 2023

Hi, i have a issue with the Quartus II 13 sp2 on windows 10 compilation for the ALTERA MAX3000A EPM3256ATC144-10N, on CHA_CP1, only .

I use this options : "VTXCart.exe games.txt MVS c3g GenIX PatchMenu GenMAME GenROM" with the c3g option, when i execute VTXCart.exe no have errors, I put the verilog file on "CPLD\MVS\CHA_CP1\rtl\ix_c.inc" I open the quartus proyect "CPLD\MVS\CHA_CP1\synth\cha_cp1.qpf" on quartus, and compile the proyect its make a errors:

Info (12127): Elaborating entity "cp1_top" for the top level hierarchy
Info (278001): Inferred 1 megafunctions from design logic
	Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add0"
Info (12130): Elaborated megafunction instantiation "lpm_add_sub:Add0"
Info (12133): Instantiated megafunction "lpm_add_sub:Add0" with the following parameter:
	Info (12134): Parameter "LPM_WIDTH" = "9"
	Info (12134): Parameter "LPM_DIRECTION" = "ADD"
	Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED"
	Info (12134): Parameter "ONE_INPUT_IS_CONSTANT" = "NO"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[1]", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[1]|a_csnbuffer:oflow_node", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[1]|a_csnbuffer:result_node", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[0]", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|look_add:look_ahead_unit", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:carry_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (280013): Promoted pin-driven signal(s) to global signal
	Info (280014): Promoted clock signal driven by pin "nPORTWEL" to global clock signal
	Info (280015): Promoted clear signal driven by pin "nRESET" to global clear signal
Warning (21074): Design contains 6 input pin(s) that do not drive logic
	Warning (15610): No output dependent on input pin "nPORTWEU"
	Warning (15610): No output dependent on input pin "nROMOEL"
	Warning (15610): No output dependent on input pin "nROMOEU"
	Warning (15610): No output dependent on input pin "nAS"
	Warning (15610): No output dependent on input pin "M68K_RW"
	Warning (15610): No output dependent on input pin "nRESET2"
Info (21057): Implemented 310 device resources after synthesis - the final resource count might be different
	Info (21058): Implemented 30 input pins
	Info (21059): Implemented 40 output pins
	Info (21060): Implemented 24 bidirectional pins
	Info (21063): Implemented 165 macrocells
	Info (21073): Implemented 51 shareable expanders
Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 8 warnings
	Info: Peak virtual memory: 4586 megabytes
	Info: Processing ended: Fri Oct 13 01:49:45 2023
	Info: Elapsed time: 00:00:03
	Info: Total CPU time (on all processors): 00:00:03
Info: *******************************************************************
Info: Running Quartus II 64-Bit Fitter
	Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
	Info: Processing started: Fri Oct 13 01:49:46 2023
Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off prog_cp1 -c prog_cp1
Info: qfit2_default_script.tcl version: #1
Info: Project  = prog_cp1
Info: Revision = prog_cp1
Warning (20028): Parallel compilation is not licensed and has been disabled
Info (119006): Selected device EPM3256ATC144-10 for design "prog_cp1"
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|ps[2]~45" of type max_mcell
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|ps[2]~50" of type max_mcell
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|gn[2]~5" of type max_mcell
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|a_csnbuffer:result_node|sout_node[1]~47" of type max_mcell
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|a_csnbuffer:result_node|sout_node[1]~216" of type max_mcell
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|genr_node[0]~10" of type max_mcell
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|gc[1]~10" of type max_mcell
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|genr_node[0]~15" of type max_mcell
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|gc[1]~11" of type max_mcell
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|a_csnbuffer:result_node|sout_node[2]~67" of type max_sexp
Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|a_csnbuffer:result_node|sout_node[2]~69" of type max_mcell
Error (163105): Cannot route source node "WideOr8~62" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|gs[3]~3" of type max_mcell
Error (163105): Cannot route source node "WideOr8~62" of type max_mcell to destination node "WideOr8~65" of type max_mcell
Error (163105): Cannot route source node "WideOr8~62" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|gn[3]~9" of type max_mcell
Error (163104): Can't place node "P_ADDR_ALL~41" of type max_mcell
Error (163104): Can't place node "P_ADDR_ALL~47" of type max_mcell
Error (163104): Can't place node "P_ADDR_ALL~53" of type max_mcell
Error (163104): Can't place node "WideOr8~81" of type max_mcell
Error (163000): Cannot find fit.
Error: Quartus II 64-Bit Fitter was unsuccessful. 19 errors, 1 warning
	Error: Peak virtual memory: 4729 megabytes
	Error: Processing ended: Fri Oct 13 01:49:49 2023
	Error: Elapsed time: 00:00:03
	Error: Total CPU time (on all processors): 00:00:03
Error (293001): Quartus II Full Compilation was unsuccessful. 21 errors, 9 warnings


@jwestfall69
Copy link
Contributor

jwestfall69 commented Oct 13, 2023

Hrm your description doesn't match the log output, you really mean PROG_CP1? Can you provide your ix_p.inc file

@pluger
Copy link
Author

pluger commented Oct 13, 2023

sorry i have a mistake now i recompile the archive:

Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Info: Processing started: Fri Oct 13 11:33:02 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off prog_cp1 -c prog_cp1 Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file /cpld/mvs/prog_cp1/rtl/cp1_top.v Info (12023): Found entity 1: cp1_top Info (12127): Elaborating entity "cp1_top" for the top level hierarchy Info (278001): Inferred 1 megafunctions from design logic Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add0" Info (12130): Elaborated megafunction instantiation "lpm_add_sub:Add0" Info (12133): Instantiated megafunction "lpm_add_sub:Add0" with the following parameter: Info (12134): Parameter "LPM_WIDTH" = "9" Info (12134): Parameter "LPM_DIRECTION" = "ADD" Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED" Info (12134): Parameter "ONE_INPUT_IS_CONSTANT" = "NO" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[1]", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[1]|a_csnbuffer:oflow_node", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[1]|a_csnbuffer:result_node", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[0]", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|look_add:look_ahead_unit", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:carry_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (280013): Promoted pin-driven signal(s) to global signal Info (280014): Promoted clock signal driven by pin "nPORTWEL" to global clock signal Info (280015): Promoted clear signal driven by pin "nRESET" to global clear signal Warning (21074): Design contains 6 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "nPORTWEU" Warning (15610): No output dependent on input pin "nROMOEL" Warning (15610): No output dependent on input pin "nROMOEU" Warning (15610): No output dependent on input pin "nAS" Warning (15610): No output dependent on input pin "M68K_RW" Warning (15610): No output dependent on input pin "nRESET2" Info (21057): Implemented 310 device resources after synthesis - the final resource count might be different Info (21058): Implemented 30 input pins Info (21059): Implemented 40 output pins Info (21060): Implemented 24 bidirectional pins Info (21063): Implemented 165 macrocells Info (21073): Implemented 51 shareable expanders Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 8 warnings Info: Peak virtual memory: 4587 megabytes Info: Processing ended: Fri Oct 13 11:33:06 2023 Info: Elapsed time: 00:00:04 Info: Total CPU time (on all processors): 00:00:03 Info: ******************************************************************* Info: Running Quartus II 64-Bit Fitter Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Info: Processing started: Fri Oct 13 11:33:07 2023 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off prog_cp1 -c prog_cp1 Info: qfit2_default_script.tcl version: #1 Info: Project = prog_cp1 Info: Revision = prog_cp1 Warning (20028): Parallel compilation is not licensed and has been disabled Info (119006): Selected device EPM3256ATC144-10 for design "prog_cp1" Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|ps[2]~45" of type max_mcell Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|ps[2]~50" of type max_mcell Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|gn[2]~5" of type max_mcell Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|a_csnbuffer:result_node|sout_node[1]~47" of type max_mcell Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|a_csnbuffer:result_node|sout_node[1]~216" of type max_mcell Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|genr_node[0]~10" of type max_mcell Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|gc[1]~10" of type max_mcell Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|genr_node[0]~15" of type max_mcell Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|gc[1]~11" of type max_mcell Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|a_csnbuffer:result_node|sout_node[2]~67" of type max_sexp Error (163105): Cannot route source node "P_ADDR_ALL~38" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|a_csnbuffer:result_node|sout_node[2]~69" of type max_mcell Error (163105): Cannot route source node "WideOr8~62" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|gs[3]~3" of type max_mcell Error (163105): Cannot route source node "WideOr8~62" of type max_mcell to destination node "WideOr8~65" of type max_mcell Error (163105): Cannot route source node "WideOr8~62" of type max_mcell to destination node "lpm_add_sub:Add0|addcore:adder[0]|gn[3]~9" of type max_mcell Error (163104): Can't place node "P_ADDR_ALL~41" of type max_mcell Error (163104): Can't place node "P_ADDR_ALL~47" of type max_mcell Error (163104): Can't place node "P_ADDR_ALL~53" of type max_mcell Error (163104): Can't place node "WideOr8~81" of type max_mcell Error (163000): Cannot find fit. Error: Quartus II 64-Bit Fitter was unsuccessful. 19 errors, 1 warning Error: Peak virtual memory: 4729 megabytes Error: Processing ended: Fri Oct 13 11:33:09 2023 Error: Elapsed time: 00:00:02 Error: Total CPU time (on all processors): 00:00:03 Error (293001): Quartus II Full Compilation was unsuccessful. 21 errors, 9 warnings

the inside of ix_p.inc:

0: begin BANKS <= 3'd0; IX <= 9'b000000000; P2_MIRROR <= 1'b1; end // menu 1: begin BANKS <= 3'd0; IX <= 9'b000000001; P2_MIRROR <= 1'b1; end // nam1975 2: begin BANKS <= 3'd0; IX <= 9'b000000010; P2_MIRROR <= 1'b1; end // bstars 3: begin BANKS <= 3'd0; IX <= 9'b000000011; P2_MIRROR <= 1'b1; end // tpgolf 4: begin BANKS <= 3'd0; IX <= 9'b000000100; P2_MIRROR <= 1'b1; end // mahretsu 5: begin BANKS <= 3'd0; IX <= 9'b000000101; P2_MIRROR <= 1'b1; end // maglord 6: begin BANKS <= 3'd0; IX <= 9'b000000110; P2_MIRROR <= 1'b1; end // ridhero 7: begin BANKS <= 3'd0; IX <= 9'b000000111; P2_MIRROR <= 1'b1; end // alpham2 8: begin BANKS <= 3'd0; IX <= 9'b000001000; P2_MIRROR <= 1'b1; end // ncombat 9: begin BANKS <= 3'd0; IX <= 9'b000001001; P2_MIRROR <= 1'b1; end // cyberlip 10: begin BANKS <= 3'd0; IX <= 9'b000001010; P2_MIRROR <= 1'b1; end // superspy 11: begin BANKS <= 3'd0; IX <= 9'b000001011; P2_MIRROR <= 1'b1; end // mutnat 12: begin BANKS <= 3'd0; IX <= 9'b000001100; P2_MIRROR <= 1'b1; end // kotm 13: begin BANKS <= 3'd0; IX <= 9'b000001101; P2_MIRROR <= 1'b1; end // sengoku 14: begin BANKS <= 3'd0; IX <= 9'b000001110; P2_MIRROR <= 1'b1; end // burningf 15: begin BANKS <= 3'd0; IX <= 9'b000001111; P2_MIRROR <= 1'b1; end // lbowling 16: begin BANKS <= 3'd0; IX <= 9'b000010000; P2_MIRROR <= 1'b1; end // gpilots 17: begin BANKS <= 3'd0; IX <= 9'b000010001; P2_MIRROR <= 1'b1; end // joyjoy 18: begin BANKS <= 3'd0; IX <= 9'b000010010; P2_MIRROR <= 1'b1; end // bjourney 19: begin BANKS <= 3'd0; IX <= 9'b000010011; P2_MIRROR <= 1'b1; end // quizdais 20: begin BANKS <= 3'd0; IX <= 9'b000010100; P2_MIRROR <= 1'b1; end // lresort 21: begin BANKS <= 3'd0; IX <= 9'b000010101; P2_MIRROR <= 1'b1; end // eightman 22: begin BANKS <= 3'd0; IX <= 9'b000010110; P2_MIRROR <= 1'b1; end // minasan 23: begin BANKS <= 3'd0; IX <= 9'b000010111; P2_MIRROR <= 1'b1; end // legendos 24: begin BANKS <= 3'd0; IX <= 9'b000011000; P2_MIRROR <= 1'b1; end // 2020bb 25: begin BANKS <= 3'd0; IX <= 9'b000011001; P2_MIRROR <= 1'b1; end // socbrawl 26: begin BANKS <= 3'd0; IX <= 9'b000011010; P2_MIRROR <= 1'b1; end // roboarmy 27: begin BANKS <= 3'd0; IX <= 9'b000011011; P2_MIRROR <= 1'b1; end // fatfury1 28: begin BANKS <= 3'd0; IX <= 9'b000011100; P2_MIRROR <= 1'b1; end // fbfrenzy 29: begin BANKS <= 3'd0; IX <= 9'b000011101; P2_MIRROR <= 1'b1; end // bakatono 30: begin BANKS <= 3'd0; IX <= 9'b000011110; P2_MIRROR <= 1'b1; end // crsword 31: begin BANKS <= 3'd0; IX <= 9'b000011111; P2_MIRROR <= 1'b1; end // trally 32: begin BANKS <= 3'd0; IX <= 9'b000100000; P2_MIRROR <= 1'b1; end // kotm2 33: begin BANKS <= 3'd0; IX <= 9'b000100001; P2_MIRROR <= 1'b1; end // sengoku2 34: begin BANKS <= 3'd0; IX <= 9'b000100010; P2_MIRROR <= 1'b1; end // bstars2 35: begin BANKS <= 3'd0; IX <= 9'b000100011; P2_MIRROR <= 1'b1; end // quizdai2 36: begin BANKS <= 3'd0; IX <= 9'b000100100; P2_MIRROR <= 1'b1; end // 3countb 37: begin BANKS <= 3'd0; IX <= 9'b000100101; P2_MIRROR <= 1'b1; end // aof 38: begin BANKS <= 3'd0; IX <= 9'b000100110; P2_MIRROR <= 1'b0; end // samsho 39: begin BANKS <= 3'd0; IX <= 9'b000101000; P2_MIRROR <= 1'b0; end // tophuntr 40: begin BANKS <= 3'd0; IX <= 9'b000101010; P2_MIRROR <= 1'b1; end // fatfury2 41: begin BANKS <= 3'd0; IX <= 9'b000101011; P2_MIRROR <= 1'b1; end // janshin 42: begin BANKS <= 3'd0; IX <= 9'b000101100; P2_MIRROR <= 1'b1; end // androdun 43: begin BANKS <= 3'd0; IX <= 9'b000101101; P2_MIRROR <= 1'b1; end // ncommand 44: begin BANKS <= 3'd0; IX <= 9'b000101110; P2_MIRROR <= 1'b1; end // viewpoin 45: begin BANKS <= 3'd0; IX <= 9'b000101111; P2_MIRROR <= 1'b1; end // ssideki 46: begin BANKS <= 3'd0; IX <= 9'b000110000; P2_MIRROR <= 1'b1; end // wh1 47: begin BANKS <= 3'd0; IX <= 9'b000110001; P2_MIRROR <= 1'b0; end // crswd2bl 48: begin BANKS <= 3'd0; IX <= 9'b000110011; P2_MIRROR <= 1'b0; end // kof94 49: begin BANKS <= 3'd0; IX <= 9'b000110101; P2_MIRROR <= 1'b1; end // aof2 50: begin BANKS <= 3'd0; IX <= 9'b000110110; P2_MIRROR <= 1'b0; end // wh2 51: begin BANKS <= 3'd0; IX <= 9'b000111000; P2_MIRROR <= 1'b0; end // fatfursp 52: begin BANKS <= 3'd0; IX <= 9'b000111010; P2_MIRROR <= 1'b0; end // savagere 53: begin BANKS <= 3'd0; IX <= 9'b000111100; P2_MIRROR <= 1'b1; end // fightfev 54: begin BANKS <= 3'd0; IX <= 9'b000111101; P2_MIRROR <= 1'b1; end // ssideki2 55: begin BANKS <= 3'd0; IX <= 9'b000111110; P2_MIRROR <= 1'b0; end // spinmast 56: begin BANKS <= 3'd0; IX <= 9'b001000000; P2_MIRROR <= 1'b0; end // samsho2 57: begin BANKS <= 3'd0; IX <= 9'b001000010; P2_MIRROR <= 1'b0; end // wh2j 58: begin BANKS <= 3'd0; IX <= 9'b001000100; P2_MIRROR <= 1'b1; end // wjammers 59: begin BANKS <= 3'd0; IX <= 9'b001000101; P2_MIRROR <= 1'b1; end // karnovr 60: begin BANKS <= 3'd0; IX <= 9'b001000110; P2_MIRROR <= 1'b1; end // gururin 61: begin BANKS <= 3'd0; IX <= 9'b001000111; P2_MIRROR <= 1'b1; end // pspikes2 62: begin BANKS <= 3'd1; IX <= 9'b001001000; P2_MIRROR <= 1'b0; end // fatfury3 63: begin BANKS <= 3'd0; IX <= 9'b001001011; P2_MIRROR <= 1'b1; end // zupapa 64: begin BANKS <= 3'd0; IX <= 9'b001001100; P2_MIRROR <= 1'b1; end // b2b 65: begin BANKS <= 3'd0; IX <= 9'b001001101; P2_MIRROR <= 1'b1; end // panicbom 66: begin BANKS <= 3'd0; IX <= 9'b001001110; P2_MIRROR <= 1'b0; end // aodk 67: begin BANKS <= 3'd0; IX <= 9'b001010000; P2_MIRROR <= 1'b0; end // sonicwi2 68: begin BANKS <= 3'd0; IX <= 9'b001010010; P2_MIRROR <= 1'b1; end // zedblade 69: begin BANKS <= 3'd0; IX <= 9'b001010011; P2_MIRROR <= 1'b0; end // galaxyfg 70: begin BANKS <= 3'd0; IX <= 9'b001010101; P2_MIRROR <= 1'b1; end // strhoop 71: begin BANKS <= 3'd0; IX <= 9'b001010110; P2_MIRROR <= 1'b1; end // quizkof 72: begin BANKS <= 3'd0; IX <= 9'b001010111; P2_MIRROR <= 1'b0; end // ssideki3 73: begin BANKS <= 3'd0; IX <= 9'b001011001; P2_MIRROR <= 1'b0; end // doubledr 74: begin BANKS <= 3'd0; IX <= 9'b001011011; P2_MIRROR <= 1'b1; end // pbobblen 75: begin BANKS <= 3'd0; IX <= 9'b001011100; P2_MIRROR <= 1'b0; end // kof95 76: begin BANKS <= 3'd0; IX <= 9'b001011110; P2_MIRROR <= 1'b1; end // tws96 77: begin BANKS <= 3'd1; IX <= 9'b001011111; P2_MIRROR <= 1'b0; end // samsho3 78: begin BANKS <= 3'd0; IX <= 9'b001100010; P2_MIRROR <= 1'b0; end // stakwin 79: begin BANKS <= 3'd1; IX <= 9'b001100100; P2_MIRROR <= 1'b0; end // pulstar 80: begin BANKS <= 3'd0; IX <= 9'b001100111; P2_MIRROR <= 1'b0; end // whp 81: begin BANKS <= 3'd0; IX <= 9'b001101001; P2_MIRROR <= 1'b0; end // kabukikl 82: begin BANKS <= 3'd0; IX <= 9'b001101011; P2_MIRROR <= 1'b1; end // neobombe 83: begin BANKS <= 3'd0; IX <= 9'b001101100; P2_MIRROR <= 1'b0; end // gowcaizr 84: begin BANKS <= 3'd1; IX <= 9'b001101110; P2_MIRROR <= 1'b0; end // rbff1 85: begin BANKS <= 3'd1; IX <= 9'b001110001; P2_MIRROR <= 1'b0; end // aof3 86: begin BANKS <= 3'd0; IX <= 9'b001110100; P2_MIRROR <= 1'b0; end // sonicwi3 87: begin BANKS <= 3'd0; IX <= 9'b001110110; P2_MIRROR <= 1'b1; end // froman2b 88: begin BANKS <= 3'd0; IX <= 9'b001110111; P2_MIRROR <= 1'b0; end // turfmast 89: begin BANKS <= 3'd0; IX <= 9'b001111001; P2_MIRROR <= 1'b0; end // mslug 90: begin BANKS <= 3'd0; IX <= 9'b001111011; P2_MIRROR <= 1'b1; end // puzzledp 91: begin BANKS <= 3'd0; IX <= 9'b001111100; P2_MIRROR <= 1'b1; end // moshougi 92: begin BANKS <= 3'd0; IX <= 9'b001111101; P2_MIRROR <= 1'b1; end // marukodq 93: begin BANKS <= 3'd0; IX <= 9'b001111110; P2_MIRROR <= 1'b1; end // neomrdo 94: begin BANKS <= 3'd0; IX <= 9'b001111111; P2_MIRROR <= 1'b0; end // sdodgeb 95: begin BANKS <= 3'd0; IX <= 9'b010000001; P2_MIRROR <= 1'b0; end // goalx3 96: begin BANKS <= 3'd0; IX <= 9'b010000011; P2_MIRROR <= 1'b1; end // zintrckb 97: begin BANKS <= 3'd0; IX <= 9'b010000100; P2_MIRROR <= 1'b0; end // overtop 98: begin BANKS <= 3'd0; IX <= 9'b010000110; P2_MIRROR <= 1'b0; end // neodrift 99: begin BANKS <= 3'd1; IX <= 9'b010001000; P2_MIRROR <= 1'b0; end // kof96 100: begin BANKS <= 3'd0; IX <= 9'b010001011; P2_MIRROR <= 1'b0; end // ssideki4 101: begin BANKS <= 3'd0; IX <= 9'b010001101; P2_MIRROR <= 1'b0; end // kizuna 102: begin BANKS <= 3'd1; IX <= 9'b010001111; P2_MIRROR <= 1'b0; end // ninjamas 103: begin BANKS <= 3'd0; IX <= 9'b010010010; P2_MIRROR <= 1'b0; end // ragnagrd 104: begin BANKS <= 3'd0; IX <= 9'b010010100; P2_MIRROR <= 1'b0; end // pgoal 105: begin BANKS <= 3'd0; IX <= 9'b010010110; P2_MIRROR <= 1'b0; end // ironclad 106: begin BANKS <= 3'd0; IX <= 9'b010011000; P2_MIRROR <= 1'b1; end // magdrop2 107: begin BANKS <= 3'd3; IX <= 9'b010011001; P2_MIRROR <= 1'b0; end // samsho4 108: begin BANKS <= 3'd3; IX <= 9'b010011110; P2_MIRROR <= 1'b0; end // rbffspec 109: begin BANKS <= 3'd0; IX <= 9'b010100011; P2_MIRROR <= 1'b0; end // twinspri 110: begin BANKS <= 3'd1; IX <= 9'b010100101; P2_MIRROR <= 1'b0; end // wakuwak7 111: begin BANKS <= 3'd0; IX <= 9'b010101000; P2_MIRROR <= 1'b0; end // stakwin2 112: begin BANKS <= 3'd0; IX <= 9'b010101010; P2_MIRROR <= 1'b1; end // ghostlop 113: begin BANKS <= 3'd0; IX <= 9'b010101011; P2_MIRROR <= 1'b0; end // breakers 114: begin BANKS <= 3'd0; IX <= 9'b010101101; P2_MIRROR <= 1'b1; end // miexchng 115: begin BANKS <= 3'd3; IX <= 9'b010101110; P2_MIRROR <= 1'b0; end // kof97 116: begin BANKS <= 3'd0; IX <= 9'b010110011; P2_MIRROR <= 1'b1; end // magdrop3 117: begin BANKS <= 3'd3; IX <= 9'b010110100; P2_MIRROR <= 1'b0; end // lastblad 118: begin BANKS <= 3'd0; IX <= 9'b010111001; P2_MIRROR <= 1'b1; end // puzzldpr 119: begin BANKS <= 3'd0; IX <= 9'b010111010; P2_MIRROR <= 1'b0; end // irrmaze 120: begin BANKS <= 3'd0; IX <= 9'b010111100; P2_MIRROR <= 1'b1; end // popbounc 121: begin BANKS <= 3'd3; IX <= 9'b010111101; P2_MIRROR <= 1'b0; end // shocktro 122: begin BANKS <= 3'd1; IX <= 9'b011000010; P2_MIRROR <= 1'b0; end // blazstar 123: begin BANKS <= 3'd3; IX <= 9'b011000101; P2_MIRROR <= 1'b0; end // rbff2 124: begin BANKS <= 3'd1; IX <= 9'b011001010; P2_MIRROR <= 1'b0; end // mslug2 125: begin BANKS <= 3'd1; IX <= 9'b011001101; P2_MIRROR <= 1'b0; end // mslug2t 126: begin BANKS <= 3'd4; IX <= 9'b011010000; P2_MIRROR <= 1'b0; end // kof98 127: begin BANKS <= 3'd3; IX <= 9'b011010110; P2_MIRROR <= 1'b0; end // lastbld2 128: begin BANKS <= 3'd0; IX <= 9'b011011011; P2_MIRROR <= 1'b0; end // neocup98 129: begin BANKS <= 3'd0; IX <= 9'b011011101; P2_MIRROR <= 1'b0; end // breakrev 130: begin BANKS <= 3'd3; IX <= 9'b011011111; P2_MIRROR <= 1'b0; end // shocktr2 131: begin BANKS <= 3'd0; IX <= 9'b011100100; P2_MIRROR <= 1'b1; end // flipshot 132: begin BANKS <= 3'd0; IX <= 9'b011100101; P2_MIRROR <= 1'b1; end // pbobbl2n 133: begin BANKS <= 3'd0; IX <= 9'b011100110; P2_MIRROR <= 1'b0; end // ctomaday 134: begin BANKS <= 3'd3; IX <= 9'b011101000; P2_MIRROR <= 1'b0; end // mslugx 135: begin BANKS <= 3'd3; IX <= 9'b011101101; P2_MIRROR <= 1'b0; end // kof99b 136: begin BANKS <= 3'd0; IX <= 9'b011110010; P2_MIRROR <= 1'b0; end // ganryu 137: begin BANKS <= 3'd3; IX <= 9'b011110100; P2_MIRROR <= 1'b0; end // garoub 138: begin BANKS <= 3'd3; IX <= 9'b011111001; P2_MIRROR <= 1'b0; end // s1945p 139: begin BANKS <= 3'd3; IX <= 9'b011111110; P2_MIRROR <= 1'b0; end // preisle2 140: begin BANKS <= 3'd3; IX <= 9'b100000011; P2_MIRROR <= 1'b0; end // mslug3b 141: begin BANKS <= 3'd3; IX <= 9'b100001000; P2_MIRROR <= 1'b0; end // kof2000b 142: begin BANKS <= 3'd0; IX <= 9'b100001101; P2_MIRROR <= 1'b0; end // bangbead 143: begin BANKS <= 3'd0; IX <= 9'b100001111; P2_MIRROR <= 1'b1; end // nitd 144: begin BANKS <= 3'd0; IX <= 9'b100010000; P2_MIRROR <= 1'b0; end // sengoku3 145: begin BANKS <= 3'd3; IX <= 9'b100010010; P2_MIRROR <= 1'b0; end // kof2001 146: begin BANKS <= 3'd3; IX <= 9'b100010111; P2_MIRROR <= 1'b0; end // mslug4b 147: begin BANKS <= 3'd6; IX <= 9'b100011100; P2_MIRROR <= 1'b0; end // rotd 148: begin BANKS <= 3'd3; IX <= 9'b100100100; P2_MIRROR <= 1'b0; end // kof2002 149: begin BANKS <= 3'd3; IX <= 9'b100101001; P2_MIRROR <= 1'b0; end // matrimb 150: begin BANKS <= 3'd0; IX <= 9'b100101110; P2_MIRROR <= 1'b1; end // pnyaa 151: begin BANKS <= 3'd3; IX <= 9'b100101111; P2_MIRROR <= 1'b0; end // mslug5b 152: begin BANKS <= 3'd4; IX <= 9'b100110100; P2_MIRROR <= 1'b0; end // svcb 153: begin BANKS <= 3'd6; IX <= 9'b100111010; P2_MIRROR <= 1'b0; end // samsho5 154: begin BANKS <= 3'd6; IX <= 9'b101000010; P2_MIRROR <= 1'b0; end // samsh5pf 155: begin BANKS <= 3'd5; IX <= 9'b101001010; P2_MIRROR <= 1'b0; end // kof2003b 156: begin BANKS <= 3'd6; IX <= 9'b101010001; P2_MIRROR <= 1'b0; end // samsh5sp 157: begin BANKS <= 3'd0; IX <= 9'b101011001; P2_MIRROR <= 1'b1; end // xevious 158: begin BANKS <= 3'd7; IX <= 9'b101011010; P2_MIRROR <= 1'b0; end // teot 159: begin BANKS <= 3'd0; IX <= 9'b101100011; P2_MIRROR <= 1'b0; end // xenocrisis 160: begin BANKS <= 3'd0; IX <= 9'b101100101; P2_MIRROR <= 1'b1; end // tstsuite

@pluger
Copy link
Author

pluger commented Oct 13, 2023

And the inside of VTXCart.log:

no	ngh		FPG	prom_addr	crom_addr	vrom_addr	srom_addr	mrom_addr	Menu name
------------------------------------------------------------------------------------------
0	0x9237	100	0x00000000	0x00000000	0x00000000	0x00000000	0x00000000	menu
1	0x0001	141	0x00100000	0x00000000	0x00000000	0x00020000	0x00040000	NAM-1975
2	0x0002	141	0x00200000	0x00300000	0x00400000	0x00040000	0x00080000	Baseball Stars
3	0x0003	141	0x00300000	0x00600000	0x00800000	0x00060000	0x000C0000	Top Player's Golf
4	0x0004	141	0x00400000	0x00A00000	0x00C00000	0x00080000	0x00100000	Mahj. Kyo Retsuden
5	0x0005	141	0x00500000	0x00C00000	0x01000000	0x000A0000	0x00140000	Magician Lord
6	0x0006	141	0x00600000	0x00F00000	0x01400000	0x000C0000	0x00180000	Riding Hero
7	0x0007	130	0x00700000	0x01100000	0x01800000	0x000E0000	0x001C0000	Alpha Mission II
8	0x0009	141	0x00800000	0x01400000	0x01A00000	0x00100000	0x00200000	Ninja Combat
9	0x0010	141	0x00900000	0x01700000	0x01E00000	0x00120000	0x00240000	Cyber-Lip
10	0x0011	131	0x00A00000	0x01A00000	0x02200000	0x00140000	0x00280000	Super Spy
11	0x0014	130	0x00B00000	0x01E00000	0x02600000	0x00160000	0x002C0000	Mutation Nation
12	0x0016	130	0x00C00000	0x02200000	0x02800000	0x00180000	0x00300000	King of Monsters
13	0x0017	130	0x00D00000	0x02600000	0x02A00000	0x001A0000	0x00340000	Sengoku
14	0x0018	130	0x00E00000	0x02A00000	0x02C00000	0x001C0000	0x00380000	Burning Fight
15	0x0019	141	0x00F00000	0x02E00000	0x02E00000	0x001E0000	0x003C0000	League Bowling
16	0x0020	131	0x01000000	0x02F00000	0x03200000	0x00200000	0x00400000	Ghost Pilots
17	0x0021	141	0x01100000	0x03300000	0x03600000	0x00220000	0x00440000	Puzzled
18	0x0022	130	0x01200000	0x03400000	0x03A00000	0x00240000	0x00480000	Blue's Journey
19	0x0023	130	0x01300000	0x03700000	0x03E00000	0x00260000	0x004C0000	Quiz Daisousa Sen
20	0x0024	130	0x01400000	0x03900000	0x04000000	0x00280000	0x00500000	Last Resort
21	0x0025	130	0x01500000	0x03C00000	0x04200000	0x002A0000	0x00540000	Eight Man
22	0x0027	131	0x01600000	0x03F00000	0x04400000	0x002C0000	0x00580000	Minasan Okagesamad
23	0x0029	130	0x01700000	0x04300000	0x04800000	0x002E0000	0x005C0000	Legend Success Joe
24	0x0030	130	0x01800000	0x04700000	0x04A00000	0x00300000	0x00600000	Sup. Baseball 2020
25	0x0031	130	0x01900000	0x04B00000	0x04C00000	0x00320000	0x00640000	Soccer Brawl
26	0x0032	130	0x01A00000	0x04E00000	0x04E00000	0x00340000	0x00680000	Robo Army
27	0x0033	130	0x01B00000	0x05100000	0x05000000	0x00360000	0x006C0000	Fatal Fury
28	0x0034	130	0x01C00000	0x05500000	0x05200000	0x00380000	0x00700000	Football Frenzy
29	0x0036	130	0x01D00000	0x05800000	0x05400000	0x003A0000	0x00740000	Bakatonosama Mahj.
30	0x0037	130	0x01E00000	0x05C00000	0x05600000	0x003C0000	0x00780000	Crossed Swords
31	0x0038	130	0x01F00000	0x06000000	0x05800000	0x003E0000	0x007C0000	Thrash Rally
32	0x0039	130	0x02000000	0x06300000	0x05A00000	0x00400000	0x00800000	King of Monsters 2
33	0x0040	130	0x02100000	0x06900000	0x05E00000	0x00420000	0x00840000	Sengoku 2
34	0x0041	130	0x02200000	0x06F00000	0x06200000	0x00440000	0x00880000	Baseball Stars 2
35	0x0042	130	0x02300000	0x07300000	0x06600000	0x00460000	0x008C0000	Quiz Meitantei Neo
36	0x0043	130	0x02400000	0x07600000	0x06800000	0x00480000	0x00900000	3 Count Bout
37	0x0044	130	0x02500000	0x07E00000	0x06C00000	0x004A0000	0x00940000	Art of Fighting
38	0x0045	120	0x02600000	0x08600000	0x07000000	0x004C0000	0x00980000	Samurai Shodown
39	0x0046	130	0x02800000	0x09000000	0x07400000	0x004E0000	0x009C0000	Top Hunter
40	0x0047	120	0x02A00000	0x09800000	0x07800000	0x00500000	0x00A00000	Fatal Fury 2
41	0x0048	120	0x02B00000	0x0A000000	0x07C00000	0x00520000	0x00A40000	Janshin Densetsu
42	0x0049	130	0x02C00000	0x0A400000	0x07E00000	0x00540000	0x00A80000	Andro Dunos
43	0x0050	130	0x02D00000	0x0A600000	0x08000000	0x00560000	0x00AC0000	Ninja Commando
44	0x0051	130	0x02E00000	0x0AA00000	0x08200000	0x00580000	0x00B00000	Viewpoint
45	0x0052	130	0x02F00000	0x0B000000	0x08600000	0x005A0000	0x00B40000	Super Sidekicks
46	0x0053	130	0x03000000	0x0B600000	0x08800000	0x005C0000	0x00B80000	World Heroes
47	0x0054	110	0x03100000	0x0BC00000	0x08C00000	0x005E0000	0x00BC0000	Crossed Swords II
48	0x0055	120	0x03300000	0x0C400000	0x08E00000	0x00600000	0x00C00000	K.O.F. '94
49	0x0056	120	0x03500000	0x0D400000	0x09400000	0x00620000	0x00C40000	Art of Fighting 2
50	0x0057	120	0x03600000	0x0E400000	0x09A00000	0x00640000	0x00C80000	World Heroes 2
51	0x0058	120	0x03800000	0x0F000000	0x09E00000	0x00660000	0x00CC0000	Fatal Fury Spec.
52	0x0059	120	0x03A00000	0x0FC00000	0x0A400000	0x00680000	0x00D00000	Savage Reign
53	0x0060	120	0x03C00000	0x10C00000	0x0AA00000	0x006A0000	0x00D40000	Fight Fever
54	0x0061	120	0x03D00000	0x11400000	0x0AE00000	0x006C0000	0x00D80000	Super Sidekicks 2
55	0x0062	130	0x03E00000	0x11C00000	0x0B200000	0x006E0000	0x00DC0000	Spinmaster
56	0x0063	120	0x04000000	0x12400000	0x0B400000	0x00700000	0x00E00000	Samurai Shodown 2
57	0x0064	120	0x04200000	0x13400000	0x0BC00000	0x00720000	0x00E40000	World Heroes 2 Jet
58	0x0065	130	0x04400000	0x14400000	0x0C000000	0x00740000	0x00E80000	Windjammers
59	0x0066	120	0x04500000	0x14800000	0x0C400000	0x00760000	0x00EC0000	Karnov's Revenge
60	0x0067	120	0x04600000	0x15400000	0x0C600000	0x00780000	0x00F00000	Gururin
61	0x0068	130	0x04700000	0x15800000	0x0C800000	0x007A0000	0x00F40000	Power Spikes II
62	0x0069	110	0x04800000	0x15E00000	0x0CC00000	0x007C0000	0x00F80000	Fatal Fury 3
63	0x0070	100	0x04B00000	0x17200000	0x0D600000	0x007E0000	0x00FC0000	Zupapa!
64	0x0071	120	0x04C00000	0x18200000	0x0D800000	0x00800000	0x01000000	Bang Bang Busters
65	0x0073	130	0x04D00000	0x18600000	0x0DA00000	0x00820000	0x01040000	Panic Bomber
66	0x0074	120	0x04E00000	0x18800000	0x0DE00000	0x00840000	0x01080000	Aggressors of DK
67	0x0075	120	0x05000000	0x19800000	0x0E200000	0x00860000	0x010C0000	Aero Fighters 2
68	0x0076	120	0x05200000	0x1A000000	0x0E600000	0x00880000	0x01100000	Zed Blade
69	0x0078	120	0x05300000	0x1A800000	0x0EC00000	0x008A0000	0x01140000	Galaxy Fight
70	0x0079	120	0x05500000	0x1B600000	0x0F200000	0x008C0000	0x01180000	Street Hoop
71	0x0080	120	0x05600000	0x1BE00000	0x0F600000	0x008E0000	0x011C0000	Quiz K.o.F.
72	0x0081	120	0x05700000	0x1C600000	0x0FC00000	0x00900000	0x01200000	Super Sidekicks 3
73	0x0082	120	0x05900000	0x1D200000	0x10200000	0x00920000	0x01240000	Double Dragon
74	0x0083	130	0x05B00000	0x1E000000	0x10600000	0x00940000	0x01280000	Puzzle Bobble
75	0x0084	110	0x05C00000	0x1E500000	0x10A00000	0x00960000	0x012C0000	K.O.F. '95
76	0x0086	110	0x05E00000	0x1FF00000	0x11400000	0x00980000	0x01300000	Tecmo Soccer '96
77	0x0087	110	0x05F00000	0x20900000	0x11800000	0x009A0000	0x01340000	Samurai Shodown 3
78	0x0088	120	0x06200000	0x22300000	0x11E00000	0x009C0000	0x01380000	Stakes Winner
79	0x0089	110	0x06400000	0x22B00000	0x12000000	0x009E0000	0x013C0000	Pulstar
80	0x0090	110	0x06700000	0x24700000	0x12800000	0x00A00000	0x01400000	World Heroes Perf
81	0x0092	110	0x06900000	0x26300000	0x12E00000	0x00A20000	0x01440000	Kabuki Klash
82	0x0093	110	0x06B00000	0x27300000	0x13600000	0x00A40000	0x01480000	Neo Bomberman
83	0x0094	120	0x06C00000	0x27C00000	0x13C00000	0x00A60000	0x014C0000	Voltage Fighter
84	0x0095	110	0x06E00000	0x28C00000	0x14200000	0x00A80000	0x01500000	Real Bout FF
85	0x0096	110	0x07100000	0x2A800000	0x14E00000	0x00AA0000	0x01540000	Art of Fighting 3
86	0x0097	110	0x07400000	0x2C400000	0x15400000	0x00AC0000	0x01580000	Aero Fighters 3
87	0x0098	110	0x07600000	0x2D000000	0x15A00000	0x00AE0000	0x015C0000	Mahj.F.Romance 2
88	0x0200	110	0x07700000	0x2D800000	0x15C00000	0x00B00000	0x01600000	Neo Turf Masters
89	0x0201	110	0x07900000	0x2E000000	0x16400000	0x00B20000	0x01640000	Metal Slug
90	0x0202	130	0x07B00000	0x2F000000	0x16C00000	0x00B40000	0x01680000	Puzzle De Pon!
91	0x0203	120	0x07C00000	0x2F200000	0x16E00000	0x00B60000	0x016C0000	Master of Syougi
92	0x0206	110	0x07D00000	0x2F600000	0x17000000	0x00B80000	0x01700000	Marukochan Quiz
93	0x0207	120	0x07E00000	0x30000000	0x17400000	0x00BA0000	0x01740000	Neo Mr. Do!
94	0x0208	110	0x07F00000	0x30400000	0x17600000	0x00BC0000	0x01780000	Super Dodge Ball
95	0x0209	110	0x08100000	0x31000000	0x17A00000	0x00BE0000	0x017C0000	Goal! Goal! Goal!
96	0x0211	120	0x08300000	0x31A00000	0x17C00000	0x00C00000	0x01800000	Zintrick
97	0x0212	110	0x08400000	0x31E00000	0x17E00000	0x00C20000	0x01840000	Over Top
98	0x0213	110	0x08600000	0x33200000	0x18200000	0x00C40000	0x01880000	Neo Drift Out
99	0x0214	110	0x08800000	0x33A00000	0x18600000	0x00C60000	0x018C0000	K.O.F. '96
100	0x0215	110	0x08B00000	0x35A00000	0x19000000	0x00C80000	0x01900000	Super Sidekicks 4
101	0x0216	110	0x08D00000	0x36E00000	0x19600000	0x00CA0000	0x01940000	Kizuna Encounter
102	0x0217	110	0x08F00000	0x38A00000	0x19E00000	0x00CC0000	0x01980000	Ninja Master's
103	0x0218	110	0x09200000	0x3AA00000	0x1A400000	0x00CE0000	0x019C0000	Ragnagard
104	0x0219	110	0x09400000	0x3CA00000	0x1AC00000	0x00D00000	0x01A00000	Pleasure Goal
105	0x0220	110	0x09600000	0x3D600000	0x1B000000	0x00D20000	0x01A40000	Ironclad
106	0x0221	110	0x09800000	0x3E600000	0x1B400000	0x00D40000	0x01A80000	Magical Drop II
107	0x0222	110	0x09900000	0x3EE00000	0x1B600000	0x00D60000	0x01AC0000	Samurai Shodown 4
108	0x0223	110	0x09E00000	0x40E00000	0x1C000000	0x00D80000	0x01B00000	Real Bout FF Spec.
109	0x0224	110	0x0A300000	0x42E00000	0x1CC00000	0x00DA0000	0x01B40000	Twinkle Star Spr.
110	0x0225	110	0x0A500000	0x43800000	0x1D200000	0x00DC0000	0x01B80000	Waku Waku 7
111	0x0227	110	0x0A800000	0x45000000	0x1DA00000	0x00DE0000	0x01BC0000	Stakes Winner 2
112	0x0228	110	0x0AA00000	0x45C00000	0x1E200000	0x00E00000	0x01C00000	Ghostlop
113	0x0230	110	0x0AB00000	0x46400000	0x1E400000	0x00E20000	0x01C40000	Breakers
114	0x0231	120	0x0AD00000	0x47400000	0x1EC00000	0x00E40000	0x01C80000	Money Puzzle Exch.
115	0x0232	100	0x0AE00000	0x47A00000	0x1F000000	0x00E60000	0x01CC0000	K.O.F. '97
116	0x0233	110	0x0B300000	0x4A200000	0x1FC00000	0x00E80000	0x01D00000	Magical Drop III
117	0x0234	100	0x0B400000	0x4B200000	0x20200000	0x00EA0000	0x01D40000	Last Blade
118	0x0235	130	0x0B900000	0x4DA00000	0x21200000	0x00EC0000	0x01D80000	Puzzle De Pon! R!
119	0x0236	110	0x0BA00000	0x4DC00000	0x21400000	0x00EE0000	0x01DC0000	Irritating Maze
120	0x0237	120	0x0BC00000	0x4E400000	0x21800000	0x00F00000	0x01E00000	Pop 'n Bounce
121	0x0238	110	0x0BD00000	0x4E800000	0x21A00000	0x00F20000	0x01E40000	Shock Troopers
122	0x0239	110	0x0C200000	0x50800000	0x22000000	0x00F40000	0x01E80000	Blazing Star
123	0x0240	100	0x0C500000	0x52800000	0x22800000	0x00F60000	0x01EC0000	Real Bout FF 2
124	0x0241	100	0x0CA00000	0x55800000	0x23600000	0x00F80000	0x01F00000	Metal Slug 2
125	0x0941	100	0x0CD00000	0x57800000	0x23E00000	0x00FA0000	0x01F40000	Metal Slug 2 Turbo
126	0x0242	100	0x0D000000	0x59800000	0x24600000	0x00FC0000	0x01F80000	K.O.F. '98
127	0x0243	100	0x0D600000	0x5D800000	0x25600000	0x00FE0000	0x01FC0000	Last Blade 2
128	0x0244	100	0x0DB00000	0x60800000	0x26600000	0x01000000	0x02000000	Neo-Geo Cup '98
129	0x0245	110	0x0DD00000	0x61800000	0x26C00000	0x01020000	0x02040000	Breakers Revenge
130	0x0246	100	0x0DF00000	0x63000000	0x27400000	0x01040000	0x02080000	Shock Troopers 2nd
131	0x0247	120	0x0E400000	0x66000000	0x27E00000	0x01060000	0x020C0000	Battle Flip Shot
132	0x0248	110	0x0E500000	0x66400000	0x28000000	0x01080000	0x02100000	Puzzle Bobble 2
133	0x0249	110	0x0E600000	0x66E00000	0x28800000	0x010A0000	0x02140000	Captain Tomaday
134	0x0250	100	0x0E800000	0x67600000	0x28E00000	0x010C0000	0x02180000	Metal Slug X
135	0x0251	100	0x0ED00000	0x6A600000	0x29800000	0x010E0000	0x021C0000	K.O.F. '99
136	0x0252	100	0x0F200000	0x6E600000	0x2A600000	0x01100000	0x02200000	Ganryu
137	0x0253	100	0x0F400000	0x6F600000	0x2AA00000	0x01120000	0x02240000	Garou MotW
138	0x0254	100	0x0F900000	0x73600000	0x2BA00000	0x01140000	0x02280000	Strikers 1945 +
139	0x0255	100	0x0FE00000	0x77600000	0x2CA00000	0x01160000	0x022C0000	Prehistoric Isle 2
140	0x0256	100	0x10300000	0x7A600000	0x2D000000	0x01180000	0x02300000	Metal Slug 3
141	0x0257	100	0x10800000	0x7E600000	0x2E000000	0x011A0000	0x02340000	K.O.F. 2000
142	0x0259	100	0x10D00000	0x82600000	0x2F000000	0x011C0000	0x02380000	Bang Bead
143	0x0260	100	0x10F00000	0x83600000	0x2F600000	0x011E0000	0x023C0000	Nightmare in Dark
144	0x0261	100	0x11000000	0x84600000	0x2FA00000	0x01200000	0x02400000	Sengoku 3
145	0x0262	100	0x11200000	0x86600000	0x30800000	0x01220000	0x02440000	K.O.F. 2001
146	0x0263	100	0x11700000	0x8A600000	0x31800000	0x01240000	0x02480000	Metal Slug 4
147	0x0264	100	0x11C00000	0x8D600000	0x32800000	0x01260000	0x024C0000	Rage of Dragons
148	0x0265	100	0x12400000	0x91600000	0x33800000	0x01280000	0x02500000	K.O.F. 2002
149	0x0266	100	0x12900000	0x95600000	0x34800000	0x012A0000	0x02540000	Matrimelee
150	0x0267	100	0x12E00000	0x99600000	0x35800000	0x012C0000	0x02580000	Pochi and Nyaa
151	0x0268	100	0x12F00000	0x9A600000	0x35C00000	0x012E0000	0x025C0000	Metal Slug 5
152	0x0269	100	0x13400000	0x9E600000	0x36C00000	0x01300000	0x02600000	SNK vs. Capcom
153	0x0270	100	0x13A00000	0xA2600000	0x37C00000	0x01320000	0x02640000	Samurai Shodown 5
154	0x0273	100	0x14200000	0xA6600000	0x38C00000	0x01340000	0x02680000	Samurai Shodown 5P
155	0x0271	100	0x14A00000	0xAA600000	0x39C00000	0x01360000	0x026C0000	K.O.F. 2003
156	0x0272	100	0x15100000	0xAE600000	0x3AC00000	0x01380000	0x02700000	Samurai Shodown 5S
157	0x0420	101	0x15900000	0xB2600000	0x3BC00000	0x013A0000	0x02740000	Xevious
158	0x1234	100	0x15A00000	0xB2800000	0x3BE00000	0x013C0000	0x02780000	The Eye of Typhoon
159	0xBB01	130	0x16300000	0xB4800000	0x3CE00000	0x013E0000	0x027C0000	Xeno Crisis
160	0x2501	140	0x16500000	0xB4C00000	0x3DE00000	0x01400000	0x02800000	240p Test Suite
------------------------------------------------------------------------------------------
				0x16600000	0xB4E00000	0x3E000000	0x01420000	0x02840000

ngh		gname				bram_len bram_addr
---------------------------------------------
0x0001: [NAM-1975        ] - 0x00A0, 0x090000
0x0002: [BASEBALL STARS  ] - 0x0010, 0x090000
0x0003: [TOP PLAYERS GOLF] - 0x0010, 0x090000
0x0004: [MAHJONG         ] - 0x0010, 0x090000
0x0005: [MAGICIAN LORD   ] - 0x0CB0, 0x090000
0x0006: [RIDING HERO     ] - 0x0010, 0x090000
0x0007: [ ALPHA MISSION2 ] - 0x00C0, 0x090000
0x0009: [  NINJA COMBAT  ] - 0x0C20, 0x090000
0x0010: [Cyber Lip       ] - 0x00A0, 0x090000
0x0011: [THE SUPER SPY   ] - 0x0050, 0x090000
0x0014: [MUTATION NATION ] - 0x0100, 0x090000
0x0016: [KING OF MONSTERS] - 0x00A0, 0x090000
0x0017: [    SENGOKU     ] - 0x0090, 0x090000
0x0018: [BURNING FIGHT   ] - 0x0040, 0x090000
0x0019: [LEAGUE BOWLING  ] - 0x0250, 0x090000
0x0020: [GHOST PILOTS    ] - 0x0080, 0x090000
0x0021: [PUZZLED         ] - 0x00A0, 0x090000
0x0022: [BLUE'S JOURNEY  ] - 0x03D0, 0x090000
0x0023: [QUIZ DAISOUSASEN] - 0x0010, 0x090000
0x0024: [LAST RESORT     ] - 0x0060, 0x090000
0x0025: [EIGHT MAN       ] - 0x00A0, 0x090000
0x0027: [ßÔÊíØ ÄÅÈ÷ÊÞÒ÷Ì ] - 0x0010, 0x090000
0x0029: [L. OF S. JOE    ] - 0x01C0, 0x090000
0x0030: [Super Baseball  ] - 0x0020, 0x090000
0x0031: [SOCCER BRAWL    ] - 0x0030, 0x090000
0x0032: [Robo Army       ] - 0x00E0, 0x090000
0x0033: [FATAL FURY      ] - 0x0040, 0x090000
0x0034: [FOOTBALL FRENZY ] - 0x0030, 0x090000
0x0036: [Ù÷ÅÓ÷ØÊÞÞùË÷óí  ] - 0x0010, 0x090000
0x0037: [ CROSSED SWORDS ] - 0x0010, 0x090000
0x0038: [THRASH RALLY    ] - 0x04C0, 0x090000
0x0039: [KING MONSTERS 2 ] - 0x0070, 0x090000
0x0040: [SENGOKU 2       ] - 0x0060, 0x090000
0x0041: [Baseball Stars 2] - 0x0040, 0x090000
0x0042: [QUIZ 2          ] - 0x0010, 0x090000
0x0043: [3 COUNT BOUT    ] - 0x00E0, 0x090000
0x0044: [ART OF FIGHTING ] - 0x00B0, 0x090000
0x0045: [SAMURAI         ] - 0x0060, 0x090000
0x0046: [Top Hunter      ] - 0x0080, 0x090000
0x0047: [FATAL FURY2     ] - 0x0060, 0x090000
0x0048: [?·³­?­ ?·­�?    ] - 0x0010, 0x090000
0x0049: [ANDRO DUNOS     ] - 0x0880, 0x090000
0x0050: [NINJA COMMANDO  ] - 0x0F50, 0x090000
0x0051: [VIEW-POINT      ] - 0x0110, 0x090000
0x0052: [SUPER SIDEKICKS ] - 0x0010, 0x090000
0x0053: [WORLD HEROES    ] - 0x0A10, 0x090000
0x0054: [CROSSED SWORDS 2] - 0x0C80, 0x090000
0x0055: [KING OF FIGHTERS] - 0x0060, 0x090000
0x0056: [ART OF FIGHTING2] - 0x00B0, 0x090000
0x0057: [WORLD HEROES 2  ] - 0x0A10, 0x090000
0x0058: [FATAL FURY -SP  ] - 0x0040, 0x090000
0x0059: [[ SAVAGE REIGN ]] - 0x0060, 0x090000
0x0060: [  FIGHT FEVER   ] - 0x0080, 0x090000
0x0061: [SUPER SIDEKICKS2] - 0x0060, 0x090000
0x0062: [SPIN MASTER     ] - 0x0030, 0x090000
0x0063: [SAMURAI SHODOWN2] - 0x0030, 0x090000
0x0064: [   WH  2  JET   ] - 0x0210, 0x090000
0x0065: [WINDJAMMERS     ] - 0x0270, 0x090000
0x0066: [KARNOV'S REVENGE] - 0x0050, 0x090000
0x0067: [GU RU RI N      ] - 0x0EB0, 0x090000
0x0068: [POWER SPIKES II ] - 0x0050, 0x090000
0x0069: [FATAL FURY 3    ] - 0x00B0, 0x090000
0x0070: [ ZUPAPA EUROPE  ] - 0x0040, 0x090000
0x0071: [BANGBANG BUSTERS] - 0x0040, 0x090000
0x0073: [PANIC BOMBER    ] - 0x0050, 0x090000
0x0074: [  DARK KOMBAT   ] - 0x09B0, 0x090000
0x0075: [AERO FIGHTER 2  ] - 0x0070, 0x090000
0x0076: [ZED BLADE       ] - 0x0050, 0x090000
0x0078: [GALAXY FIGHT    ] - 0x0080, 0x090000
0x0079: [STREET HOOP     ] - 0x0830, 0x090000
0x0080: [ÇÁÌ÷ÆíÇ÷ÄÛ÷ÛîÁÏù] - 0x0010, 0x090000
0x0081: [SUPER SIDEKICKS3] - 0x0120, 0x090000
0x0082: [ DOUBLE DRAGON  ] - 0x0060, 0x090000
0x0083: [PUZZLE BOBBLE   ] - 0x0010, 0x090000
0x0084: [K.O.F. 95       ] - 0x0060, 0x090000
0x0086: [WORLD SOCCER '96] - 0x0090, 0x090000
0x0087: [SamuraiShodown 3] - 0x0010, 0x090000
0x0088: [STAKES WINNER   ] - 0x0BF0, 0x090000
0x0089: [PULSTAR         ] - 0x0010, 0x090000
0x0090: [   WH  PERFECT  ] - 0x0210, 0x090000
0x0092: [KABUKI KLASH    ] - 0x0040, 0x090000
0x0093: [ BOMBER MAN     ] - 0x0190, 0x090000
0x0094: [GOWCAIZER       ] - 0x00A0, 0x090000
0x0095: [REAL BOUT       ] - 0x0270, 0x090000
0x0096: [THE PATH OF THE ] - 0x0090, 0x090000
0x0097: [SONIC WINGS 3   ] - 0x00F0, 0x090000
0x0098: [FINAL ROMANCE 2 ] - 0x0010, 0x090000
0x0200: [NEO TURF MASTERS] - 0x00E0, 0x090000
0x0201: [METAL SLUG      ] - 0x0080, 0x090000
0x0202: [PUZZLE DE PON!  ] - 0x0010, 0x090000
0x0203: [  ?µ??·?�??·­   ] - 0x0010, 0x090000
0x0206: [?¨? Ò·æöÇÌÇÁÌ·  ] - 0x0010, 0x090000
0x0207: [ NEO MR.DO      ] - 0x0030, 0x090000
0x0208: [SUPER DODGE BALL] - 0x01A0, 0x090000
0x0209: [GOAL!GOAL!GOAL! ] - 0x0170, 0x090000
0x0211: [ZINTRICK        ] - 0x0020, 0x090000
0x0212: [      OVER  TOP ] - 0x0110, 0x090000
0x0213: [DRIFT OUT       ] - 0x0370, 0x090000
0x0214: [K.O.F.'96       ] - 0x0090, 0x090000
0x0215: [THE ULTIMATE 11 ] - 0x01C0, 0x090000
0x0216: [KIZUNA ENCOUNTER] - 0x0100, 0x090000
0x0217: [ NINJA MASTER'S ] - 0x0F50, 0x090000
0x0218: [RAGNAGARD       ] - 0x0040, 0x090000
0x0219: [PLEASUREGOAL    ] - 0x0060, 0x090000
0x0220: [IRONCLAD        ] - 0x0020, 0x090000
0x0221: [MAGICAL DROP 2  ] - 0x02B0, 0x090000
0x0222: [SAMURAISHODOWN 4] - 0x1000, 0x090000
0x0223: [REAL BOUT SP    ] - 0x0370, 0x090000
0x0224: [  T-S-SPRITES   ] - 0x0190, 0x090000
0x0225: [WAKUWAKU7       ] - 0x0070, 0x090000
0x0227: [STAKES WINNER2  ] - 0x0070, 0x090000
0x0228: [GHOSTLOP        ] - 0x0080, 0x090000
0x0230: [BREAKERS        ] - 0x0070, 0x090000
0x0231: [EXCHANGER       ] - 0x00F0, 0x090000
0x0232: [K.O.F.'97       ] - 0x00B0, 0x090000
0x0233: [MAGICAL DROP 3  ] - 0x02C0, 0x090000
0x0234: [ THE LAST BLADE ] - 0x0090, 0x090000
0x0235: [PUZZLE DE PON!R ] - 0x0010, 0x090000
0x0236: [Irritating Maze ] - 0x0340, 0x090000
0x0237: [POP N BOUNCE    ] - 0x0660, 0x090000
0x0238: [SHOCK TROOPERS  ] - 0x0030, 0x090000
0x0239: [BLAZING STAR    ] - 0x0E90, 0x090000
0x0240: [REAL BOUT 2     ] - 0x07A0, 0x090000
0x0241: [METAL SLUG 2    ] - 0x0070, 0x090000
0x0941: [METALSLUG2 TURBO] - 0x0010, 0x090000
0x0242: [K.O.F.'98       ] - 0x00E0, 0x090000
0x0243: [THE LAST BLADE 2] - 0x01C0, 0x090000
0x0244: [NEOGEO CUP '98  ] - 0x0120, 0x090000
0x0245: [BREAKERS REVENGE] - 0x0070, 0x090000
0x0246: [SHOCK TROOPERS 2] - 0x0130, 0x090000
0x0247: [FLIP SHOT       ] - 0x0030, 0x090000
0x0248: [PUZZLE BOBBLE 2 ] - 0x0120, 0x090000
0x0249: [CAPTAIN TOMADAY ] - 0x0060, 0x090000
0x0250: [METAL SLUG X    ] - 0x0060, 0x090000
0x0251: [K.O.F.'99       ] - 0x00E0, 0x090000
0x0252: [GANRYU          ] - 0x0340, 0x090000
0x0253: [GAROU MOW       ] - 0x0590, 0x090000
0x0254: [STRIKERS1945PLUS] - 0x0080, 0x090000
0x0255: [PREHISTORIC ISLE] - 0x0040, 0x090000
0x0256: [METAL SLUG 3    ] - 0x0080, 0x090000
0x0257: [K.O.F. 2000     ] - 0x00E0, 0x090000
0x0259: [BANG BEAD       ] - 0x0060, 0x090000
0x0260: [NIGHTMARE       ] - 0x01E0, 0x090000
0x0261: [SENGOKU 3       ] - 0x0080, 0x090000
0x0262: [K.O.F. 2001     ] - 0x0100, 0x090000
0x0263: [METAL SLUG 4    ] - 0x0080, 0x090000
0x0264: [R DRAGON        ] - 0x0090, 0x090000
0x0265: [K.O.F. 2002     ] - 0x00C0, 0x090000
0x0266: [TOKON MATRIMELEE] - 0x0040, 0x090000
0x0267: [POCHI&NYAA V2.00] - 0x0010, 0x090000
0x0268: [METAL SLUG 5    ] - 0x0080, 0x090000
0x0269: [- S.V.C.CHAOS - ] - 0x00B0, 0x090000
0x0270: [SAMURAISHODOWN 5] - 0x1000, 0x090000
0x0273: [SAMSHO 5 SPECIAL] - 0x1000, 0x090000
0x0271: [// K.O.F. '03 //] - 0x0080, 0x090000
0x0272: [SAMSHO 5 SPECIAL] - 0x1000, 0x090000
0x0420: [XEVIOUS         ] - 0x0010, 0x090000
0x1234: [TEOT BALEK 2022 ] - 0x0010, 0x090000
0xBB01: [XENO CRISIS     ] - 0x0080, 0x090000
0x2501: [240P TEST SUITE ] - 0x0010, 0x090000

Done.

@jwestfall69
Copy link
Contributor

I'm still a novice with verilog/cpld, but you can get it to compile with the following adjustment to the project

Go to Assignments -> Settings... -> Analysis & Synthesis Settings

Then change Optimization Technique to Area.

@pluger
Copy link
Author

pluger commented Oct 13, 2023

Its working, I made the changes "Go to Assignments -> Settings... -> Analysis & Synthesis Settings

Then change Optimization Technique to Area."

Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Info: Processing started: Fri Oct 13 21:20:22 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off prog_cp1 -c prog_cp1 Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file /161in1mod-vtxcart-main/test/cpld/mvs/prog_cp1/rtl/cp1_top.v Info (12023): Found entity 1: cp1_top Info (12127): Elaborating entity "cp1_top" for the top level hierarchy Info (278001): Inferred 1 megafunctions from design logic Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add0" Info (12130): Elaborated megafunction instantiation "lpm_add_sub:Add0" Info (12133): Instantiated megafunction "lpm_add_sub:Add0" with the following parameter: Info (12134): Parameter "LPM_WIDTH" = "9" Info (12134): Parameter "LPM_DIRECTION" = "ADD" Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED" Info (12134): Parameter "ONE_INPUT_IS_CONSTANT" = "NO" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[1]", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[1]|a_csnbuffer:oflow_node", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[1]|a_csnbuffer:result_node", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[0]", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|look_add:look_ahead_unit", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:carry_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (280013): Promoted pin-driven signal(s) to global signal Info (280014): Promoted clock signal driven by pin "nPORTWEL" to global clock signal Info (280015): Promoted clear signal driven by pin "nRESET" to global clear signal Warning (21074): Design contains 6 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "nPORTWEU" Warning (15610): No output dependent on input pin "nROMOEL" Warning (15610): No output dependent on input pin "nROMOEU" Warning (15610): No output dependent on input pin "nAS" Warning (15610): No output dependent on input pin "M68K_RW" Warning (15610): No output dependent on input pin "nRESET2" Info (21057): Implemented 273 device resources after synthesis - the final resource count might be different Info (21058): Implemented 30 input pins Info (21059): Implemented 40 output pins Info (21060): Implemented 24 bidirectional pins Info (21063): Implemented 131 macrocells Info (21073): Implemented 48 shareable expanders Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 8 warnings Info: Peak virtual memory: 4587 megabytes Info: Processing ended: Fri Oct 13 21:20:26 2023 Info: Elapsed time: 00:00:04 Info: Total CPU time (on all processors): 00:00:04 Info: ******************************************************************* Info: Running Quartus II 64-Bit Fitter Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Info: Processing started: Fri Oct 13 21:20:26 2023 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off prog_cp1 -c prog_cp1 Info: qfit2_default_script.tcl version: #1 Info: Project = prog_cp1 Info: Revision = prog_cp1 Warning (20028): Parallel compilation is not licensed and has been disabled Info (119006): Selected device EPM3256ATC144-10 for design "prog_cp1" Info: Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning Info: Peak virtual memory: 4729 megabytes Info: Processing ended: Fri Oct 13 21:20:28 2023 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:02 Info: ******************************************************************* Info: Running Quartus II 64-Bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Info: Processing started: Fri Oct 13 21:20:30 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off prog_cp1 -c prog_cp1 Info (115030): Assembler is generating device programming files Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 4520 megabytes Info: Processing ended: Fri Oct 13 21:20:31 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 Info (293026): Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER Info: ******************************************************************* Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Info: Processing started: Fri Oct 13 21:20:32 2023 Info: Command: quartus_sta prog_cp1 -c prog_cp1 Info: qsta_default_script.tcl version: #1 Warning (20028): Parallel compilation is not licensed and has been disabled Info (306004): Started post-fitting delay annotation Info (306005): Delay annotation completed successfully Warning (335095): TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family. Info (332104): Reading SDC File: 'prog_cp1.sdc' Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" Info (332105): Deriving Clocks Info (332105): create_clock -period 1.000 -name nPORTWEL nPORTWEL Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Critical Warning (332148): Timing requirements not met Info (332146): Worst-case setup slack is -14.400 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== Info (332119): -14.400 -40.100 nPORTWEL Info (332146): Worst-case hold slack is 6.900 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== Info (332119): 6.900 0.000 nPORTWEL Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is -3.500 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== Info (332119): -3.500 -77.000 nPORTWEL Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings Info: Peak virtual memory: 4528 megabytes Info: Processing ended: Fri Oct 13 21:20:33 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 Info (293000): Quartus II Full Compilation was successful. 0 errors, 12 warnings

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants