Skip to content

Release history

Juan Gonzalez-Gomez edited this page Jun 21, 2024 · 366 revisions

Introduction

Here you can find the release notes for the different versions of Icestudio

Index

Version Summary of changes (Short)
Latest changes Latest commits in develop
✅️0.12 (Stable) Toolchain:apio 0.9.5, OSS-cad-suite 0.0.9
✅️0.11 (Stable) Toolchain: apio 0.8.3, OSS-cad-suite 0.0.8
0.10.1w202302160402 Serial terminal improved (v0.2). Node upgraded: 17.3.0 ➡️ 19.3.0
0.10.1w202302040102 New edit options: Duplicate/Delete. Serial monitor update. New boards. Translations. Bug fixed
✅️0.10 (Stable) Toolchain: apio 0.8.1, OSS-cad-suite 0.0.8
0.9.2ww202205140605 Serial term: Dark theme. Hex View. Local Echo by default. Support for the IceWerx board
0.9.2w202205020505 Apio upgraded: 0.8.0.post1 ➡️ 0.8.1. OSS-cad-suite: 0.0.7 ➡️ 0.0.8. Plugin panel auto-hidden
➡️0.9.2w202204190304 Stable plugin version. New moveable collection panel. New Plugins panel
0.9.1w202204070704 Translation to Japanese. Paired labels option. Bug fixed and code refactorization
0.9.1w202203220803 Many annoying Graphical Bugs fixed
0.9.1w202203200503 Upgrades. Node: 16.10.0 ➡️ 17.3.0, NW: 0.58.1 ➡️ 0.60.0. Gruntfile refactored
0.9.1w202202101202 Upgrades. Node: 16.4.0 ➡️ 16.10.0, NW: 0.54.1 ➡️ 0.58.0, grunt-contrib-jshint: 3.0.0 ➡️ 3.1.1, angular-gettext: ➡️ 2.4.2
✅️0.9.0-1 (Stable) Critical bug fixed. Error: module 'click' has no attribute 'get_terminal_size' when building circuits
✅️0.9 (Stable) Toolchain: apio 0.8, OSS-cad-suite 0.0.7, Basic Toolbox, Label Finder panel, Collection manager displayed by default
0.8.2w202201171101 Plugin example updated. Bug fixed
0.8.2w202201110401 Label-Forms: "color-dropdown" upgrade. Chinese translation updated
0.8.2w202201090701 Collection manager automatically launched. Label finder improvements
➡️0.8.2w202201070601 Apio bumped to 0.8.0. Scons 4.20. Apio oss-cad-suite: 0.0.7
0.8.1w202201070601 Label finder: More improvements. Undo/Redo added
0.8.1w202201030601 Label finder fixes and improvement. Latest apio stable wired to 0.8.0
0.8.1w202201020301 More advanced Label Finder
0.8.1w202112300112 Improvement on the Label Finder
0.8.1w202112281212 Floating Basic menu. Icons for Verify, Build and Upload
0.8.1w202112230812 Label Search implementation ("first tests")
0.8.1w202112171112 Plugin and Collection manager updates
0.8.1w202112120512 Live reload when change between Themes
0.8.1w202112090112 Github actions. Build node bumped to 16.4.0. Virtual machine upgraded to Ubuntu 20.04 for Linux and ARM (but not for windows)
✅️0.8 (Stable) Big Node and NWjs upgrade. It includes all the features from the development versions since the last 0.7 stable release
0.7.1w202111300411 Dark mode monokai mod
0.7.1w202109100309 Bugs Fixed. Aarch64 architecture added
0.7.1w202108130708 Bug Fixed. Turkish translation added
0.7.1w202108110608 Optimizations: Large designs are rendered faster on the screen
0.7.1w202108080208 Click to select a component. FleaFPGA-Ohm board added
0.7.1w202108020408 NWjs 0.54.1 stabilization. Bugs Fixed
0.7.1w202107291007 Big NWjs upgrade!: 0.35.5 ➡️ 0.54.1. Node upgrade: 11.6.0 ➡️ 16.4.0
✅️0.7 (Stable) Documentation. Packages upgraded. It includes all the features from the development versions since the last 0.6 stable release
0.6.1w202107260407 Apio version 0.7.6. Bug fixed
0.6.1w202107250907 Bower removed. All packages installed from NPM
0.6.1w202107241107 Some grunt packages removed. Cleanning.
0.6.1w202107230607 Grunt packages upgraded. Cleanning.
0.6.1w202107221107 Support for 32-bits platforms removed (only 64-bits platforms)
0.6.1w202107211007 Apio version 0.7.5. Colorlight boards Supported
0.6.1w20210719 FPGA resources display updated
0.6.1w20210715 Ace edit updated (1.4.12). Bug fixed
✅️0.6 (Stable) Toolchain installation improved. It includes all the features from the development versions since the last 0.5 stable release
0.5.1n210224 Initial collection manager
0.5.1n210107 ice-build folder, verilog generation improved, support for the icesugar board
0.5.1n201009 iceRok plugin: Measure your circuits! Support for new boards: ULX3S, EDU-CIAA-FPGA, Alchitry Cu FPGA board
0.5.1n200523 UI Theme Support. Dark Theme added
0.5.1n200403 Nextpnr, ECP5 FPGA family, Initial plugin system
0.5.1n200331 Embedded serial terminal
0.5.1 Bug Fixes, optimizations
✅️0.5.0 Fast & Furious: New important features
✅️0.4.0 Support for UP5K boards. Bugfixes
✅️0.3.3 Apio 0.3.6. Improvements
0.3.3-rc External collections. Translations
0.3.3-beta New File formata version 1.2. Apio 0.3.3. Memory blocks
✅️0.3.2 Bug fixed. Translations updated
0.3.2-beta New boards: TinyFPGA, Blackice
✅️0.3.1 FPGA resources option. New boards added
0.3.1-rc Improvements
✅️0.3.0 Update apio to 0.2.4. Improve upload speed
0.3.0-rc Verilog error detection
0.3.0-beta3 Windows installer, Board rules
0.3.0-beta2 New project format. 1.1. Multiple windows
0.3.0-beta New project format 1.0
✅️0.2.3 Bug fixed. Translations
0.2.3-beta Add travis CI. Tri-state blocks
0.2.2 Apio version 0.2.0. Improved verilog generation
0.2.1 Translations. More supported boards
✅️0.2.0 More logic gates. DMG packaging for mac
0.2.0-beta2 Improvements
0.2.0-beta1 Refactoring
0.1.0 Prototype release

Latests changes

Version 0.12 (Stable)

  • Date: 2024-Jun-21

Summary

  • Apio: 0.9.5
  • Oss-cad-suite package: 0.0.9 (3 Oct. 2023)
  • Node upgraded ➡️ v21.1.0
  • NWJS upgraded ➡️ 0.83.0 (NOTE: ARM uses NWJS 0.60)
  • Chromium upgraded ➡️ 120.0.6099.129
  • Default collection: 0.4.1
  • Support for MacOs ARM
  • Add support for inout ports

Changes

  • Fix bug in serial terminal speed presets (@cavearr)
  • Install libfuse2 in github actions for appimage generation (@cavearr)
  • Updated appimage generation to meet current appimage standards. Grunt appimage removed (@cavearr)
  • Default collection: bumped to version 0.4.1 (@obijuan)
  • Automatic sign dmg osx package in github actions (@cavearr)
  • OSX M1/M2 cpu github build (@cavearr)
  • Add tooltips to Label finder, add more translations (@TimRudy)
  • IceCream support start (@cavearr)
  • Edit text strings, add some translations, fix "Installing" translation (@TimRudy)
  • Improve Gruntfile notes, cleanup (@TimRudy)
  • Adjust developer notes and script for translation (@TimRudy)
  • Text edit the Terminal instructions (@TimRudy)
  • Clean and update dev steps (@TimRudy)
  • Add target to README for opening page (@TimRudy)
  • Update Czech translation. Thanks to [email protected]
  • Spanish translation updated (@obijuan)
  • Add hover text to side menu (plugins) (@TimRudy)
  • Apio stable version changed to 0.9.5 (@obijuan)
  • Upgrade to Oss-cad-suite 0.0.9 (@obijuan)
  • Test and fix code blocks with the tri-state feature (@TimRudy)
  • Test and fix hide/show of the tri-state feature (@TimRudy)
  • Organize Preferences menu and add hide/show of the tri-state feature (@TimRudy)
  • Update French translations (@pcotret)
  • Add support for Theta Machines ETH4K (@will-hut)
  • Alchity-cu: Fix wrong pin numbers reported and fixed by @juanmicro
  • Add OSX arm 64 native bundle (@cavearr)
  • Improve OSX DMG generation with codesign capabilities (@cavearr)
  • Upgrade to nwjs 0.83.0 (@cavearr)
  • Added native support for OSX arm64 (@cavearr)
  • Update japanese translation (@kanade)
  • Fix problems with dmg package bundler for osx (@cavearr)
  • Add "SysClkMhz" as global value (@jojo535275)
  • Support for signed ports (@cavearr)
  • Improve name port pattern (@cavearr)
  • Update compiler.js (@jojo535275)
  • Add support for inout ports (@cavearr)

Version 0.11 (Stable)

  • Date: 2023-Oct-09

Summary

  • Apio: 0.8.3
  • Apio Oss-cad-suite package: 0.0.8
  • Node upgraded ➡️ v20.1.0
  • NWJS upgraded ➡️ 0.76.1 (NOTE: ARM uses NWJS 0.60)
  • New Boards: Butterstick r10 & OrangeCrab r02
  • Fixed CLK Pin in iCESugar-Pro board
  • Collection Manager: Serveral improvements and position/size updated

  • Serial Terminal: Buf fixed in hex view
  • Serial Terminal: More intuitive behaviour with all the possible combinations of config options (local echo, flush, hex, on enter send)
  • Github actions updated
  • Wip: 0.10.1w202302160402
  • Wip: 0.10.1w202302040102

0.10.1w202302160402

  • Upgrades: (@cavearr) (2023-Feb-16)

    • Node: 17.3.0 ➡️ 19.3.0
    • async: 1.5.2 ➡️ 3.2.4
    • jquery: 3.0.0 ➡️ 3.6.3
  • Update of serial terminal plugin to v0.2 (@jojo535275) (2023-Feb-16)

    • various functions added to make the serial monitor closer to a shell-like terminal
    • possibility to navigate and edit the entries, access to an history of the entries previously sent to serial port,.... Read the "user manual" accessible when serial terminal window is opened for more details


0.10.1w202302040102

This wip includes all the changes since 2022-May-14 (In which the stable branch was frozen):

  • New features:

    • New edit-duplicate action with shortcut (@mgesteiro) (2023-Feb-02)
    • Added edit-delete menu option/action (@mgesteiro) (2023-Jan-30)
    • Serial monitor update (@jojo535275) (2022-Nov-28)
      • typo correction
      • added the option "CR only"
      • put the "CR+LF" as default option" !
    • Better support python detection (@cavearr) (2022-Nov-21)
    • Enable driver installation on apple silicon machines (@Saij) (2022-Nov-09)
  • Boards:

    • Added the support of the Pico-Ice board (@Fernando Mosquera) (2023-Jan-13)
    • Add ft232 programer for colorligth i9 (@jojo535275) (2022-Dec-22)
    • Alchitry-Cu board: Update information (@Doom4535) (2022-Nov-07)
    • Add colorligth i9_usb-blaste (@jojo535275) (2022-Nov-05)
    • Support for the MCH2022 badge board (@cavearr) (2022-Jul-06)
    • Colorligth i9 board (@jojo535275) (2022-May-29)
  • Bugs fixed:

    • Fix #628: Add RX and TX pin for icefun board (@laulin) (2022-Jun-03)
    • Fix save and save as into submodule (@cavearr) (2022-May-24)
    • Fixed the error "arch: brew not found in PATH" while installing driver (@Saij) (2022-Nov-19)
    • Fix python executable detection (@cavearr) (2022-Nov-22)
    • Typos and cosmetic index.html. More cosmetic: homogenization of html tags and comments (@mgesteiro) (2023-Feb-01)
  • Translations:

    • Update Russian translation (@aqumu) (2022-Jun-20)
    • Update German Translation (@RBEGamer) (2023-Jan-9)
    • Automated languages translation percentage (@mgesteiro) (2023-Feb-03)

Version 0.10 (Stable)

  • Date: 2023-Feb-04

Summary

  • It includes all the features and bug fixes developed during the wip cycle. This wip cycle was frozen in 2022 May 14th
  • NW 0.60.0
  • Apio 0.8.1
  • Apio oss-cad-suite 0.0.8
  • First stable plugin version
  • New moveable collection panel
  • New plugins panel
  • Many parts of the code have been refactored and documented
  • Many bugs fixed

Thanks to all the people involve in Testing this version for releasing it as stable (FPGAwars thread)

Summary of changes since 0.9 stable release:

  • New Features:
    • Serial term plugin: (@obijuan)
      • Local echo activated by default
      • Theme changed to dark
      • Hex view mode added
    • Plugin panel: it is auto-hiden when not used. It is shown again when the mouse pointer is over it (@cavearr)
    • Basic menu in the top bar (again) (@obijuan)
    • First stable plugin version (@cavearr)
    • New moveable collection panel (@cavearr)
    • New plugins panel (@cavearr)
    • Toolbox: New Paired Labels menu option: One input label and one output label can be placed at the same time, with the same name (@obijuan)
  • Translations:
  • Bug fixed: Many bugs fixed (@cavearr), (@obijuan)
  • New boards supported:
    • Added support for the iceWerx board (@obijuan)
    • Added UPDuino v3.1 support,
  • Packages upgraded:
    • Apio bumped to version 0.8.1 (@obijuan)
    • Apio oss-cad-suite package bumped to 0.0.8 (@obijuan)
    • NW upgraded: 0.58.0 ➡️ 0.60.0 (2022-01-07) (@obijuan)
    • Chromium updated: 95.0.4638.54 ➡️ 97.0.4692.71
    • grunt-contrib-jshint upgraded: 3.0.0 ➡️ 3.1.1 (@obijuan)
    • angular-gettext upgraded ➡️ 2.4.2 (@obijuan)
  • Tools used for development:
    • Node: v17.3.0
    • npm: 8.5.5
  • Code refactoring
    • GruntFile refactored, documented and simplified (@obijuan)

0.9.2w202205140605

  • Many Bug fixed (@cavearr)

  • Serial term plugin: (@obijuan)

    • Local echo activated by default
    • Theme changed to dark
    • Hex view mode added

  • Added support for the iceWerx board (@obijuan)

0.9.2w202205020505

  • Apio bumped to version 0.8.1 (@obijuan)

  • Apio oss-cad-suite package bumped to 0.0.8 (@obijuan)

  • Plugin panel: it is auto-hiden when not used. It is shown again when the mouse pointer is over it (@cavearr)

  • Icestudio windows have now a minimal size of 800x300 (Before they could be resize to almost 0 x 0) (@obijuan)

0.9.2w202204190304

  • Basic menu in the top bar (again) (@obijuan)

  • Code clean up, commented and refactored (@obijuan)

  • First stable plugin version (@cavearr)

  • New moveable collection panel (@cavearr)

  • Color names can now be translated into any Languages. Initially they has been translated into Spanish. For now the translated colors are only shown when creating or editing a label, but not in the Label Finder yet (@obijuan)

0.9.1w202204070704

  • Bug fixed: Pins assignments are not lost when re-sized (@obijuan)

  • Toolbox: New Paired Labels menu option: One input label and one output label can be placed at the same time, with the same name (@obijuan)

0.9.1w202203220803

Many annoying Graphical Bugs has been fixed: (@obijuan)

  • Bug fixed: The current circuit shown on the screen was centered/resize to fit into the windows every time the window was moved or resized. This behaviour it is not ok when working with large design, in which you have to focus on a specific area. If you move/resize the windows you lose this area. Now it does not happen anymore:

  • Bug fixed: When labels were edited their color was lost and the default one set (fucshia). It does no longer happens. Now each label preserves its color when edited

  • Bug fixed: When labels were edited and their bus size changed, they lost their colors. It has been fixed

  • Bug fixed: When ports were edited to change their bus size, their vertical position was changed. It has been fixed:

0.9.1w202203200503

  • Tools used for development:
    • Node: v17.3.0
    • npm: 8.5.5
  • NW upgraded: 0.58.0 ➡️ 0.60.0 (2022-01-07) (@obijuan)
  • Chromium updated: 95.0.4638.54 ➡️ 97.0.4692.71
  • GruntFile refactored, documented and simplified (@obijuan)
  • Arm Build script removed (included in the Gruntfile) (@obijuan)
  • Added UPDuino v3.1 support, modified UPDuino v3.0 PCF file to use --warn-no-port instead of -nowarn (@vr2045)

0.9.1w202202101202

  • Tools used for development:
    • Node: v16.10.0
    • npm: 8.4.1
  • NW upgraded: 0.54.1 ➡️ 0.58.0 (10-25-2021) (@obijuan)
  • grunt-contrib-jshint upgraded: 3.0.0 ➡️ 3.1.1 (@obijuan)
  • Github actions: Node updated: 16.4.0 ➡️ 16.10.0 (@obijuan)
  • angular-gettext upgraded ➡️ 2.4.2 (@obijuan)

Version 0.9.0-1 (Stable)

  • Date: 2022-April-3

Sumary

This is a Bug fixed release. It fixed a critical bug that avoided to build circuits if icestudio was installed after March the 28th (2022)

Since 2022-March-28 the package click, used by APIO, has been upgraded to the 8.1. Some deprecated functions in Apio are no longer available so the following error appeared any time a circuit was built:

There are errors in the design...
Error: module 'click'  has no attribute  'get_terminal_size'

build-bug

This bug has been solved in apio 0.8.0.post1. Icestudio 0.9.0-1 uses this version by default

Version 0.9 (Stable)

  • Date: 2022-Feb-10

Summary

  • It includes all the features and bug fixes developed during the wip cycle
  • The Toolchain has been updated. Now only the OSS-CAD-Suite package (via apio) is used
  • The Basic top menu has been moved to Edit/Toolbox. Now it is a moveble panel that can be located anyware
  • New Label Finder panel for searching for labels and chaging their names and colors
  • The Collection manager is shown on the right by default
  • External dependencies

Thanks to all the people involve in Testing this version for releasing it as stable (FPGAwars thread)

  • Diego (vascodh): Tested on Win 10
  • Demócrito: Tested on Win 10
  • Joaquim: Tested on Win 10
  • mslider: Tested on Win10 and macOS Sierra 10.12.6
  • Carlos Venegas:
    • Linux Ubuntu 21.04
    • Ubuntu 21.10
    • Linux Arch
    • Window 10
    • Mac OSX Monterey in CPU M1
  • Alejandro Mejia: Tested on MACOS Monterrey with M1 chip

Summary of changes since 0.8 stable release:

  • Icestudio Icons updated to the new style (@obijuan)


  • Spanish translation updated (@obijuan)
  • Plugin example updated (@obijuan)
  • Timeouts adjusted (@cavearr)
  • Bug fixed: No notification error shown when checking for the toolchain the first time (@obijuan)
  • Update chinese translation (@TheSnowfield)
  • Translations FR & ES for label finder and menu edit (@jojo535275)
  • Fix bad render on load design in windows (@cavearr)
  • Collection manager is automatically launched when icestudio is started (@cavearr)
  • Added cache for collection manager database (@cavearr)
  • Modify the about section with the very important people ;) (@cavearr)
  • Checks for python 3.5 and 3.6 removed. Python version needed should be >= 3.7 (@obijuan)
  • Upgrade windows python executable to 3.9.9 version (@cavearr)
  • Remove python 3.10 support for broken pip package in ubuntu 20.10 (@cavearr)
  • Apio toolchain updated: (@obijuan)
    • apio iverilog package no longer installed (oss-cad-suite is used instead)
    • apio packages ice40 and yosys no longer installed (oss-cad-suite is instead)
    • scons apio package is no longer installed. Pip scons 4.20 is used instead
    • Apio oss-cad-suite package version bumped to 0.0.7
    • Apio version 0.8.0 is now the default toolchain
    • Clean: unused functions removed
  • Basic Menu moved to Edit/Toolbox: (@mslider)
    • Shortcut: Ctrl+T
  • Addition of a cross closing button on the "floating toolbox" (@jojo535275)
  • Addition of tooltips to direct access icons (@jojo535275)
  • APIO_CMD: changed. External scons is executed when the development toolchain is installed (@obijuan)
  • Bug fixed: Verilog coment generation corrected (missing */) (@obijuan)
  • Code generation: Verilog comment headers not generated for the top entity (@obijuan)
  • Shortcut icons in the bottom bar for Verify, Build and Upload (Carlos Venegas) (@cavearr)
  • New Label Search panel available in the Edit/Label Finder menu (mslider) (@mSlider)
    • Shortcut: Ctrl-F
    • Find labels and change their colors and names

  • Collection Manager: Style updated: (mslider) (@mSlider)
  • Support for ECP5-Mini boards (Fernando Mosquera)
  • Fixed: Support for IceSugar Nano (Fernando Mosquera)
  • Live reload when change between Themes (Thanks to mslider) (@mSlider)

0.8.2w202201171101

  • Spanish translation updated (@obijuan)
  • Reverted: Apio latest stable WIRED to 0.8.0 (@obijuan)
  • Plugin example updated (@obijuan)
  • Old icestudio logo icons replaced by the new one (@obijuan)
  • Timeouts adjusted (@cavearr)
  • Bug fixed: No notification error shown when checking for the toolchain the first time (@obijuan)

0.8.2w202201110401

  • Update chinese translation (@TheSnowfield)
  • Translations FR & ES for label finder and menu edit (@jojo535275)
  • Fix bad render on load design in windows (@cavearr)
  • Label-Forms: "color-dropdown" upgrade (@mslider)

0.8.2w202201090701

  • Label Finder: (@mslider)
    • Reworked custom "color-dropdown" to add coloured badges next to the color's names
    • Added new color -> "LightGray"
    • Fix Light Theme css
  • The collection manager panel is refreshed When the menu->collections->reload option is clicked (@cavearr)
  • Top bar blocks menu removed (Use the Toolbox instead: Ctrl+T) (@cavearr)
  • Collection manager is automatically launched when icestudio is started (@cavearr)
  • Added cache for collection manager database (@cavearr)
  • Modify the about section with the very important people ;) (@cavearr)

  • Checks for python 3.5 and 3.6 removed. Python version needed should be >= 3.7 (@obijuan)

0.8.2w202201070601

  • Upgrade windows python executable to 3.9.9 version (@cavearr)
  • Remove python 3.10 support for broken pip package in ubuntu 20.10 (@cavearr)
  • Apio toolchain updated: (@obijuan)
    • apio iverilog package no longer installed (oss-cad-suite is used instead)
    • apio packages ice40 and yosys no longer installed (oss-cad-suite is instead)
    • scons apio package is no longer installed. Pip scons 4.20 is used instead
    • Apio oss-cad-suite package version bumped to 0.0.7
    • Apio version 0.8.0 is now the default toolchain
    • Clean: unused functions removed
  • ➡️Wip version changed to 0.8.2 (@obijuan)

0.8.1w202201070601

  • Toolbox: (@mslider)
    • Change Shortcut key for open/close Toolbox -> Ctr+T (t = 84) (in macOS "meta+space" shortcut is used for a system search function)
    • Added Toolbox shortcut to "Edit" menu
  • Label-Finder upgrade v2.2 (@mslider)
    • Added Undo/Redo functions to label-Finder
    • Added "Tab key" shortcut to show/hide replace "Label Finder" tab
    • Fix in color selection boxes
    • Fix Undo/Redo label colors functions
    • Change InputLabel <=> OutputLabel in Toolbox to match actual Basic system convention
    • Auto-find when option "case sensitive" or "exact word" is toggled
    • Fix Label-Finder for Buses
    • Fix filter for bad names in "search" and "new name" inputs
    • Added padding-right in "new name" field

0.8.1w202201030601

  • Apio latest stable version wired to 0.8.0 (For testing purposes) (@obijuan)
  • Label Finder Fixes and upgrades: (@mslider)
    • Change only the part of the name that matches when replace.
    • Padding "search field" to not overlap with "found counter"
    • Change "Replace both" for "Replace All" only for "names"
    • Change "Replace Color" to "Change Color" to make this function independent

0.8.1w202201020301

  • Label Finder Upgrade: (@mslider)
    • Added Preferences->Label Finder menu option (thanks to Jo)
    • Added left/right keys shortcuts for faster navigation between matching labels
    • Added match options: "Exact"-> " and "Case Sensitive"-> Aa
    • Retractable advanced layout for replace functions
    • Now can replace "name" and "color" of matching labels
    • The changes are permanent if save the file
  • mods & fixes: (@mslider)
    • Add title to Toolbox Basic
    • Make toolbox Dragabble from title bar
  • Addition of a cross closing button on the "floating toolbox" (@jojo535275)
  • Addition of tooltips to direct access icons (@jojo535275)

0.8.1w202112300112

  • "Label-Finder" Fixes (@mslider)
    • Corrected "double indexing" when mixed blocks in the project
    • Added 'greyedout' non-matching labels


  • Improved 'highlight' selection for both themes
  • Add blkid attribute to html nodes to permit match between elements (@cavearr)
  • Fix Light and Dark Themes for "Toolbox" (@mslider)
    • Moved and fixed colors from menu.css to dark.css and light.css
  • Change "Search popup" to "Label Finder" (@mslider)
  • Improvement on the Label Finder: (@mslider)
    • Filter: only labels
    • Added memory "lists" for future advanced functions (change color/name)
    • Added number of matched labels
    • Added "next" and "prev" buttons for navigate through matched labels
    • Fixed "highlight" styles
    • Fix to "Toolbox" font color in light theme

0.8.1w202112281212

  • APIO_CMD: changed. External scons is executed when the development toolchain is installed (@obijuan)
  • Bug fixed: Verilog coment generation corrected (missing */) (@obijuan)
  • Code generation: Verilog comment headers not generated for the top entity (@obijuan)
  • Floating Basic menu when pressing Ctrl+Space: (Carlos Venegas) (@cavearr)

  • Shortcut icons in the bottom bar for Verify, Build and Upload (Carlos Venegas) (@cavearr)

0.8.1w202112230812

  • Label Search implementation "first tests" (Thanks to mslider) (@mSlider)
    • "Ctr+F" -> Search for labels
    • Fix: Collection Manager block filtering permissive

0.8.1w202112171112

  • Reduce sensible area of open Plugin-Menu button (Thanks to mslider) (@mSlider)
  • icePlugin Manager:(Thanks to mslider) (@mSlider)
    • Updated styles for 'dark' and 'light' themes
    • Live reload when changes between themes
  • Collection Manager: (Thanks to mslider) (@mSlider)
    • fixed height 100% in manifest.json
    • Updates styles for 'dark and 'light' themes
    • Fixed panel height when the window shrink.
    • Tweaked some colors
    • Fixed close-panel button to match icePluginManger's style
  • Support for ECP5-Mini boards (Fernando Mosquera)
  • Fixed: Suppor for IceSugar Nano (Fernando Mosquera)

0.8.1w202112120512

  • Live reload when change between Themes (Thanks to mslider) (@mSlider)
    • Now it is not necessary to re-launch icestudio when change between 'light' or 'dark' theme.
    • Added "light.css" as close as the original and fixed some color details.
    • Added autocomplete="off" in forms.

0.8.1w202112090112

  • Github actions:
    • Linux build: Updated to Ubuntu-20.04. Build node version bumped to 16.4.0
    • Arm build: Updated to Ubuntu-20.04. Build node version bumped to 16.4.0
    • Mac: Set virtual machine to macos-10.15. Build node version bumped to 16.4.0
    • Windows: Build node version bumped to 16.4.0 (But the virtual machine is still Ubuntu-18.04)

Version 0.8 (Stable)

  • Date: 2021-Dec-9

It includes all the features and bug fixes developed during the wip cycle
The main effort of this release has been on updating NWjs and Node, clenning up and refactoring

  • Python 3.7 or higher is needed
  • Apio 0.7.6
  • Apio packages used:
Apio package Version
oss-cad-suite 0.0.3
ecp5 2019.12.12
yosys 2019.12.11
ice40 2019.12.11
verilator 1.0.0
iverilog 1.1.1
dfu 2020.11.24
fujprog 2020.10.6
icesprog 1.0.0
scons 3.0.1

Thanks to all the people involve in Testing this version for releasing it as stable (FPGAwars thread):

  • Fernando Peral: Tested on OpenSuse 15.3. Alhambra II board
  • Alexander Lang: Tested on Win 10 and Linux Mint. ULX3S and alhambra II boards
  • Joaquim: Win 10 and Ubuntu Linux (Virtual machine)
  • Carlos Venegas: Ubuntu 20.04, Ubuntu 21.10, Arch linux, Windows 10 Pro, MacOSX BigSur (Intel), ARM

Summary of changes since 0.7 stable release:

  • Big NWjs upgrade!: 0.35.5 ➡️ 0.54.1 (Carlos Venegas) (@cavearr)
  • Big Node upgrade!: Node upgrade: 11.6.0 ➡️ 16.4.0 (Carlos Venegas) (@cavearr)
  • Aarch64 architecture added (Carlos Venegas) (@cavearr)
  • Select components on click: Just click on a component to select one or shift+click for multiple selection (tschundler) (@tschundler)
  • Version notes window: "Don't display" checkbox is toogled by clicking on the label ( tschundler) (@tschundler)
  • FleaFPGA-Ohm board added (Fernando Mosquera) (@benitoss)
  • Remove check for new stable version
  • Optimizations: Large designs are rendered faster on the screen
    • Improve dom searching with cache in render system
    • Improve block placement performance
  • Bug fixed: Block selection
  • CZech translation updated
  • Remove timeouts needed in 32bit versions and old chrome engines
  • Turkish translation added (Ümit Solmaz) (@usnotv)
  • Initial Support for iCESugar-nano board based on LP1K (TinLethax) (@TiNredmc)
  • iCEBreaker-bitsy1: (seanybaggins)(@seanybaggins )
    • Regenerated pinout.json with generate.py
    • Created v0 and v1 pinouts.
    • Initial draft: pin mappings for the version 1
  • ECP5-Evaluation-Board added (Fernando Mosquera) (@benitoss)
  • Update translation FR and ES (Joaquim) (@jojo535275)
  • Full height collection manager and graphic mods on the lock mode ( "back" button and "read only" area) (Joaquim) (@jojo535275)
  • Change ace-editor theme to monokai in dark mode (mslider) (@mSlider )

  • Bug Fixed: "IO connections change upon loading". Issues #533 and #499 (Joaquim)(@jojo535275)
  • Collection manager appearance changed (Joaquim) (@jojo535275)

0.7.1w202111300411

  • Dark mode monokai mod (mslider). Change ace-editor theme to "theme-monokai" when select "dark" in icestudio and default to "theme-chrome" when select "light"

0.7.1w202109100309

  • Initial Support for iCESugar-nano board based on LP1K (TinLethax)
  • iCEBreaker-bitsy1: (seanybaggins)
    • Regenerated pinout.json with generate.py
    • Created v0 and v1 pinouts.
    • Initial draft: pin mappings for the version 1
  • ECP5-Evaluation-Board added (Fernando Mosquera)
  • Update translation FR and ES (Joaquim)
  • Full height collection manager and graphic mods on the lock mode ( "back" button and "read only" area) (Joaquim)
  • Aarch64 architecture added (Carlos Venegas)
  • Bug Fixed: "IO connections change upon loading". Issues #533 and #499 (Joaquim)
  • Collection manager appearance changed (Joaquim)

0.7.1w202108130708

  • CZech tranlation updated. Thanks to nerakino
  • Remove timeouts needed in 32bit versions and old chrome engines
  • Turkish translation added, thanks to Ümit Solmaz (@usnotv)
  • Bug fixed: blocks selection

Version 0.7.1w202108110608

  • Remove check for new stable version
  • Optimizations: Large designs are rendered faster on the screen
    • Improve dom searching with cache in render system
    • Improve block placement performance
  • Bug fixed: Block selection

Version 0.7.1w202108080208

  • Select components on click: Just click on a component to select one or shift+click for multiple selection (Thanks to tschundler)
  • Version notes window: "Don't display" checkbox is toogled by clicking on the label (Thanks to tschundler)
  • FleaFPGA-Ohm board added (thanks to Fernando Mosquera (@benitoss))

Version 0.7.1w202108020408

  • Stabilization of NWjs 0.54.1
  • Many Bug fixed

Version 0.7.1w202107291007

  • Big NWjs upgrade!: 0.35.5 ➡️ 0.54.1
  • Node upgrade!: Node upgrade: 11.6.0 ➡️ 16.4.0
  • Bug fixed: invalid SVG standard

Version 0.7 (Stable)

  • Date: 2021-July-29th

It includes all the features and bug fixes developed during the wip cycle
The main effort of this release has been on clenning up, refactoring, adding comments and upgrading packages

Summary of changes since 0.6 stable release:

  • Apio bumped to version 0.7.6. Installed by default (stable toolchain)
  • Apio system package has been replaced by oss-cad-suite (0.0.3)
  • Only stable version of the apio packages are installed:
Apio package Version
oss-cad-suite 0.0.3
ecp5 2019.12.12
yosys 2019.12.11
ice40 2019.12.11
verilator 1.0.0
iverilog 1.1.1
dfu 2020.11.24
fujprog 2020.10.6
icesprog 1.0.0
scons 3.0.1
  • Ace editor updated (1.4.12)
  • Colorlight boards fully supported (Thanks to Fernando Mosquera (@benitoss))
  • Updated FPGA resources display. Thanks to Joaquim (@jojo535275)
  • Support for 32-bits platform removed
  • Icestudio Packages only generated for the following platforms (64-bits):
    • Linux 64-bits
    • MAC 64-bits
    • Window 64-bits
  • gruntfile: reviewed and comments added
  • Grunt: Packages removed:
    • grunt-usemin
    • grunt-ugly
    • grunt-wiredep
    • grunt-terser
  • Packages upgraded:
    • grunt-contrib-clean upgraded (1.0.0 ➡️ 2.0.0)
    • grunt upgraded (1.0.4 ➡️ 1.4.1)
    • grunt-angular-gettext (2.5.0 ➡️ 2.5.3)
    • grunt-cli (1.2.0 ➡️ 1.4.3)
    • grunt-contrib-compress (1.6.0 ➡️ 2.0.0)
    • grunt-contrib-jshint (1.1.0 ➡️ 3.0.0)
    • grunt-contrib-uglify (➡️ 5.0.1)
    • grunt-contrib-watch (1.0.0 ➡️ 1.1.0)
    • grunt-exec (1.0.0 ➡️ 3.0.0)
    • grunt-zip (0.17.1 ➡️ 0.18.2)
    • load-grunt-tasks (3.5.0 ➡️ 5.1.0)
    • npm-platform-dependencies (0.0.12 ➡️ 0.1.0)
  • Cleaning (Removed unused grunt custom tasks)
  • Build tested with Node 12.13 (updated in the github action)
  • Packages migrated from bower to npm
  • Bower removed. All the packages are installed only from npm
  • Many Bug Fixed

Version 0.6.1w202107260407

  • Apio bumped to version 0.7.6. It fixes some errors messages when building, verifying and uploading
  • Bug fixed: Moving blocks (Reported by Joaquim and Fixed by Carlos Venegas)

Version 0.6.1w202107250907

  • Packages migrated from bower to npm:
    • Angular
    • Angular-route
    • Angular-gettext
    • Angular-ui-bootstrap
    • Backbone
    • jQuery
    • jQuery-resize
    • Jointjs
    • Bootstrap
    • Select2
    • Alertify
    • Svg-pan-zoom
    • Snapsvg
    • Ace-builds
    • lodash
  • Bower removed. All the packages are installed only from npm

Version 0.6.1w202107241107

  • Samples folder moved to the icestudio-wiki repo
  • Packages removed:
    • grunt-usemin
    • grunt-ugly
    • grunt-wiredep
    • grunt-terser
    • grunt-replace

Version 0.6.1w202107230607

  • Cleaning (Removed unused grunt custom tasks)
  • Build tested with Node 12.13 (updated in the github action)
  • grunt-contrib-clean upgraded (1.0.0 ➡️ 2.0.0)
  • bower upgraded (1.8.0 ➡️ 1.8.12)
  • grunt upgraded (1.0.4 ➡️ 1.4.1)
  • grunt-angular-gettext (2.5.0 ➡️ 2.5.3)
  • grunt-cli (1.2.0 ➡️ 1.4.3)
  • grunt-contrib-compress (1.6.0 ➡️ 2.0.0)
  • grunt-contrib-jshint (1.1.0 ➡️ 3.0.0)
  • grunt-contrib-uglify (➡️ 5.0.1)
  • grunt-contrib-watch (1.0.0 ➡️ 1.1.0)
  • grunt-exec (1.0.0 ➡️ 3.0.0)
  • grunt-replace (1.0.1 ➡️ 2.0.2)
  • grunt-zip (0.17.1 ➡️ 0.18.2)
  • load-grunt-tasks (3.5.0 ➡️ 5.1.0)
  • npm-platform-dependencies (0.0.12 ➡️ 0.1.0)

Version 0.6.1w202107221107

  • Support for 32-bits platform removed
  • Packages generated for the following platforms:
    • Linux 64-bits
    • MAC 64-bits
    • Window 64-bits
  • gruntfile: reviewed and comments added

Version 0.6.1w202107211007

  • Apio bumped to version 0.7.5. Installed by default (stable toolchain)

  • Only stable version of the apio packages are installed:
Apio package Version
oss-cad-suite 0.0.3
ecp5 2019.12.12
yosys 2019.12.11
ice40 2019.12.11
verilator 1.0.0
iverilog 1.1.1
dfu 2020.11.24
fujprog 2020.10.6
icesprog 1.0.0
scons 3.0.1
  • Apio system package has been replaced by oss-cad-suite (0.0.3)

Version 0.6.1w202107190607

  • Updated FPGA resources display. Thanks to Joaquim (@jojo535275)

    • ECP5 FPGA example

    • ICE40 FPGA example

Version 0.6.1w20210715

  • Ace editor updated (1.4.12)
  • Fixed issues: 481, 511

Version 0.6 (stable)

It includes all the features and bug fixes developed during the wip cycle

  • Python 3.7 or higher is needed

Summary of changes since 0.5 stable release:

  • Apio version: 0.6.7
  • Manu bug fixed on the installation of the toolchain on different platforms
  • Submodule edition
  • Clone blocks
  • Generic blocks
  • Optimizations
  • Toolchain update: Nextpnr. ECP5 Family
  • Initial Plugin system
  • Dark Theme support
  • iceRok Plugin: Measure your circuits!
  • Support for new boards: ULX3S, EDU-CIAA-FPGA, Alchitry Cu, Fomu, Icesugar
  • Ice-build folder
  • Verilog generation improved
  • Initial Collection manager menu

Version 0.5.1n210224

Icestudio WIP build. Welcome to Icestudio! This version of Icestudio, introduces very important changes in its kernel. Icestudio is starting to use multithreading for plugins and some tasks, improving overall performance and allowing you to do tasks in parallel. We need your support to detect new bugs and receive your feedback. Thanks a lot to try this WIP version

  • Initial version of the Collection Manager. Carlos Venegas has implemented the initial version of the Collection manager. Now you can select components from different collections very easily. Thanks! 😀️

  • ...From the FPGAwars community
    • Serial to hex block. Block for receiving a two-digit hexadecimal number via the serial port and convert it into an 8-bits binary number. Developed by Democrito. Thanks!
    • Examples for the icesugar Board. Developed by Aalku. All the information is locate on this repository icestudio-iCESugar-Examples

  • Focus of a Telescope with Icestudio and FPGA. By Miguel Ginard. Click on the image to see the video on youtube

Click to see the youtube video

  • Serial Studio and Icestudio by Obijuan Example of an Icestudio circuit that sends information though the serial port to the Serial Studio application. A 4-bits counter is incremented every second and it is displayed on the Seial Studio graphical console


  • Acknowledgments.

    • This release has been possible thanks to the great work done by Carlos Venegas (Charliva at FPGAwars community and @cavearr at github or twitter). We all in the FPGAwars community appreciate it very much. You rock! Thank you very much!
    • Thanks Steinþór Hafsteinsson for the suggestion on renaming from nightly built to WIP (work in progress)

    This is a WIP build and there can be some bugs. If you find one, please submit an issue at Icestudio repository. Write the tag [WIP] in the issue subject

Icestudio is part of the FPGAwars community

Version 0.5.1n210107

Icestudio nightly build (v0.5.1n210224). Welcome to Icestudio!. This version adds new boards an improvements to the iceRok plugin

  • New ice-build folder. Everytime you upload or sinthesize a design the ice-build folder is created. It contains all the intermediate files generated: verilog files, constraint files (.pcf), bitstream and so on. Just enter into that folder and have a look!

  • Icerok data file (capture.raw) available in the ice-Build folder. The samples captured by the icerok probes are now written into the capture.raw file available in the ice-build folder

This file can be opened from PulseView

  • Python environment: Manual setup. The python environment for installing the toolchain can be set in: Edit/Preferences/Python environment. It is useful when you have installed different python version

  • Verilog Generation: block name and description added. The verilog code generated by icestudio now includes comments with the name and descripcion of every block. This makes it easier to understand the generated code

  • Added scrollbars to board select menu. The boards menu is getting a bit full, so Kyle Lomen added a scrollbar. It does no matter the size of you window, you will have fully access to all the board. Thanks a lot, Kyle! 😀️

  • Added Python 3.9 to version check. Thanks to Kyle Lomen

  • Icemem Collection. Icemem: Icestudio collection for working with Memories. Install it and checkout all the examples!

** Icestudio Block for the TM1637 4-digit Display driver*, Developed by Alberto Nicas (altenife). All the information is locate on this repository

Check this vídeo to see how it works (Clik on the image to see the youtube video)

Click to see the youtube video

Icestudio is part of the FPGAwars community

Version 0.5.1n201009

Icestudio nightly build (v0.5.1n201009). Welcome to Icestudio!. This version adds the new iceRok plugin and several new boards:

  • Initial Sigrok plugin. Capture the signals in your designs and visualize them using PulseView. Thanks to Carlos Venegas

  • iceRok Collection. Find the probe blocks for capturing data and examples on how to use the Sigrok plugin in the iceRok collection. Thanks to Carlos Venegas

  • Support for the ULX3S Radiona board improved. Many people from the FPGAwars community have received their ULX3S board during these days. The support has been completed in Linux (both synthesis and upload). In Mac and Windows currently, only synthesis is supported. In this video the synthesis and upload of the Blinky example is shown.

Click to see the youtube video

The improvements are based on the great work done by Miodrag Milanović

  • Support for the EDU-CIAA-FPGA board. The EDU-CIAA-FPGA board is fully supported. Many thanks to Roberto Dhios, Diego (vascodh), Facundo Larosa, Ramiro, Pablo V. and many others for their help in testing the board.

  • Preliminary support for the Fomu FPGA board, by Carlos Venegas Thanks a lot! 😀️

  • IceChips Collection. IceChips, is a library of all common discrete logic devices: The 74LS, 74HC, 74HCT family of chips, created by Tim Rudy. Thank you very much

  • Camera OV7670: Video and color filtering. The FPGA receives the video from the OV7670 camera, processes it and sends it to VGA. An 80x60 video image is displayed. Work done by Felipe Machado. You can find more information on this GitHub repo

(Click on the Image to see the Video on Youtube)

Click to see the youtube video


Thanks to Carlos Venegas for adding support for the OLED display

  • Vídeo Tutorials. The YouTube user ACADENAS has recorded 4 videos (in Spanish) to show how easy it is to create digital circuits using Icestudio:

(Click on the image to watch the video on youtube)

Click to see the youtube video

Icestudio is part of the FPGAwars community

Version 0.5.1n200523

Icestudio nightly build (v0.5.1n200523). Welcome to Icestudio!. This version fixes some bugs, improves velocity and includes the following features:

  • UI Theme Support. This version includes the first user interface Theme Support. Currently there are only two themes. Light and Dark mode (like modern apps). Thanks to Sam! for the impressive CSS Dark Mode mode.

To change UI theme, go to Edit > Preferences > UI Theme, select visual mode and restart Icestudio.

  • STDIO collection. Still in development. Blocks for Standad Input and Output. Let your circuits communicate easily with the PC though the serial port. Thanks to Obijuan

  • LOVE-FPGA. Still in development. Linking Of Virtual Electronics to FPGAs slightly_smiling_face. Use virtual components with real hardware for debugging without simulation. Thanks to Obijuan

  • Acknowledgments.
    • This release has been possible thanks to the great work done by Carlos Venegas (Charliva at FPGAwars community and @cavearr at github or twitter). We all in the FPGAwars community appreciate it very much. You rock! Thank you very much!

Version 0.5.1n200403

Icestudio nightly build (v0.5.1n200403). This version is focused on upgrading core components, fixing bugs, compatibility with OSX Mojave and Catalina and some optimizations, oriented to better handle large designs. To highlight, this version works with nextpnr and supports the ECP5 FPGA family, and it includes the first attempt at a plugin system, including a working serial terminal

  • Plugin system in progress. This version includes the first plugin system core. The developer's API is coming, and soon it will be possible to develop plugins to extend Icestudio functionality.

For the moment, the developed plugins help us to define the public API and specifications, providing, along the way, amazing functionalities for users. In this release you can play with a serial terminal embedded in Icestudio - very powerful for testing purposes

Click to see the youtube video

  • Acknowledgments.
    • This release has been possible thanks to the great work done by Carlos Venegas (Charliva at FPGAwars community and @cavearr at github or twitter). We all in the FPGAwars community appreciate it very much. You rock! Thank you very much!
    • Thanks to Tim Rudy for language fixes

Version 0.5.1n200331

  • Initial support for the serial terminal in Icestudio

Version 0.5.1

This version is focused on upgrading core components, fixing bugs, and some optimizations, oriented to better handle large designs. We hope you enjoy this new version, if you want to know the new features included you can view this video recorded by @Obijuan_cube (in Spanish) (Click on the image to watch it in youtube)

Click to see the youtube video

Version 0.5.0

Wellcome to Fast & Furious, Icestudio version, focused on upgrading its core components, fix bugs, and optimizacion. There are a number of updates in this version that we hope you will like, some of the key highlights include:

  • Submodule edition. This version improve the edition capatabilities, including the possibility of editing block contents

  • Copy & Paste OR Copy & Clone blocks. Submodule edition affects all blocks of the same types. For example if you have in you design six AND blocks and you edit one, all of them will be changed. This is a very powerfull feature, very useful for maintaing large designs

But, if you copy and clone a block, it will be independent from the original block. Later modifications will only affect to this block (not the others)

When cloning blocks, it is important to change the block information for describing the new features

  • Generic blocks. When developing a new Collection, if it very helpfull to use Generic blocks as templates. When placing a Generic block from a collection, it acts as a clone, and you can modify it without doing a copy and clone operation

Generics blocks can be created easily just by adding the prefix Generic-

  • Labels. The labels allow us to connect blocks without using wires

  • Automatic check for new versions. You will receive notifications when new versions of Icestudio are available

Version 0.4.0

  • Date: 2019-08-30

Support UP5K boards (#281)

Bugfixes

  • Fix FTDI issue in Windows (toolchain-icestorm 1.11.1)
  • Fix low resolution retina and improve performance (#303)
  • Fix clossing app with multiple windows (#304)
  • Fix blank syntax error messages (#306)
  • Fix regular expressions to parse error messages (#308)

General

  • Add cs_CZ translation (by nerakino)
  • Add nl_NL translation (by @elektor-labs)
  • Add el_GR translation (by @ratmole)
  • Add ru_RU translation (by Олег Бахарев)
  • Add it_IT translation (by @wifasoi)
  • Use venv --always-copy flag only for Windows (#244)
  • Improve navigation control
  • Improve project conversions

Version 0.3.3

  • Date: 2018-09-16

General

  • Check apio debug settings for dist
  • Update fr_FR translation (#254, #272 by @pcotret)
  • Add Alhambra II pinout SVG (#257 by @EladioDM)
  • Update eu_ES translation (#262 by @Lorea-Aldabaldetreku)
  • Add de_DE translation (#263 by @PhilippvK)
  • Add Bootloader not active for TinyFPGA boards
  • Add prompt for selecting the board at the beginning
  • Add Live command output: View > Command output
  • Fix removeAllCollections for one item
  • Fix translations for info blocks
  • Use collection-default 0.3.3
  • Fix selection-box render for info blocks
  • Load translations of the default collection
  • Enable pointer events for details tag in Info block
  • Propagate double click for selected blocks
  • Update apio to 0.3.6
  • Update gl_ES translation (#273 by @xoan)

Version 0.3.3-rc

  • Date: 2018-08-17

Memory address (#250)

  • Add Address format combo (bin, dec, hex)
  • Refactor forms
  • Improve forms style

External collections (#251)

  • Add Edit > Preferences > External collections form
  • Refactor Select > Collections:
    • Default collection
    • Internal collections
    • External collections
  • Reload collection when a block/example is saved
  • Improve collections load speed
  • Allow symbolic linked dirs as collections

Support for TinyFPGA BX

  • Add TinyFPGA BX information (#232 by @tinyfpga)
  • Auto install tinyprog programmer

General

  • Update fr_FR translation (#240 by @pcotret)
  • Update gl_ES translation (#245 by @xoan)
  • Update es_ES translation
  • Fix check toolchain (#246 by @set-soft)
  • Fix project.version on save
  • Fix restore input form values
  • Improve Memory block BLIF errors
  • Improve select box size
  • Disable undo/redo while adding blocks
  • Add View > Toolchain output
  • Show scroll only on focus
  • Refactor Edit > Preferences > Board rules menu
  • Refactor Select > Boards menu
  • Update documentation

Version 0.3.3-beta

  • Date: 2018-05-24

Support Alhambra II (#227)

  • Add PCF
  • Add pinout
  • Use Apio 0.3.3

File format!

  • New version 1.2
  • Add Memory block
  • No state (zoom, pan) information
  • Improve version check. Add update message

Memory block (#233)

  • Add Basic > Memory block
  • Contains: name + local flag + editor
  • Exportable as a parameter
  • Resizable block
  • Use Verilog syntax highlight
  • Generate an internal "list" file

Improve Info block (#235)

  • Add syntax highlight
  • Render checkbox task list
  • Improve render font size
  • Improve rendering: fix tables

General

  • Fix installation bug Mac (#237)
  • Improve block connectors (#223)
  • Update eu_ES translation (#221 by @Lorea-Aldabaldetreku)
  • Add Collections > Reload function
  • Rotate constant port labels
  • Wires avoid port labels :D
  • Allow any character for I/O/Constant/Memory block names
  • Improve findBlockInArea function
  • Disable events in ports
  • Improve toolchain status check
  • Fix driver installer for Windows
  • Update driver instructions for Windows (#208)
  • Merge documentation repo in docs directory
  • Blocks style (#238)
    • Allow empty names: optimize size
    • Increase blocks interactive border
    • Refactor header for I/O/Constant/Memory blocks
    • Improve blocks replacement

Version 0.3.2

  • Date: 2018-04-14
  • Fix drivers configuration for Windows
  • Fix "profile not found" message
  • Add Python packages management
  • Add Chinese translation (#212 by @VladimirDuan)
  • Install scons package from apio
  • Update fr_FR translation (#216 by @pcotret)
  • Update ca_ES translation (#217 by @fsayas)
  • Update virtualenv package to v15.2.0
  • Add virtualenv "--always-copy" option to avoid symlink issues
  • Improve tmp build_dir management
  • Allow Chinese chars in I/O/Constant blocks
  • Improve blocks edition alerts
  • Center replaced blocks
  • Fix undo/redo bug with ace-builds v1.2.9

Version 0.3.2-beta

  • Date: 2018-02-03
  • Update fr_FR translation (#181 by @pcotret)
  • Add Kefir I SVG pinout (#182, #183 by @set-soft)
  • Update Icezum Alhambre SVG pinout (by @obijuan)
  • Add Breakout Board HX8K SVG pinout (#186 by @yomboprime)
  • Update gl_ES translation (#188 by @danyd)
  • Serial drivers (#192)
    • Refactor drivers configuration
    • Install drivers for the selected board (FTDI/Serial/None)
  • Improve Info block
    • Fix zoom and font family
    • Add support for emoji and web links
    • Avoid interaction with wires
    • Toogle info block with double-click
  • Add Drag & Replace blocks (#198)
  • Add FFs and LUTs to FPGA resources (#199)
  • Optimize FPGA resources detection
  • Style improvements

Support for TinyFPGA B2 (#193)

  • Add TinyFPGA B2 information (#185 by @tinyfpga)
  • Detect bootloader and disconnected errors
  • Auto install tinyfpgab programmer

Support for BlackIce (#195)

  • Add BlackIce I information
  • Add BlackIce II information
  • Auto install blackiceprog programmer

Version 0.3.1

  • Date: 2017-11-01
  • Add iCE40-HX8K Breakout Board SVG pinout (#173 by @yomboprime)
  • Add FPGA resources section (#177)
    • View > FPGA resources
    • Show used/total resources for each board
  • Update modals' style
  • Add more Kéfir I iCE40-HX4K pins (#178 by @set-soft)
  • Update Basque translation (#179 by @Lorea-Aldabaldetreku)
  • Add Olimex iCE40HX8K-EVB pinout (#180 by @brianredbeard)
  • Improve Basic menu style (fix for Mac OS)
  • Detect yosys libffi error
  • Fix cursor padding on zoom
  • Improve menu auto show/hide behavior

Version 0.3.1-rc

  • Date: 2017-10-15
  • Use zip instead of tar.gz (internal toolchain)
  • Add Project information changes to undo stack
  • Add clickable notification to install the toolchain
  • Fix setup drivers in Linux from AppImage (#163)
  • Refactor read/save files using Promises
  • Check toolchain version on init
  • Move up Project information menu option
  • Export BLIF, ASC and Bitstream files
  • Refactor apioRun using Promises
  • Show/Hide tooltip in the selected blocks
  • Allow enter in the selected blocks
  • Remove Reset view menu option
  • Improve footer: better breadcrumbs collapse
  • Fix blocks offset on created
  • Change header/footer colors
  • Improve endmodule error detection in code blocks
  • Detect errors in constant blocks
  • Reset warning/error notifications
  • Improve toolchain install notifications
  • Add setup drivers clickable message before toolchain install
  • Improve close alert
  • Explicit include .list files in code blocks
  • Use tmp build directories for each open window
  • Improve menu show/hide/click behavior
  • Update spanish translations

Version 0.3.0

  • Date: 2017-06-04
  • Fix v/vh/list files installation from a collection
  • Fix Python 32-bit in win32 installer (#153)
  • Update eu_ES translations (#156 by @1138-4EB)
  • Improve selected board/collection initialization
  • Rearrange selected board/collection when lang changes
  • Fix verilog errors detection in Windows
  • Fix rules update on board change
  • Enable code/info blocks scroll-zoom
  • Improve clock render
  • Enable Ctrl+U in code/info blocks
  • Improve Verify errors
  • Improve render style
  • Fix content changes detection
  • Check Alt key in shortcuts
  • Update apio to 0.2.4:
    • Improve upload speed ~70%
  • Fix restore I/O values on board change undo/redo
  • Improve 'Project load' message
  • Fix code block ports edition
  • Check max bus size up to 96
  • Update fr_FR translations (#159 by @pcotret)
  • Update iCEstick IrDA_SD pin
  • Update Default collection to 0.3.0
  • Verify/Build/Upload optimization: run only if changed
  • Show FPGA resources in upload command
  • Improve code/info blocks zoom
  • Update Icestudio logo

Version 0.3.0-rc

  • Date: 2017-03-30

Collections

  • Fix adding multiple collections files
  • Install README.md, .v, .vh and .list files from a collection
  • Save included files when a project is saved
  • Install the "Default collection" with npm install
  • Edit collection name on install
  • Add View > Collection info: README viewer

Verilog error detection: video

  • Detect and parse iverilog errors
  • Detect and parse yosys errors
  • Mark Generic block errors
  • Mark Code block errors
  • Add warning/error annotations to Code blocks

(Click on the image to watch the video)
Click to see the youtube video

General

  • Fix Project Information SVG management
  • Windows installer: separate 32/64 bit installation
  • Add linux [un]installer scripts
  • Update galician translation (#142 by @xoan)
  • Update basque translation (#143 by @Lorea)
  • Update basque translation (#144 by @1138-4EB)
  • Add catalan translation (#145 by @fsayas)
  • Create AppImage packaging (also grunt-appimage plugin)
  • Add "Remove selected blocks" using "Back" key
  • Improve Mac OS drivers management (More information)
  • Open Homebrew website when the notification is clicked
  • Draw "Remove wire tool" at the end of the wire
  • Package windows_(x86|amd64) toolchains
  • Refactor mouse interaction (More information)
  • Improve blocks creation (move and click)
  • Update french translation (#148 #149 #150 #151 by @pcotret)
  • Add "missing xclip" notification
  • Avoid to close menu on click
  • Fit desing to window: open project and resize
  • Apply translations to Info blocks
  • Disabe Code/Info selection on blur
  • Add Readonly property to Info block
  • Add "language change" to the undo stack
  • Update es_ES translation

Version 0.3.0-beta3

  • Date: 2017-02-14

Create Windows installer

  • Uninstall previous version if required
  • Install Python 2.7 if required
  • Install Icestudio app
  • Install Icestudio shortcut
  • Register .ice files: open in Icestudio with double-click
  • Uninstaller: remove toolchain, profile or collections

Add Board rules

  • Output rules:
    • Initialize not used ports contained in the rules
  • Input rules:
    • Applied to Code/Generic input ports
    • Detect not connected ports contained in the rules
    • Render port connection rule
  • Add Edit > Preferences > Board rules > Enable/Disable
  • Add View > Board rules
  • Update rules on board change

Update Collections

  • New structure:
    • blocks
    • examples
    • locale
    • package.json
  • Validate collection before install
  • Add File > Blocks section
  • Recursive load of blocks and examples
  • Manage translations for installed collections

General

  • Update eu_ES translation (#129 by @Lorea-Aldabaldetreku)
  • Store current board in the profile
  • Filter Input & Output pins in the I/O blocks options
  • Toggle selected block with right-click
  • Add "Show clock" option in the Input blocks
  • Refactor Shortcuts system
  • Add Shorcuts for Mac OS X
  • Group "keyboard arrow steps" in time
  • Add resizer tool to Code/Info blocks
  • Style improvements
  • Manage paths with spaces and non-ASCII characters
  • Add menu Select > Boards/Collections
  • Bugfix verilog compiler: self-connected wires
  • Apply zoom to Code/Info blocks content
  • Paste on multiple Icestudio windows (copy also wires)
  • Remember old port connections after Code block edition
  • Detect project board on load
  • Save profile when an attribute is set
  • Update es_ES translation

Thanks to Patripi for the feedback and suggestions!

Version 0.3.0-beta2

  • Date: 2017-01-20

Upgrade Edit menu

  • Add Edit > Undo/Redo. Detect the following actions:
    • Add or remove a block
    • Add or remove a wire
    • Move a block or a blocks selection
    • Edit an I/O block: name, type and value
    • Edit a Constant block: name, type and value
    • Edit a Code block: ports, parameters and content
    • Edit an Information block: content
    • Change the board
  • Add Edit > Cut
  • Add Edit > Copy
  • Add Edit > Paste
  • Add Edit > Select all
  • Add Edit > Fit content

Multiple windows

  • File > New: launch a new window
  • File > Open: launch a new window if required
  • File > Examples: launch a new window if required
  • Add argument to the application: eg: ./icestudio /path/to/project.ice
  • Show project changed state

New project format 1.1

  • Improve dependency management
  • Update compiler to 1.1
  • Add backwards compatibility (1.0, 0)

Add collections

  • Add Tools > Collections > Add
  • Add Tools > Collections > Remove
  • Add Tools > Collections > Remove all
  • Add View > Collections

General

  • Update eu_ES translation (#121 by @Lorea-Aldabaldetreku)
  • Add block tooltips (package.description)
  • Add more shortcuts (https://github.com/FPGAwars/icestudio/wiki)
  • Improve blocks selection
  • Move blocks selection with the arrow keys
  • Minor style improvements
  • Update blocks, examples and samples
  • Change !"Virtual port" to "FPGA pin"
  • Improve Basic menu style
  • Light resources load on start (examples, blocks)
  • Package without ZIP compression (Improve start performance on Windows)
  • Remove unnecessary .so and .dll files from packaging
  • Update POT file and es_ES translation

Version 0.3.0-beta

  • Date: 2016-12-23

Constant block

  • Add constant blocks
  • Add local parameter flag
  • Add parameters to Code blocks
  • Add parameters to Generic blocks

Project format

  • New project format: version 1.0
  • Insert compresed SVG in the project (using SVGO)
  • Refactor project management: new project.service
  • Add Edit > Preferences > Project information prompt
  • Open, Save, Reset and pre-visualize the SVG image
  • Update all compilers to new project format
  • Backwards compatibility: notify and update "Old projects"

Bus wires support

  • Add Input/Output bus: using [x:y] notation
  • Add Code block ports bus: using [x:y] notation
  • Refactor blocks management: blocks service
  • Update all compilers for bus wires management
  • Prevent different wire size connections
  • Add bus wires to Generic blocks

General

  • Update french translation (#110 by @Martoni)
  • Add debouncer block (by @Obijuan)
  • Add invalid connection notifications
  • Remove templates (not used)
  • Update bower dependencies
  • Install libffi in Mac OS drivers configuration (thanks Patripi!)
  • Sort Input/Output ports by position (x,y)
  • Add File > Quit
  • Check source code using JSHint
  • Add contador (#118 by @C47D)
  • Add virtual I/O ports (green)
  • Check wrong port names
  • Bug fixes and visual improvements
  • Update examples and blocks to new project format
  • Add project samples
  • Update POT file and es_ES translation

Version 0.2.3

  • Date: 2016-12-01
  • Detect system language
  • Add iCE40-HX8K PCF pinout (#103 by @Democrito)
  • Add multiple input prompt in Code block dialog
  • Add warning notifications for invalid connections
  • Add FPGA used resources notification
  • Improve Verilog compiler
  • Synchronize included files from a "Imported block"
  • Fix translations in dialog buttons
  • Add back link in block examination
  • Add selected board in the footer
  • Improve notifications: errors up to 30s
  • Fix Code block shortcuts (Mac OS)
  • Remove block using "Back" key (Mac OS)
  • Include a base directory in the zip packages (#107)
  • Remove grid: canvas truncate problem
  • Add Ctrl+p to take a snapshot
  • Add dot bifurcations in wires
  • Fix SVG Pinout viewer (Windows)
  • Little update in French translation (#109 by @pcotret)
  • Minor style improvements and bug fixes

Thanks to AlexTC for the feedback and suggestions!

Version 0.2.3-beta

  • Date: 2016-11-21
  • Improve development mode
  • Add osx32 package
  • Add Config > Tri-state block (#91 by @set-soft)
  • Add French translation (#92 by @Martoni)
  • Reorder File > Export menu
  • Add grunt toolchain task to generate standalone distributions
  • Add Toolchain > Reset default
  • Add apio min/max version
  • Add Travis-ci packaging
  • Add Travis-ci deployment
  • Reorder examples by board
  • Update IceZUM Alhambra examples
  • Remove _build dir on start
  • Add Apio version in Tools > Toolchain
  • Refactor boards management
  • Add View > PCF
  • Add View > Pinout (SVG)
  • Add View > Datasheet (url)
  • Reorder Edit > Preferences
  • Improve Drivers > Enable in Mac (thanks AlexTC)
  • Improve translation system: using PO files

Version 0.2.2

  • Date: 2016-11-10
  • Add Hex 7 Segments CC (#80 by @C47D)
  • Add Hex 7 Segments CA (#81 by @C47D)
  • Support Kefir I board (@set-soft)
  • Add default_nettype none to generated verilog files
  • Add Icestudio headers to generated files
  • Add verilog header (.vh) files inclusion
  • Add File > Export testbench
  • Add File > Export GTKWave
  • Compatible with apio-debian (#87 by @set-soft)
  • Add Tools > Enable/Disable drivers for Linux, Mac and Windows
  • Install gtkwave toolchain package (only for Windows)
  • Update spanish translation
  • Improve internet connection detection
  • Add iceblink40 pinout (#90 by @Democrito)
  • Minor fixes Windows and Mac OSX
  • Use apio version [0.1.9, 0.2.0)

Version 0.2.1

  • Date: 2016-09-12
  • Add mux/demux blocks (#66 by @C47D)
  • Add pull-up/pull-up-inv blocks (#67 by @C47D)
  • Add FF blocks (#75 by @C47D)
  • Add full Spanish translation
  • Add Galician translation (#73 by @xoan)
  • Add Basque translation (#74 by @Obijuan)
  • Improve block examination
  • Add verilog files inclusion
  • Remote host execution: Edit > Remote hostname
  • Optimize json files size for distribution
  • Support iCE40-HX8K board (#68 by @C47D)
  • Support icoBOARD 1.0

Version 0.2.0

  • Date: 2016-08-11
  • Edit > Language: English, Spanish
  • Add more logic gates
  • Add logo
  • Add dmg packaging
  • Check input-config connections
  • Recursive resources detection
  • Sync list files
  • Optimize >30% packages size
  • Minor GUI improvements
  • Increase apio exec maxBuffer

Version 0.2.0-beta2

  • Date: 2016-07-20
  • Add grid, pan (left-click) and zoom (wheel).
  • Add selection tool (right-click).
  • Improve blocks and wires appearance.
  • Improve blocks and wires behavior.
  • Add basic > info block.
  • Edit code block ports by double-click.
  • File menu: add basic examples.
  • File menu: add templates.
  • File menu: Export verilog and PCF.
  • Edit menu: Add Image path.
  • Add View menu and Reset view item.
  • Tools menu: add verify (uses apio > icarus verilog).
  • Tools menu: improve toolchain installation.
  • Add config block: input-config (pullup).

Version 0.2.0-beta1

  • Date: 2016-06-29
  • Rewrite all the application using:
    • nodejs
    • angularjs
    • jquery
    • grunt
    • bower
  • GUI refactor using:
    • nwjs
    • jointjs
    • alerfityjs
    • bootstrap
    • ace editor
    • select2
  • File menu: add new project
  • File menu: add open project
  • File menu: add examples
  • File menu: add save
  • File menu: add save as
  • File menu: add import block
  • File menu: add export as block
  • Edit menu: add clear graph
  • Edit menu: add clone selected + shortcut
  • Edit menu: add remove selected + shortcut
  • Boards menu: support multiple boards:
    • iCEstick
    • Icezum
    • Go board
  • Tools menu: add build
  • Tools menu: add upload
  • Tools menu: add install toolchain:
    • Install and create a Python virtualenv
    • Install apio
    • Install system, scons, icestorm
  • Tools menu: add remove toolchain
  • Help menu: add view license
  • Help menu: add documentation
  • Help menu: add source code
  • Help menu: add community forum
  • Help menu: add about Icestudio
  • Basic blocks:
    • code: contains a verilog editor
    • input & output: contains a FPGA I/O pin selector
  • Bit blocks: 0, 1
  • Logic blocks: and, not, or
  • Add block examination
  • Add breadcrumbs to allow navigation
  • Add multiplatform packaging using grunt

Version 0.1.0

  • Date: 2016-03-09
  • Prototype release

Getting started

Collections

  • Default: Icestudio Default Collection

Stable

Development

  • IceBoards: blocks and examples for the diferent FPGA boards
  • IceComp: Comparators
  • IceArith: Integer arithmetics
  • IceCounter: Counters
  • IceSignals: 1-bit signal managment
  • IcePLL: PLLs
  • IceLEDOscope: Measuring signals
  • IceLEDs: Displaying on LEDs
  • IceHearts: Timming signal generation
  • IceInputs: 1-bit inputs
  • IceRok: Block probes for Icestudio => Sigrok integration (with Pulseview GUI)
  • IceMachines: Working with Machines (simple state machines with a standar interface)
  • IceSerial: Serial Asynchronous communications
  • IceMem: Working with Memories
  • IceMeasure: Measuring cycles and time in your circuits, very easily
  • IceStack: Working with stacks
  • IceFlash: Read from spi serial flash memories
  • IceBus: Accesing and sharing simple buses
  • IceLCD: Components and controllers for LCDs
  • IceUnary: Working with unary numbers
  • IceCrystal: Drive displays from Open Source FPGAs
  • ice-chips-verilog: IceChips is a library of all common discrete logic devices in Verilog
  • ArithmeticBlocks: FPGA signed and unsigned integer operations, 16, 24 and 32 bits, + - * / sqrt min max compare etc.
  • iceSynth: Audio synthesis
  • icebreaker: Blocks and examples for the icebreaker OpenFPGA board
  • Jedi: blocks of the FPGA Jedi hardware Academy
  • LOVE-FPGA: Hardware elements and examples for the LOVE-FPGA project (Linking Of Virtual Electronics to FPGAs)
  • Stdio: Standard Input-Output in different devices
  • CT11: Ejemplos del cuaderno ténico 11: Señales del sistema y Medición con el LEDOscopio
  • Generic: Icestudio Generic Collection
  • Logic: Icestudio Logic Collection
  • IceInterface: Serial, SPI, I2C... (TODO)

Testbenches

Developers

Contribute

HELP

Release history

Clone this wiki locally