Skip to content

Commit

Permalink
feat: connect tlb tag
Browse files Browse the repository at this point in the history
  • Loading branch information
eastonman committed Jun 10, 2022
1 parent 1290066 commit cdef3dc
Show file tree
Hide file tree
Showing 3 changed files with 6 additions and 4 deletions.
1 change: 1 addition & 0 deletions src/vsrc/cpu_top.sv
Original file line number Diff line number Diff line change
Expand Up @@ -307,6 +307,7 @@ module cpu_top (
.inst_addr_trans_en(inst_addr_trans_en),
.dmw0_en(tlb_inst_i.dmw0_en),
.dmw1_en(tlb_inst_i.dmw1_en),
.inst_tlb_tag(tlb_inst_o.tag),
.inst_tlb_found(tlb_inst_o.tlb_found),
.inst_tlb_v(tlb_inst_o.tlb_v),
.inst_tlb_d(tlb_inst_o.tlb_d),
Expand Down
1 change: 1 addition & 0 deletions src/vsrc/frontend/frontend.sv
Original file line number Diff line number Diff line change
Expand Up @@ -46,6 +46,7 @@ module frontend #(
output logic inst_addr_trans_en,
output logic dmw0_en,
output logic dmw1_en,
input logic [19:0] inst_tlb_tag,
input logic inst_tlb_found,
input logic inst_tlb_v,
input logic inst_tlb_d,
Expand Down
8 changes: 4 additions & 4 deletions src/vsrc/tlb.sv
Original file line number Diff line number Diff line change
Expand Up @@ -37,12 +37,12 @@ module tlb (
logic [5:0] s1_ps;
logic [19:0] s1_ppn;

logic we;
logic [4:0] w_index;
logic we;
logic [4:0] w_index;
tlb_wr_port w_port;


logic [4:0] r_index;
logic [4:0] r_index;
tlb_wr_port r_port;

logic [31:0] inst_vaddr_buffer;
Expand Down Expand Up @@ -136,7 +136,7 @@ module tlb (
);

//debug用
logic dmw0_en,dmw1_en,cacop_test;
logic dmw0_en, dmw1_en, cacop_test;
assign dmw0 = data_i.dmw0_en;
assign dmw1 = data_i.dmw1_en;
assign cacop_test = data_i.cacop_op_mode_di;
Expand Down

0 comments on commit cdef3dc

Please sign in to comment.