Skip to content

Commit

Permalink
Bump Chisel 6.0.0-RC2 (#163)
Browse files Browse the repository at this point in the history
  • Loading branch information
poemonsense authored Jan 17, 2024
1 parent fa8de48 commit d52a88e
Show file tree
Hide file tree
Showing 4 changed files with 5 additions and 5 deletions.
4 changes: 2 additions & 2 deletions build.sc
Original file line number Diff line number Diff line change
Expand Up @@ -3,8 +3,8 @@ import coursier.maven.MavenRepository

object ivys {
val scala = "2.13.12"
val chisel = ivy"org.chipsalliance::chisel:6.0.0-RC1"
val chiselPlugin = ivy"org.chipsalliance:::chisel-plugin:6.0.0-RC1"
val chisel = ivy"org.chipsalliance::chisel:6.0.0-RC2"
val chiselPlugin = ivy"org.chipsalliance:::chisel-plugin:6.0.0-RC2"
}

trait CommonModule extends ScalaModule {
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/nutcore/backend/seq/EXU.scala
Original file line number Diff line number Diff line change
Expand Up @@ -103,7 +103,7 @@ class EXU(implicit val p: NutCoreConfig) extends NutCoreModule {
Debug(mou.io.redirect.valid || csr.io.redirect.valid || alu.io.redirect.valid, "[REDIRECT] flush: %d mou %x csr %x alu %x\n", io.flush, mou.io.redirect.target, csr.io.redirect.target, alu.io.redirect.target)

// FIXME: should handle io.out.ready == false
io.out.valid := io.in.valid && MuxLookup(fuType, true.B, List(
io.out.valid := io.in.valid && MuxLookup(fuType, true.B)(List(
FuType.lsu -> lsu.io.out.valid,
FuType.mdu -> mdu.io.out.valid
))
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/utils/LookupTree.scala
Original file line number Diff line number Diff line change
Expand Up @@ -26,5 +26,5 @@ object LookupTree {

object LookupTreeDefault {
def apply[T <: Data](key: UInt, default: T, mapping: Iterable[(UInt, T)]): T =
MuxLookup(key, default, mapping.toSeq)
MuxLookup(key, default)(mapping.toSeq)
}

0 comments on commit d52a88e

Please sign in to comment.