Skip to content

Commit

Permalink
Merge pull request #2181 from The-OpenROAD-Project-staging/lsoracle-c…
Browse files Browse the repository at this point in the history
…lean

rm vesitages of LSOracle
  • Loading branch information
maliberty authored Jul 25, 2024
2 parents a40a953 + 4197720 commit 1b8018b
Show file tree
Hide file tree
Showing 8 changed files with 0 additions and 79 deletions.
3 changes: 0 additions & 3 deletions Dockerfile
Original file line number Diff line number Diff line change
Expand Up @@ -5,9 +5,6 @@ WORKDIR /OpenROAD-flow-scripts

# synthesis tools binaries and plugins
COPY --from=openroad/yosys /install ./tools/install/yosys
COPY --from=openroad/lsoracle /LSOracle/build/core/lsoracle ./tools/build/LSOracle/bin/lsoracle
COPY --from=openroad/lsoracle /LSOracle/core/test.ini ./tools/build/LSOracle/share/lsoracle/test.ini
COPY --from=openroad/lsoracle /LSOracle/build/yosys-plugin/oracle.so /OpenROAD-flow/tools/build/yosys/share/yosys/plugins/

# openroad binary
COPY --from=openroad/ubuntu22.04-builder-gcc /OpenROAD/build/src/openroad ./tools/install/OpenROAD/bin/openroad
Expand Down
45 changes: 0 additions & 45 deletions build_openroad.sh
Original file line number Diff line number Diff line change
Expand Up @@ -25,13 +25,6 @@ YOSYS_ARGS="CONFIG=clang"
OPENROAD_APP_USER_ARGS=""
OPENROAD_APP_ARGS=""

LSORACLE_USER_ARGS=""
LSORACLE_ARGS="\
-D CMAKE_BUILD_TYPE=RELEASE \
-D YOSYS_INCLUDE_DIR=$(pwd)/tools/yosys \
-D YOSYS_PLUGIN=ON \
"

DOCKER_OS_NAME="ubuntu22.04"
PROC=-1

Expand All @@ -43,7 +36,6 @@ Usage: $0 [-h|--help] [-o|--local] [-l|--latest]
[-n|--nice] [-t|--threads N]
[--yosys-args-overwrite] [--yosys-args STRING]
[--openroad-args-overwrite] [--openroad-args STRING]
[--lsoracle-args-overwrite] [--lsoracle-args STRING]
[--install-path PATH] [--clean] [--clean-force]
[-c|--copy-platforms]
Expand Down Expand Up @@ -79,16 +71,6 @@ Options:
--openroad-args STRING Aditional compilation flags for OpenROAD app
compilation.
--lsoracle-enable Compile LSOracle. Disable by default as it is not
currently used on the flow.
--lsoracle-args-overwrite
Do not use default flags set by this script during
LSOracle compilation.
--lsoracle-args STRING Aditional compilation flags for LSOracle
compilation.
--install-path PATH Path to install tools. Default is ${INSTALL_PATH}.
--clean Call git clean interactively before compile.
Expand Down Expand Up @@ -159,16 +141,6 @@ while (( "$#" )); do
OPENROAD_APP_USER_ARGS="$2"
shift
;;
--lsoracle-enable)
LSORACLE_ENABLE=1
;;
--lsoracle-args-overwrite)
LSORACLE_OVERWIRTE_ARGS=1
;;
--lsoracle-args)
LSORACLE_USER_ARGS="$2"
shift
;;
--install-path)
INSTALL_PATH="$2"
shift
Expand Down Expand Up @@ -215,10 +187,6 @@ if [ -n "$CMAKE_INSTALL_RPATH" ]; then
OPENROAD_APP_ARGS+=" -D CMAKE_INSTALL_RPATH=${CMAKE_INSTALL_RPATH}"
OPENROAD_APP_ARGS+=" -D CMAKE_INSTALL_RPATH_USE_LINK_PATH=TRUE"
fi
LSORACLE_ARGS+=" \
-D YOSYS_SHARE_DIR=${INSTALL_PATH}/yosys/share/yosys \
-D CMAKE_INSTALL_PREFIX=${INSTALL_PATH}/LSOracle \
"

__args_setup() {
if [ ! -z "${YOSYS_OVERWIRTE_ARGS+x}" ]; then
Expand All @@ -234,13 +202,6 @@ __args_setup() {
else
OPENROAD_APP_ARGS+=" ${OPENROAD_APP_USER_ARGS}"
fi

if [ ! -z "${LSORACLE_OVERWIRTE_ARGS+x}" ]; then
echo "[INFO FLW-0016] Overwriting LSOracle compilation flags."
LSORACLE_ARGS="${LSORACLE_USER_ARGS}"
else
LSORACLE_ARGS+=" ${LSORACLE_USER_ARGS}"
fi
}

__docker_build()
Expand Down Expand Up @@ -287,12 +248,6 @@ __local_build()
echo "[INFO FLW-0018] Compiling OpenROAD."
eval ${NICE} cmake tools/OpenROAD -B tools/OpenROAD/build ${OPENROAD_APP_ARGS}
${NICE} cmake --build tools/OpenROAD/build --target install -j "${PROC}"

if [ ! -z "${LSORACLE_ENABLE+x}" ]; then
echo "[INFO FLW-0019] Compiling LSOracle."
${NICE} cmake tools/LSOracle -B tools/LSOracle/build ${LSORACLE_ARGS}
${NICE} cmake --build tools/LSOracle/build --target install -j "${PROC}"
fi
}

__update_openroad_app_remote()
Expand Down
3 changes: 0 additions & 3 deletions docs/index.md
Original file line number Diff line number Diff line change
Expand Up @@ -91,9 +91,6 @@ Options for `./build_openroad.sh` script
| `--yosys-args STRING` | Aditional compilation flags for Yosys compilation. |
| `--openroad-args-overwrite` | Do not use default flags set by this script during OpenROAD app compilation. |
| `--openroad-args STRING` | Aditional compilation flags for OpenROAD app compilation. |
| `--lsoracle-enable` | Compile LSOracle. Disable by default as it is not currently used on the flow. |
| `--lsoracle-args-overwrite` | Do not use default flags set by this scrip during LSOracle compilation. |
| `--lsoracle-args STRING` | Aditional compilation flags for LSOracle compilation. |
| `--install-path PATH` | Path to install tools. Default is `${INSTALL_PATH}`. |
| `--clean` | Call git clean interactively before compile. Useful to remove old build files. |
| `--clean-force` | Call git clean before compile. WARNING: this option will not ask for confirmation. Useful to remove old build files. |
Expand Down
1 change: 0 additions & 1 deletion env.sh
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@ echo "OPENROAD: ${OPENROAD}"

export PATH=${DIR}/tools/install/OpenROAD/bin:$PATH
export PATH=${DIR}/tools/install/yosys/bin:$PATH
export PATH=${DIR}/tools/install/LSOracle/bin:$PATH
export PATH=${DIR}/dependencies/bin:$PATH

if [[ "$OSTYPE" == "darwin"* ]]; then
Expand Down
11 changes: 0 additions & 11 deletions flow/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -269,17 +269,6 @@ ifeq (,$(strip $(NUM_CORES)))
endif
export NUM_CORES

export LSORACLE_CMD ?= $(shell command -v lsoracle)
ifeq ($(LSORACLE_CMD),)
LSORACLE_CMD = $(abspath $(FLOW_HOME)/../tools/install/LSOracle/bin/lsoracle)
endif

LSORACLE_PLUGIN ?= $(abspath $(FLOW_HOME)/../tools/install/yosys/share/yosys/plugin/oracle.so)
export LSORACLE_KAHYPAR_CONFIG ?= $(abspath $(FLOW_HOME)/../tools/install/LSOracle/share/lsoracle/test.ini)
ifneq ($(USE_LSORACLE),)
YOSYS_FLAGS ?= -m $(LSORACLE_PLUGIN)
endif

YOSYS_FLAGS += -v 3

#-------------------------------------------------------------------------------
Expand Down
14 changes: 0 additions & 14 deletions flow/scripts/synth.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -19,20 +19,6 @@ synthesize_check $::env(SYNTH_FULL_ARGS)
# At least this is predictable.
renames -wire

if { [info exists ::env(USE_LSORACLE)] } {
set lso_script [open $::env(OBJECTS_DIR)/lso.script w]
puts $lso_script "ps -a"
puts $lso_script "oracle --config $::env(LSORACLE_KAHYPAR_CONFIG)"
puts $lso_script "ps -m"
puts $lso_script "crit_path_stats"
puts $lso_script "ntk_stats"
close $lso_script

# LSOracle synthesis
lsoracle -script $::env(OBJECTS_DIR)/lso.script -lso_exe $::env(LSORACLE_CMD)
techmap
}

# Optimize the design
opt -purge

Expand Down
1 change: 0 additions & 1 deletion flow/util/distributed.py
Original file line number Diff line number Diff line change
Expand Up @@ -481,7 +481,6 @@ def openroad(base_dir, parameters, flow_variant, path=''):

export_command = f'export PATH={INSTALL_PATH}/OpenROAD/bin'
export_command += f':{INSTALL_PATH}/yosys/bin'
export_command += f':{INSTALL_PATH}/LSOracle/bin:$PATH'
export_command += ' && '

make_command = export_command
Expand Down
1 change: 0 additions & 1 deletion tools/AutoTuner/src/autotuner/distributed.py
Original file line number Diff line number Diff line change
Expand Up @@ -485,7 +485,6 @@ def openroad(base_dir, parameters, flow_variant, path=''):

export_command = f'export PATH={INSTALL_PATH}/OpenROAD/bin'
export_command += f':{INSTALL_PATH}/yosys/bin'
export_command += f':{INSTALL_PATH}/LSOracle/bin:$PATH'
export_command += ' && '

make_command = export_command
Expand Down

0 comments on commit 1b8018b

Please sign in to comment.