Skip to content

Commit

Permalink
Merge pull request #2176 from eder-matheus/secure-grt_offset
Browse files Browse the repository at this point in the history
update metrics for grt update
  • Loading branch information
eder-matheus authored Jul 23, 2024
2 parents e275420 + 3bbf8df commit 247389c
Show file tree
Hide file tree
Showing 5 changed files with 310 additions and 326 deletions.
214 changes: 105 additions & 109 deletions flow/designs/asap7/jpeg_lvt/metadata-base-ok.json
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@
],
"cts__clock__skew__hold": 27.7534,
"cts__clock__skew__setup": 20.2482,
"cts__cpu__total": 50.45,
"cts__cpu__total": 65.87,
"cts__design__core__area": 24060.6,
"cts__design__die__area": 25381.3,
"cts__design__instance__area": 7708.56,
Expand All @@ -25,13 +25,13 @@
"cts__design__violations": 0,
"cts__flow__errors__count": 0,
"cts__flow__warnings__count": 20,
"cts__mem__peak": 712100.0,
"cts__mem__peak": 711784.0,
"cts__power__internal__total": 0.046009,
"cts__power__leakage__total": 1.68346e-05,
"cts__power__switching__total": 0.0448423,
"cts__power__total": 0.0908681,
"cts__route__wirelength__estimated": 158550,
"cts__runtime__total": "0:50.95",
"cts__runtime__total": "1:06.32",
"cts__timing__drv__hold_violation_count": 0,
"cts__timing__drv__max_cap": 0,
"cts__timing__drv__max_cap_limit": 0.218495,
Expand All @@ -43,7 +43,7 @@
"cts__timing__setup__tns": 0,
"cts__timing__setup__ws": 71.7658,
"design__io__hpwl": 2250403,
"detailedplace__cpu__total": 56.92,
"detailedplace__cpu__total": 67.37,
"detailedplace__design__core__area": 24060.6,
"detailedplace__design__die__area": 25381.3,
"detailedplace__design__instance__area": 7565.12,
Expand All @@ -61,13 +61,13 @@
"detailedplace__design__violations": 0,
"detailedplace__flow__errors__count": 0,
"detailedplace__flow__warnings__count": 20,
"detailedplace__mem__peak": 680912.0,
"detailedplace__mem__peak": 680208.0,
"detailedplace__power__internal__total": 0.0426495,
"detailedplace__power__leakage__total": 1.66127e-05,
"detailedplace__power__switching__total": 0.0421637,
"detailedplace__power__total": 0.0848299,
"detailedplace__route__wirelength__estimated": 159165,
"detailedplace__runtime__total": "0:57.32",
"detailedplace__runtime__total": "1:07.83",
"detailedplace__timing__drv__hold_violation_count": 0,
"detailedplace__timing__drv__max_cap": 0,
"detailedplace__timing__drv__max_cap_limit": 0.218579,
Expand All @@ -80,78 +80,74 @@
"detailedplace__timing__setup__ws": 3.28604,
"detailedroute__antenna__violating__nets": 0,
"detailedroute__antenna__violating__pins": 0,
"detailedroute__cpu__total": 6993.05,
"detailedroute__cpu__total": 11665.63,
"detailedroute__flow__errors__count": 0,
"detailedroute__flow__warnings__count": 25,
"detailedroute__mem__peak": 12097080.0,
"detailedroute__mem__peak": 12086088.0,
"detailedroute__route__drc_errors": 0,
"detailedroute__route__drc_errors__iter:1": 11943,
"detailedroute__route__drc_errors__iter:2": 1489,
"detailedroute__route__drc_errors__iter:3": 873,
"detailedroute__route__drc_errors__iter:4": 31,
"detailedroute__route__drc_errors__iter:5": 3,
"detailedroute__route__drc_errors__iter:6": 1,
"detailedroute__route__drc_errors__iter:7": 0,
"detailedroute__route__drc_errors__iter:1": 11925,
"detailedroute__route__drc_errors__iter:2": 1473,
"detailedroute__route__drc_errors__iter:3": 870,
"detailedroute__route__drc_errors__iter:4": 17,
"detailedroute__route__drc_errors__iter:5": 0,
"detailedroute__route__net": 76639,
"detailedroute__route__net__special": 2,
"detailedroute__route__vias": 494629,
"detailedroute__route__vias": 495706,
"detailedroute__route__vias__multicut": 0,
"detailedroute__route__vias__singlecut": 494629,
"detailedroute__route__wirelength": 174176,
"detailedroute__route__wirelength__iter:1": 175729,
"detailedroute__route__wirelength__iter:2": 174358,
"detailedroute__route__wirelength__iter:3": 174180,
"detailedroute__route__wirelength__iter:4": 174178,
"detailedroute__route__wirelength__iter:5": 174175,
"detailedroute__route__wirelength__iter:6": 174177,
"detailedroute__route__wirelength__iter:7": 174176,
"detailedroute__runtime__total": "5:31.07",
"fillcell__cpu__total": 3.4,
"fillcell__mem__peak": 508352.0,
"fillcell__runtime__total": "0:03.78",
"finish__clock__skew__hold": 35.8003,
"finish__clock__skew__setup": 25.9688,
"finish__cpu__total": 134.62,
"detailedroute__route__vias__singlecut": 495706,
"detailedroute__route__wirelength": 174163,
"detailedroute__route__wirelength__iter:1": 175744,
"detailedroute__route__wirelength__iter:2": 174364,
"detailedroute__route__wirelength__iter:3": 174168,
"detailedroute__route__wirelength__iter:4": 174165,
"detailedroute__route__wirelength__iter:5": 174163,
"detailedroute__runtime__total": "8:30.82",
"fillcell__cpu__total": 4.93,
"fillcell__mem__peak": 508576.0,
"fillcell__runtime__total": "0:05.34",
"finish__clock__skew__hold": 35.7609,
"finish__clock__skew__setup": 24.8351,
"finish__cpu__total": 163.66,
"finish__design__core__area": 24060.6,
"finish__design__die__area": 25381.3,
"finish__design__instance__area": 7676.44,
"finish__design__instance__area": 7680.54,
"finish__design__instance__area__macros": 0,
"finish__design__instance__area__stdcell": 7676.44,
"finish__design__instance__area__stdcell": 7680.54,
"finish__design__instance__count": 67946,
"finish__design__instance__count__macros": 0,
"finish__design__instance__count__stdcell": 67946,
"finish__design__instance__utilization": 0.319046,
"finish__design__instance__utilization__stdcell": 0.319046,
"finish__design__instance__utilization": 0.319216,
"finish__design__instance__utilization__stdcell": 0.319216,
"finish__design__io": 47,
"finish__design_powergrid__drop__average__net:VDD__corner:default": 0.735735,
"finish__design_powergrid__drop__average__net:VSS__corner:default": 0.0356638,
"finish__design_powergrid__drop__worst__net:VDD__corner:default": 0.092126,
"finish__design_powergrid__drop__worst__net:VSS__corner:default": 0.0981518,
"finish__design_powergrid__voltage__worst__net:VDD__corner:default": 0.677874,
"finish__design_powergrid__voltage__worst__net:VSS__corner:default": 0.0981518,
"finish__design_powergrid__drop__average__net:VDD__corner:default": 0.735682,
"finish__design_powergrid__drop__average__net:VSS__corner:default": 0.0356404,
"finish__design_powergrid__drop__worst__net:VDD__corner:default": 0.0921697,
"finish__design_powergrid__drop__worst__net:VSS__corner:default": 0.0980993,
"finish__design_powergrid__voltage__worst__net:VDD__corner:default": 0.67783,
"finish__design_powergrid__voltage__worst__net:VSS__corner:default": 0.0980993,
"finish__flow__errors__count": 0,
"finish__flow__warnings__count": 21,
"finish__mem__peak": 2066764.0,
"finish__power__internal__total": 0.046206,
"finish__power__leakage__total": 1.6345e-05,
"finish__power__switching__total": 0.0453831,
"finish__power__total": 0.0916055,
"finish__runtime__total": "2:16.25",
"finish__mem__peak": 2066248.0,
"finish__power__internal__total": 0.0462644,
"finish__power__leakage__total": 1.64527e-05,
"finish__power__switching__total": 0.0454248,
"finish__power__total": 0.0917056,
"finish__runtime__total": "2:45.72",
"finish__timing__drv__hold_violation_count": 0,
"finish__timing__drv__max_cap": 6,
"finish__timing__drv__max_cap_limit": -0.11385,
"finish__timing__drv__max_cap_limit": -0.113726,
"finish__timing__drv__max_fanout": 0,
"finish__timing__drv__max_fanout_limit": 0,
"finish__timing__drv__max_slew": 1594,
"finish__timing__drv__max_slew_limit": -0.407005,
"finish__timing__drv__setup_violation_count": 1645,
"finish__timing__setup__tns": -140625,
"finish__timing__setup__ws": -284.407,
"finish__timing__wns_percent_delay": -18.525817,
"finish_merge__cpu__total": 6.83,
"finish_merge__mem__peak": 938588.0,
"finish_merge__runtime__total": "0:07.38",
"floorplan__cpu__total": 21.45,
"finish__timing__drv__max_slew": 1715,
"finish__timing__drv__max_slew_limit": -0.363884,
"finish__timing__drv__setup_violation_count": 1641,
"finish__timing__setup__tns": -148253,
"finish__timing__setup__ws": -303.225,
"finish__timing__wns_percent_delay": -19.50525,
"finish_merge__cpu__total": 8.27,
"finish_merge__mem__peak": 938824.0,
"finish_merge__runtime__total": "0:08.86",
"floorplan__cpu__total": 27.85,
"floorplan__design__core__area": 24060.6,
"floorplan__design__die__area": 25381.3,
"floorplan__design__instance__area": 7079.3,
Expand All @@ -165,32 +161,32 @@
"floorplan__design__io": 47,
"floorplan__flow__errors__count": 0,
"floorplan__flow__warnings__count": 21,
"floorplan__mem__peak": 544380.0,
"floorplan__mem__peak": 544652.0,
"floorplan__power__internal__total": 0.0477063,
"floorplan__power__leakage__total": 9.97689e-06,
"floorplan__power__switching__total": 0.0331991,
"floorplan__power__total": 0.0809154,
"floorplan__runtime__total": "0:21.81",
"floorplan__runtime__total": "0:28.23",
"floorplan__timing__setup__tns": -57930500.0,
"floorplan__timing__setup__ws": -25554.9,
"floorplan_io__cpu__total": 2.77,
"floorplan_io__mem__peak": 391932.0,
"floorplan_io__runtime__total": "0:03.01",
"floorplan_macro__cpu__total": 2.83,
"floorplan_macro__mem__peak": 389632.0,
"floorplan_macro__runtime__total": "0:03.03",
"floorplan_pdn__cpu__total": 3.77,
"floorplan_pdn__mem__peak": 409084.0,
"floorplan_pdn__runtime__total": "0:04.02",
"floorplan_tap__cpu__total": 3.1,
"floorplan_tap__mem__peak": 352024.0,
"floorplan_tap__runtime__total": "0:03.28",
"floorplan_tdms__cpu__total": 2.8,
"floorplan_tdms__mem__peak": 388564.0,
"floorplan_tdms__runtime__total": "0:03.01",
"floorplan_io__cpu__total": 3.72,
"floorplan_io__mem__peak": 391092.0,
"floorplan_io__runtime__total": "0:03.96",
"floorplan_macro__cpu__total": 3.59,
"floorplan_macro__mem__peak": 390000.0,
"floorplan_macro__runtime__total": "0:03.91",
"floorplan_pdn__cpu__total": 5.06,
"floorplan_pdn__mem__peak": 409068.0,
"floorplan_pdn__runtime__total": "0:05.34",
"floorplan_tap__cpu__total": 4.09,
"floorplan_tap__mem__peak": 351728.0,
"floorplan_tap__runtime__total": "0:04.29",
"floorplan_tdms__cpu__total": 3.64,
"floorplan_tdms__mem__peak": 389024.0,
"floorplan_tdms__runtime__total": "0:03.89",
"flow__errors__count": 0,
"flow__warnings__count": 20,
"globalplace__cpu__total": 390.87,
"globalplace__cpu__total": 460.41,
"globalplace__design__core__area": 24060.6,
"globalplace__design__die__area": 25381.3,
"globalplace__design__instance__area": 7163.17,
Expand All @@ -204,30 +200,30 @@
"globalplace__design__io": 47,
"globalplace__flow__errors__count": 0,
"globalplace__flow__warnings__count": 20,
"globalplace__mem__peak": 980484.0,
"globalplace__mem__peak": 980752.0,
"globalplace__power__internal__total": 0.0635256,
"globalplace__power__leakage__total": 9.97689e-06,
"globalplace__power__switching__total": 0.0380093,
"globalplace__power__total": 0.101545,
"globalplace__runtime__total": "5:27.45",
"globalplace__runtime__total": "6:44.59",
"globalplace__timing__setup__tns": -350947000.0,
"globalplace__timing__setup__ws": -141079,
"globalplace_io__cpu__total": 2.82,
"globalplace_io__mem__peak": 400748.0,
"globalplace_io__runtime__total": "0:03.05",
"globalplace_skip_io__cpu__total": 29.92,
"globalplace_skip_io__mem__peak": 499024.0,
"globalplace_skip_io__runtime__total": "0:30.19",
"globalplace_io__cpu__total": 3.79,
"globalplace_io__mem__peak": 400708.0,
"globalplace_io__runtime__total": "0:04.07",
"globalplace_skip_io__cpu__total": 41.2,
"globalplace_skip_io__mem__peak": 498476.0,
"globalplace_skip_io__runtime__total": "0:41.57",
"globalroute__antenna__violating__nets": 0,
"globalroute__antenna__violating__pins": 0,
"globalroute__clock__skew__hold": 29.5411,
"globalroute__clock__skew__setup": 21.9158,
"globalroute__cpu__total": 689.67,
"globalroute__cpu__total": 1172.84,
"globalroute__design__core__area": 24060.6,
"globalroute__design__die__area": 25381.3,
"globalroute__design__instance__area": 7676.44,
"globalroute__design__instance__area": 7680.54,
"globalroute__design__instance__area__macros": 0,
"globalroute__design__instance__area__stdcell": 7676.44,
"globalroute__design__instance__area__stdcell": 7680.54,
"globalroute__design__instance__count": 67946,
"globalroute__design__instance__count__hold_buffer": 0,
"globalroute__design__instance__count__macros": 0,
Expand All @@ -236,31 +232,31 @@
"globalroute__design__instance__displacement__max": 0.27,
"globalroute__design__instance__displacement__mean": 0,
"globalroute__design__instance__displacement__total": 0.27,
"globalroute__design__instance__utilization": 0.319046,
"globalroute__design__instance__utilization__stdcell": 0.319046,
"globalroute__design__instance__utilization": 0.319216,
"globalroute__design__instance__utilization__stdcell": 0.319216,
"globalroute__design__io": 47,
"globalroute__design__violations": 0,
"globalroute__flow__errors__count": 0,
"globalroute__flow__warnings__count": 20,
"globalroute__mem__peak": 1727484.0,
"globalroute__power__internal__total": 0.0461459,
"globalroute__power__leakage__total": 1.6345e-05,
"globalroute__power__switching__total": 0.0474354,
"globalroute__power__total": 0.0935977,
"globalroute__mem__peak": 1727764.0,
"globalroute__power__internal__total": 0.0462056,
"globalroute__power__leakage__total": 1.64527e-05,
"globalroute__power__switching__total": 0.0474786,
"globalroute__power__total": 0.0937007,
"globalroute__route__wirelength__estimated": 158588,
"globalroute__runtime__total": "5:40.73",
"globalroute__timing__clock__slack": 1.155,
"globalroute__runtime__total": "7:38.59",
"globalroute__timing__clock__slack": 3.067,
"globalroute__timing__drv__hold_violation_count": 0,
"globalroute__timing__drv__max_cap": 6,
"globalroute__timing__drv__max_cap_limit": -0.150485,
"globalroute__timing__drv__max_fanout": 0,
"globalroute__timing__drv__max_fanout_limit": 0,
"globalroute__timing__drv__max_slew": 594,
"globalroute__timing__drv__max_slew": 624,
"globalroute__timing__drv__max_slew_limit": -0.138689,
"globalroute__timing__drv__setup_violation_count": 0,
"globalroute__timing__setup__tns": 0,
"globalroute__timing__setup__ws": 1.15519,
"placeopt__cpu__total": 54.69,
"globalroute__timing__setup__ws": 3.06655,
"placeopt__cpu__total": 69.04,
"placeopt__design__core__area": 24060.6,
"placeopt__design__die__area": 25381.3,
"placeopt__design__instance__area": 7565.12,
Expand All @@ -274,12 +270,12 @@
"placeopt__design__io": 47,
"placeopt__flow__errors__count": 0,
"placeopt__flow__warnings__count": 20,
"placeopt__mem__peak": 616680.0,
"placeopt__mem__peak": 617016.0,
"placeopt__power__internal__total": 0.0426612,
"placeopt__power__leakage__total": 1.66127e-05,
"placeopt__power__switching__total": 0.0420734,
"placeopt__power__total": 0.0847511,
"placeopt__runtime__total": "0:55.16",
"placeopt__runtime__total": "1:09.50",
"placeopt__timing__drv__floating__nets": 0,
"placeopt__timing__drv__floating__pins": 0,
"placeopt__timing__drv__hold_violation_count": 0,
Expand All @@ -293,10 +289,10 @@
"placeopt__timing__setup__tns": -631.793,
"placeopt__timing__setup__ws": -13.2797,
"run__flow__design": "jpeg_lvt",
"run__flow__generate_date": "2024-07-15 23:35",
"run__flow__generate_date": "2024-07-23 15:24",
"run__flow__metrics_version": "Metrics_2.1.2",
"run__flow__openroad_commit": "N/A",
"run__flow__openroad_version": "v2.0-14544-g1ce6a588b",
"run__flow__openroad_version": "v2.0-14720-g204b8a500",
"run__flow__platform": "asap7",
"run__flow__platform__capacitance_units": "1fF",
"run__flow__platform__current_units": "1mA",
Expand All @@ -307,12 +303,12 @@
"run__flow__platform__voltage_units": "1v",
"run__flow__platform_commit": "N/A",
"run__flow__scripts_commit": "not a git repo",
"run__flow__uuid": "cc839aa3-63aa-48ac-8c72-b9d3991938de",
"run__flow__uuid": "9470751a-7093-481f-88fd-143114260a31",
"run__flow__variant": "base",
"synth__cpu__total": 110.09,
"synth__cpu__total": 148.63,
"synth__design__instance__area__stdcell": 7236.84132,
"synth__design__instance__count__stdcell": 66220.0,
"synth__mem__peak": 989036.0,
"synth__runtime__total": "1:51.64",
"total_time": "0:24:53.130000"
"synth__mem__peak": 992432.0,
"synth__runtime__total": "2:30.57",
"total_time": "0:33:23.400000"
}
2 changes: 1 addition & 1 deletion flow/designs/asap7/jpeg_lvt/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -40,7 +40,7 @@
"compare": "<="
},
"finish__timing__setup__ws": {
"value": -291.2,
"value": -358.22,
"compare": ">="
},
"finish__design__instance__area": {
Expand Down
Loading

0 comments on commit 247389c

Please sign in to comment.