Skip to content

Commit

Permalink
Merge pull request #2177 from eder-matheus/gf180_grt_config
Browse files Browse the repository at this point in the history
set grt configuration for gf180 platform
  • Loading branch information
maliberty authored Jul 31, 2024
2 parents c1ce9c9 + eedd288 commit f4cabb0
Show file tree
Hide file tree
Showing 7 changed files with 326 additions and 352 deletions.
428 changes: 198 additions & 230 deletions flow/designs/gf180/aes-hybrid/metadata-base-ok.json

Large diffs are not rendered by default.

2 changes: 1 addition & 1 deletion flow/designs/gf180/aes-hybrid/rules-base.json
Original file line number Diff line number Diff line change
Expand Up @@ -36,7 +36,7 @@
"compare": "<="
},
"detailedroute__antenna__violating__nets": {
"value": 2,
"value": 6,
"compare": "<="
},
"finish__timing__setup__ws": {
Expand Down
234 changes: 117 additions & 117 deletions flow/designs/gf180/ibex/metadata-base-ok.json
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@
],
"cts__clock__skew__hold": 1.51821,
"cts__clock__skew__setup": 4.85426,
"cts__cpu__total": 32.58,
"cts__cpu__total": 47.97,
"cts__design__core__area": 1445570.0,
"cts__design__die__area": 1458710.0,
"cts__design__instance__area": 686506,
Expand All @@ -25,13 +25,13 @@
"cts__design__violations": 0,
"cts__flow__errors__count": 0,
"cts__flow__warnings__count": 0,
"cts__mem__peak": 262020.0,
"cts__mem__peak": 261652.0,
"cts__power__internal__total": 0.961588,
"cts__power__leakage__total": 4.14678e-06,
"cts__power__switching__total": 0.411881,
"cts__power__total": 1.37347,
"cts__route__wirelength__estimated": 932098,
"cts__runtime__total": "0:32.76",
"cts__runtime__total": "0:48.14",
"cts__timing__drv__hold_violation_count": 0,
"cts__timing__drv__max_cap": 0,
"cts__timing__drv__max_cap_limit": 0.0390455,
Expand All @@ -43,7 +43,7 @@
"cts__timing__setup__tns": 0,
"cts__timing__setup__ws": 0,
"design__io__hpwl": 179178079,
"detailedplace__cpu__total": 12.47,
"detailedplace__cpu__total": 17.03,
"detailedplace__design__core__area": 1445570.0,
"detailedplace__design__die__area": 1458710.0,
"detailedplace__design__instance__area": 671649,
Expand All @@ -61,13 +61,13 @@
"detailedplace__design__violations": 0,
"detailedplace__flow__errors__count": 0,
"detailedplace__flow__warnings__count": 0,
"detailedplace__mem__peak": 231392.0,
"detailedplace__mem__peak": 230612.0,
"detailedplace__power__internal__total": 0.901114,
"detailedplace__power__leakage__total": 4.05224e-06,
"detailedplace__power__switching__total": 0.391272,
"detailedplace__power__total": 1.29239,
"detailedplace__route__wirelength__estimated": 916937,
"detailedplace__runtime__total": "0:12.59",
"detailedplace__runtime__total": "0:17.19",
"detailedplace__timing__drv__hold_violation_count": 1,
"detailedplace__timing__drv__max_cap": 0,
"detailedplace__timing__drv__max_cap_limit": 0.0385636,
Expand All @@ -78,76 +78,76 @@
"detailedplace__timing__drv__setup_violation_count": 0,
"detailedplace__timing__setup__tns": 0,
"detailedplace__timing__setup__ws": 0,
"detailedroute__antenna__violating__nets": 2,
"detailedroute__antenna__violating__pins": 2,
"detailedroute__cpu__total": 905.64,
"detailedroute__antenna__violating__nets": 0,
"detailedroute__antenna__violating__pins": 0,
"detailedroute__cpu__total": 2008.5,
"detailedroute__flow__errors__count": 0,
"detailedroute__flow__warnings__count": 8,
"detailedroute__mem__peak": 2052356.0,
"detailedroute__mem__peak": 2075976.0,
"detailedroute__route__drc_errors": 0,
"detailedroute__route__drc_errors__iter:1": 4155,
"detailedroute__route__drc_errors__iter:2": 284,
"detailedroute__route__drc_errors__iter:3": 169,
"detailedroute__route__drc_errors__iter:4": 2,
"detailedroute__route__drc_errors__iter:5": 2,
"detailedroute__route__drc_errors__iter:6": 2,
"detailedroute__route__drc_errors__iter:1": 5838,
"detailedroute__route__drc_errors__iter:2": 760,
"detailedroute__route__drc_errors__iter:3": 534,
"detailedroute__route__drc_errors__iter:4": 26,
"detailedroute__route__drc_errors__iter:5": 3,
"detailedroute__route__drc_errors__iter:6": 3,
"detailedroute__route__drc_errors__iter:7": 2,
"detailedroute__route__drc_errors__iter:8": 0,
"detailedroute__route__net": 13978,
"detailedroute__route__net": 13970,
"detailedroute__route__net__special": 2,
"detailedroute__route__vias": 108263,
"detailedroute__route__vias": 110113,
"detailedroute__route__vias__multicut": 0,
"detailedroute__route__vias__singlecut": 108263,
"detailedroute__route__wirelength": 1271522,
"detailedroute__route__wirelength__iter:1": 1277278,
"detailedroute__route__wirelength__iter:2": 1271937,
"detailedroute__route__wirelength__iter:3": 1271527,
"detailedroute__route__wirelength__iter:4": 1271518,
"detailedroute__route__wirelength__iter:5": 1271518,
"detailedroute__route__wirelength__iter:6": 1271518,
"detailedroute__route__wirelength__iter:7": 1271523,
"detailedroute__route__wirelength__iter:8": 1271522,
"detailedroute__runtime__total": "1:08.61",
"fillcell__cpu__total": 0.97,
"fillcell__mem__peak": 190708.0,
"fillcell__runtime__total": "0:01.06",
"finish__clock__skew__hold": 10.2789,
"finish__clock__skew__setup": 14.0897,
"finish__cpu__total": 33.47,
"detailedroute__route__vias__singlecut": 110113,
"detailedroute__route__wirelength": 1262568,
"detailedroute__route__wirelength__iter:1": 1267789,
"detailedroute__route__wirelength__iter:2": 1263387,
"detailedroute__route__wirelength__iter:3": 1262732,
"detailedroute__route__wirelength__iter:4": 1262571,
"detailedroute__route__wirelength__iter:5": 1262570,
"detailedroute__route__wirelength__iter:6": 1262564,
"detailedroute__route__wirelength__iter:7": 1262569,
"detailedroute__route__wirelength__iter:8": 1262568,
"detailedroute__runtime__total": "2:16.06",
"fillcell__cpu__total": 1.28,
"fillcell__mem__peak": 190224.0,
"fillcell__runtime__total": "0:01.43",
"finish__clock__skew__hold": 3.19779,
"finish__clock__skew__setup": 7.03412,
"finish__cpu__total": 47.12,
"finish__design__core__area": 1445570.0,
"finish__design__die__area": 1458710.0,
"finish__design__instance__area": 687037,
"finish__design__instance__area": 686552,
"finish__design__instance__area__macros": 0,
"finish__design__instance__area__stdcell": 687037,
"finish__design__instance__count": 15205,
"finish__design__instance__area__stdcell": 686552,
"finish__design__instance__count": 15199,
"finish__design__instance__count__macros": 0,
"finish__design__instance__count__stdcell": 15205,
"finish__design__instance__utilization": 0.475272,
"finish__design__instance__utilization__stdcell": 0.475272,
"finish__design__instance__count__stdcell": 15199,
"finish__design__instance__utilization": 0.474936,
"finish__design__instance__utilization__stdcell": 0.474936,
"finish__design__io": 264,
"finish__flow__errors__count": 0,
"finish__flow__warnings__count": 1,
"finish__mem__peak": 427668.0,
"finish__power__internal__total": 1.05333,
"finish__power__leakage__total": 5.65976e-06,
"finish__power__switching__total": 0.643343,
"finish__power__total": 1.69668,
"finish__runtime__total": "0:33.77",
"finish__timing__drv__hold_violation_count": 255,
"finish__timing__drv__max_cap": 7,
"finish__timing__drv__max_cap_limit": -0.241005,
"finish__mem__peak": 429240.0,
"finish__power__internal__total": 1.05754,
"finish__power__leakage__total": 5.65863e-06,
"finish__power__switching__total": 0.651147,
"finish__power__total": 1.70869,
"finish__runtime__total": "0:47.49",
"finish__timing__drv__hold_violation_count": 341,
"finish__timing__drv__max_cap": 8,
"finish__timing__drv__max_cap_limit": -0.244726,
"finish__timing__drv__max_fanout": 0,
"finish__timing__drv__max_fanout_limit": 0,
"finish__timing__drv__max_slew": 313,
"finish__timing__drv__max_slew_limit": -0.191693,
"finish__timing__drv__setup_violation_count": 93,
"finish__timing__setup__tns": -112.227,
"finish__timing__setup__ws": -2.63965,
"finish__timing__wns_percent_delay": -18.031107,
"finish_merge__cpu__total": 40.89,
"finish_merge__mem__peak": 564592.0,
"finish_merge__runtime__total": "0:41.26",
"floorplan__cpu__total": 6.15,
"finish__timing__drv__max_slew_limit": -0.200491,
"finish__timing__drv__setup_violation_count": 95,
"finish__timing__setup__tns": -133.241,
"finish__timing__setup__ws": -2.9696,
"finish__timing__wns_percent_delay": -19.837537,
"finish_merge__cpu__total": 46.85,
"finish_merge__mem__peak": 566176.0,
"finish_merge__runtime__total": "0:47.22",
"floorplan__cpu__total": 7.79,
"floorplan__design__core__area": 1445570.0,
"floorplan__design__die__area": 1458710.0,
"floorplan__design__instance__area": 623739,
Expand All @@ -161,32 +161,32 @@
"floorplan__design__io": 264,
"floorplan__flow__errors__count": 0,
"floorplan__flow__warnings__count": 1,
"floorplan__mem__peak": 177292.0,
"floorplan__mem__peak": 176924.0,
"floorplan__power__internal__total": 1.41904,
"floorplan__power__leakage__total": 3.75053e-06,
"floorplan__power__switching__total": 0.349104,
"floorplan__power__total": 1.76815,
"floorplan__runtime__total": "0:06.24",
"floorplan__runtime__total": "0:07.91",
"floorplan__timing__setup__tns": -7968.88,
"floorplan__timing__setup__ws": -6.41414,
"floorplan_io__cpu__total": 0.62,
"floorplan_io__mem__peak": 136848.0,
"floorplan_io__runtime__total": "0:00.69",
"floorplan_macro__cpu__total": 0.65,
"floorplan_macro__mem__peak": 137352.0,
"floorplan_macro__runtime__total": "0:00.69",
"floorplan_pdn__cpu__total": 2.04,
"floorplan_pdn__mem__peak": 159632.0,
"floorplan_pdn__runtime__total": "0:02.12",
"floorplan_tap__cpu__total": 0.68,
"floorplan_tap__mem__peak": 128904.0,
"floorplan_tap__runtime__total": "0:00.71",
"floorplan_tdms__cpu__total": 0.63,
"floorplan_tdms__mem__peak": 136076.0,
"floorplan_tdms__runtime__total": "0:00.69",
"floorplan_io__cpu__total": 0.89,
"floorplan_io__mem__peak": 136484.0,
"floorplan_io__runtime__total": "0:00.94",
"floorplan_macro__cpu__total": 0.89,
"floorplan_macro__mem__peak": 135644.0,
"floorplan_macro__runtime__total": "0:00.96",
"floorplan_pdn__cpu__total": 2.96,
"floorplan_pdn__mem__peak": 160016.0,
"floorplan_pdn__runtime__total": "0:03.09",
"floorplan_tap__cpu__total": 0.91,
"floorplan_tap__mem__peak": 129296.0,
"floorplan_tap__runtime__total": "0:00.98",
"floorplan_tdms__cpu__total": 0.9,
"floorplan_tdms__mem__peak": 135896.0,
"floorplan_tdms__runtime__total": "0:00.94",
"flow__errors__count": 0,
"flow__warnings__count": 0,
"globalplace__cpu__total": 71.25,
"globalplace__cpu__total": 88.43,
"globalplace__design__core__area": 1445570.0,
"globalplace__design__die__area": 1458710.0,
"globalplace__design__instance__area": 634555,
Expand All @@ -200,63 +200,63 @@
"globalplace__design__io": 264,
"globalplace__flow__errors__count": 0,
"globalplace__flow__warnings__count": 0,
"globalplace__mem__peak": 305088.0,
"globalplace__mem__peak": 305108.0,
"globalplace__power__internal__total": 1.44415,
"globalplace__power__leakage__total": 3.86641e-06,
"globalplace__power__switching__total": 0.365106,
"globalplace__power__total": 1.80926,
"globalplace__runtime__total": "0:52.17",
"globalplace__runtime__total": "1:06.23",
"globalplace__timing__setup__tns": -9171.76,
"globalplace__timing__setup__ws": -6.93637,
"globalplace_io__cpu__total": 0.76,
"globalplace_io__mem__peak": 159372.0,
"globalplace_io__runtime__total": "0:00.88",
"globalplace_skip_io__cpu__total": 3.21,
"globalplace_skip_io__mem__peak": 179496.0,
"globalplace_skip_io__runtime__total": "0:03.34",
"globalplace_io__cpu__total": 1.07,
"globalplace_io__mem__peak": 158772.0,
"globalplace_io__runtime__total": "0:01.15",
"globalplace_skip_io__cpu__total": 4.72,
"globalplace_skip_io__mem__peak": 180144.0,
"globalplace_skip_io__runtime__total": "0:04.81",
"globalroute__antenna__violating__nets": 0,
"globalroute__antenna__violating__pins": 0,
"globalroute__clock__skew__hold": 8.65284,
"globalroute__clock__skew__setup": 11.9795,
"globalroute__cpu__total": 173.53,
"globalroute__clock__skew__hold": 1.52187,
"globalroute__clock__skew__setup": 4.85841,
"globalroute__cpu__total": 180.61,
"globalroute__design__core__area": 1445570.0,
"globalroute__design__die__area": 1458710.0,
"globalroute__design__instance__area": 687037,
"globalroute__design__instance__area": 686552,
"globalroute__design__instance__area__macros": 0,
"globalroute__design__instance__area__stdcell": 687037,
"globalroute__design__instance__count": 15205,
"globalroute__design__instance__count__hold_buffer": 8,
"globalroute__design__instance__area__stdcell": 686552,
"globalroute__design__instance__count": 15199,
"globalroute__design__instance__count__hold_buffer": 0,
"globalroute__design__instance__count__macros": 0,
"globalroute__design__instance__count__setup_buffer": 0,
"globalroute__design__instance__count__stdcell": 15205,
"globalroute__design__instance__displacement__max": 32.48,
"globalroute__design__instance__displacement__mean": 0.029,
"globalroute__design__instance__displacement__total": 448,
"globalroute__design__instance__utilization": 0.475272,
"globalroute__design__instance__utilization__stdcell": 0.475272,
"globalroute__design__instance__count__stdcell": 15199,
"globalroute__design__instance__displacement__max": 0,
"globalroute__design__instance__displacement__mean": 0,
"globalroute__design__instance__displacement__total": 0,
"globalroute__design__instance__utilization": 0.474936,
"globalroute__design__instance__utilization__stdcell": 0.474936,
"globalroute__design__io": 264,
"globalroute__design__violations": 0,
"globalroute__flow__errors__count": 0,
"globalroute__flow__warnings__count": 0,
"globalroute__mem__peak": 997144.0,
"globalroute__power__internal__total": 0.964831,
"globalroute__power__leakage__total": 4.1499e-06,
"globalroute__power__switching__total": 0.416066,
"globalroute__power__total": 1.3809,
"globalroute__route__wirelength__estimated": 932814,
"globalroute__runtime__total": "0:42.53",
"globalroute__mem__peak": 582424.0,
"globalroute__power__internal__total": 0.964136,
"globalroute__power__leakage__total": 4.14799e-06,
"globalroute__power__switching__total": 0.41491,
"globalroute__power__total": 1.37905,
"globalroute__route__wirelength__estimated": 932098,
"globalroute__runtime__total": "0:53.97",
"globalroute__timing__clock__slack": 0.0,
"globalroute__timing__drv__hold_violation_count": 0,
"globalroute__timing__drv__max_cap": 0,
"globalroute__timing__drv__max_cap_limit": 0.0361646,
"globalroute__timing__drv__max_cap_limit": 0.0363234,
"globalroute__timing__drv__max_fanout": 0,
"globalroute__timing__drv__max_fanout_limit": 0,
"globalroute__timing__drv__max_slew": 0,
"globalroute__timing__drv__max_slew_limit": 0.0905377,
"globalroute__timing__drv__max_slew_limit": 0.0906626,
"globalroute__timing__drv__setup_violation_count": 0,
"globalroute__timing__setup__tns": 0,
"globalroute__timing__setup__ws": 0,
"placeopt__cpu__total": 13.9,
"placeopt__cpu__total": 17.57,
"placeopt__design__core__area": 1445570.0,
"placeopt__design__die__area": 1458710.0,
"placeopt__design__instance__area": 671649,
Expand All @@ -270,12 +270,12 @@
"placeopt__design__io": 264,
"placeopt__flow__errors__count": 0,
"placeopt__flow__warnings__count": 1,
"placeopt__mem__peak": 222612.0,
"placeopt__mem__peak": 223180.0,
"placeopt__power__internal__total": 0.900822,
"placeopt__power__leakage__total": 4.05224e-06,
"placeopt__power__switching__total": 0.390652,
"placeopt__power__total": 1.29148,
"placeopt__runtime__total": "0:14.03",
"placeopt__runtime__total": "0:17.73",
"placeopt__timing__drv__floating__nets": 0,
"placeopt__timing__drv__floating__pins": 1,
"placeopt__timing__drv__hold_violation_count": 1,
Expand All @@ -289,10 +289,10 @@
"placeopt__timing__setup__tns": 0,
"placeopt__timing__setup__ws": 0,
"run__flow__design": "ibex",
"run__flow__generate_date": "2024-07-19 00:19",
"run__flow__generate_date": "2024-07-30 16:40",
"run__flow__metrics_version": "Metrics_2.1.2",
"run__flow__openroad_commit": "N/A",
"run__flow__openroad_version": "v2.0-14652-g91e3e21fd",
"run__flow__openroad_version": "v2.0-14841-gff2927de1",
"run__flow__platform": "gf180",
"run__flow__platform__capacitance_units": "1pF",
"run__flow__platform__current_units": "1mA",
Expand All @@ -303,12 +303,12 @@
"run__flow__platform__voltage_units": "1v",
"run__flow__platform_commit": "N/A",
"run__flow__scripts_commit": "not a git repo",
"run__flow__uuid": "da3f5c8c-9860-4a5d-b91c-7dd1380035d9",
"run__flow__uuid": "5750b07e-a463-414a-bda7-eeb645fc1f55",
"run__flow__variant": "base",
"synth__cpu__total": 59.6,
"synth__cpu__total": 81.31,
"synth__design__instance__area__stdcell": 654246.432,
"synth__design__instance__count__stdcell": 13614.0,
"synth__mem__peak": 229632.0,
"synth__runtime__total": "0:59.86",
"total_time": "0:06:14"
"synth__mem__peak": 227772.0,
"synth__runtime__total": "1:21.72",
"total_time": "0:08:57.960000"
}
Loading

0 comments on commit f4cabb0

Please sign in to comment.