Skip to content

Commit

Permalink
Bring out pcie_user_clk on SMA connectors on VCU108 and VCU118
Browse files Browse the repository at this point in the history
Signed-off-by: Alex Forencich <[email protected]>
  • Loading branch information
alexforencich committed Dec 13, 2023
1 parent 2074d72 commit 917a984
Show file tree
Hide file tree
Showing 4 changed files with 37 additions and 0 deletions.
5 changes: 5 additions & 0 deletions example/VCU108/fpga/fpga.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -28,6 +28,11 @@ set_property CONFIG_MODE BPI16 [current_design]
#set_property -dict {LOC AL20 IOSTANDARD LVCMOS18} [get_ports clk_90mhz]
#create_clock -period 11.111 -name clk_90mhz [get_ports clk_90mhz]

# User SMA clock J34/J35
set_property -dict {LOC AR14 IOSTANDARD LVDS} [get_ports user_sma_clk_p]
set_property -dict {LOC AT14 IOSTANDARD LVDS} [get_ports user_sma_clk_n]
#create_clock -period 8.000 -name user_sma_clk [get_ports user_sma_clk_p]

# LEDs
set_property -dict {LOC AT32 IOSTANDARD LVCMOS12 SLEW SLOW DRIVE 8} [get_ports {led[0]}]
set_property -dict {LOC AV34 IOSTANDARD LVCMOS12 SLEW SLOW DRIVE 8} [get_ports {led[1]}]
Expand Down
13 changes: 13 additions & 0 deletions example/VCU108/fpga/rtl/fpga.v
Original file line number Diff line number Diff line change
Expand Up @@ -32,6 +32,11 @@ THE SOFTWARE.
* FPGA top-level module
*/
module fpga (
/*
* Clock and reset
*/
output wire user_sma_clk_p,
output wire user_sma_clk_n,
/*
* GPIO
*/
Expand Down Expand Up @@ -78,6 +83,14 @@ parameter BAR4_APERTURE = 16;
wire pcie_user_clk;
wire pcie_user_reset;

// forward PCIe user clock out SMA connectors
OBUFDS
user_sma_clk_obufds_inst (
.I(pcie_user_clk),
.O(user_sma_clk_p),
.OB(user_sma_clk_n)
);

// GPIO
wire btnu_int;
wire btnl_int;
Expand Down
5 changes: 5 additions & 0 deletions example/VCU118/fpga/fpga.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -34,6 +34,11 @@ set_property BITSTREAM.CONFIG.SPI_FALL_EDGE YES [current_design]
#set_property -dict {LOC AL20 IOSTANDARD LVCMOS18} [get_ports clk_90mhz]
#create_clock -period 11.111 -name clk_90mhz [get_ports clk_90mhz]

# User SMA clock J34/J35
set_property -dict {LOC R32 IOSTANDARD LVDS} [get_ports user_sma_clk_p]
set_property -dict {LOC P32 IOSTANDARD LVDS} [get_ports user_sma_clk_n]
#create_clock -period 8.000 -name user_sma_clk [get_ports user_sma_clk_p]

# LEDs
set_property -dict {LOC AT32 IOSTANDARD LVCMOS12 SLEW SLOW DRIVE 8} [get_ports {led[0]}]
set_property -dict {LOC AV34 IOSTANDARD LVCMOS12 SLEW SLOW DRIVE 8} [get_ports {led[1]}]
Expand Down
14 changes: 14 additions & 0 deletions example/VCU118/fpga/rtl/fpga.v
Original file line number Diff line number Diff line change
Expand Up @@ -32,6 +32,12 @@ THE SOFTWARE.
* FPGA top-level module
*/
module fpga (
/*
* Clock and reset
*/
output wire user_sma_clk_p,
output wire user_sma_clk_n,

/*
* GPIO
*/
Expand Down Expand Up @@ -78,6 +84,14 @@ parameter BAR4_APERTURE = 16;
wire pcie_user_clk;
wire pcie_user_reset;

// forward PCIe user clock out SMA connectors
OBUFDS
user_sma_clk_obufds_inst (
.I(pcie_user_clk),
.O(user_sma_clk_p),
.OB(user_sma_clk_n)
);

// GPIO
wire btnu_int;
wire btnl_int;
Expand Down

0 comments on commit 917a984

Please sign in to comment.