Skip to content

Commit

Permalink
#92: report IM to spectrum
Browse files Browse the repository at this point in the history
  • Loading branch information
alvieboy committed Jun 5, 2021
1 parent d8034e4 commit 6859dbc
Showing 1 changed file with 3 additions and 2 deletions.
5 changes: 3 additions & 2 deletions fpga/rtl/common/interfacez_io.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -98,6 +98,7 @@ entity interfacez_io is

trig_force_clearromcsonret_o : out std_logic;
disable_romcs_o : out std_logic;
im_i : in std_logic_vector(1 downto 0); -- Detected interrupt mode
dbg_o : out std_logic_vector(7 downto 0)
);

Expand Down Expand Up @@ -301,8 +302,8 @@ begin
when SPECT_PORT_MISCCTRL =>
dataread_r <= miscctrl_i;--scratch1_r;

when SPECT_PORT_CMD_FIFO_STATUS => -- Command FIFO status read
dataread_r <= "0000000" & cmdfifo_full_i;
when SPECT_PORT_CMD_FIFO_STATUS => -- Command FIFO status / IM read
dataread_r <= "00000" & im_i & cmdfifo_full_i;

when SPECT_PORT_RESOURCE_FIFO_STATUS => -- Resource FIFO status read
dataread_r <= "0000000" & resfifo_empty_i;
Expand Down

0 comments on commit 6859dbc

Please sign in to comment.