Skip to content

index

index #198

Manually triggered July 3, 2023 11:36
Status Success
Total duration 1h 49m 57s
Artifacts 1

index.yml

on: workflow_dispatch
update-revisions
5s
update-revisions
Matrix: index-cores
setup-default-service
28s
setup-default-service
Matrix: deploy-to-gcp
gcr-cleaner
33s
gcr-cleaner
Fit to window
Zoom out
Zoom in

Annotations

3 warnings
index-cores (ibex, https://github.com/lowRISC/ibex, master, fbd070cf58bd4bdc1e0fb1c19967f944dea3b...: home/runner/work/_actions/antmicro/verible-indexing-action/v1.0.0/build.sh#L39
verible-verilog-kythe-extractor: E0703 12:59:22.920858 1879 verilog_kythe_extractor.cc:218] Encountered some issues while indexing files (could result in missing indexing data): E0703 12:59:22.920933 1879 verilog_kythe_extractor.cc:222] Unable to find 'uvm_macros.svh' among the included paths: dv/cosim, dv/uvm/core_ibex/fcov, dv/uvm/core_ibex/common, dv/uvm/core_ibex/common/ibex_cosim_agent, dv/uvm/core_ibex/riscv_dv_extension, rtl, formal/icache, formal/data_ind_timing, vendor/google_riscv-dv/user_extension, vendor/google_riscv-dv/src, vendor/google_riscv-dv/src/isa, vendor/lowrisc_ip/ip/prim/rtl, vendor/lowrisc_ip/dv/sv/dv_utils, . E0703 12:59:22.921034 1879 verilog_kythe_extractor.cc:222] Unable to find 'ibex_icache_mem_req_item.sv' among the included paths: dv/cosim, dv/uvm/core_ibex/fcov, dv/uvm/core_ibex/common, dv/uvm/core_ibex/common/ibex_cosim_agent, dv/uvm/core_ibex/riscv_dv_extension, rtl, formal/icache, formal/data_ind_timing, vendor/google_riscv-dv/user_extension, vendor/google_riscv-dv/src, vendor/google_riscv-dv/src/isa, vendor/lowrisc_ip/ip/prim/rtl, vendor/lowrisc_ip/dv/sv/dv_utils, . E0703 12:59:22.921036 1879 verilog_kythe_extractor.cc:222] Unable to find 'ibex_icache_mem_resp_item.sv' among the included paths: dv/cosim, dv/uvm/core_ibex/fcov, dv/uvm/core_ibex/common, dv/uvm/core_ibex/common/ibex_cosim_agent, dv/uvm/core_ibex/riscv_dv_extension, rtl, formal/icache, formal/data_ind_timing, vendor/google_riscv-dv/user_extension, vendor/google_riscv-dv/src, vendor/google_riscv-dv/src/isa, vendor/lowrisc_ip/ip/prim/rtl, vendor/lowrisc_ip/dv/sv/dv_utils, . E0703 12:59:22.921037 1879 verilog_kythe_extractor.cc:222] Unable to find 'ibex_icache_mem_bus_item.sv' among the included paths: dv/cosim, dv/uvm/core_ibex/fcov, dv/uvm/core_ibex/common, dv/uvm/core_ibex/common/ibex_cosim_agent, dv/uvm/core_ibex/riscv_dv_extension, rtl, formal/icache, formal/data_ind_timing, vendor/google_riscv-dv/user_extension, vendor/google_riscv-dv/src, vendor/google_riscv-dv/src/isa, vendor/lowrisc_ip/ip/prim/rtl, vendor/lowrisc_ip/dv/sv/dv_utils, . E0703 12:59:22.921039 1879 verilog_kythe_extractor.cc:222] Unable to find 'ibex_icache_mem_model.sv' among the included paths: dv/cosim, dv/uvm/core_ibex/fcov, dv/uvm/core_ibex/common, dv/uvm/core_ibex/common/ibex_cosim_agent, dv/uvm/core_ibex/riscv_dv_extension, rtl, formal/icache, formal/data_ind_timing, vendor/google_riscv-dv/user_extension, vendor/google_riscv-dv/src, vendor/google_riscv-dv/src/isa, vendor/lowrisc_ip/ip/prim/rtl, vendor/lowrisc_ip/dv/sv/dv_utils, . E0703 12:59:22.921040 1879 verilog_kythe_extractor.cc:222] Unable to find 'ibex_icache_mem_agent_cfg.sv' among the included paths: dv/cosim, dv/uvm/core_ibex/fcov, dv/uvm/core_ibex/common, dv/uvm/core_ibex/common/ibex_cosim_agent, dv/uvm/core_ibex/riscv_dv_extension, rtl, formal/icache, formal/data_ind_timing, vendor/google_riscv-dv/user_extension, vendor/google_riscv-dv/src, vendor/google_riscv-dv/src/isa, vendor/lowrisc_ip/ip/prim/rtl, vendor/lowrisc_ip/dv/sv/dv_utils, . E0703 12:59:22.921041 1879 verilog_kythe_extractor.cc:222] Unable to find 'ibex_icache_mem_agent_cov.sv' among the included paths: dv/cosim, dv/uvm/core_ibex/fcov, dv/uvm/core_ibex/common, dv/uvm/core_ibex/common/ibex_cosim_agent, dv/uvm/core_ibex/riscv_dv_extension, rtl, formal/icache, formal/data_ind_timing, vendor/google_riscv-dv/user_extension, vendor/google_riscv-dv/src, vendor/google_riscv-dv/src/isa, vendor/lowrisc_ip/ip/prim/rtl, vendor/lowrisc_ip/dv/sv/dv_utils, . E0703 12:59:22.921043 1879 verilog_kythe_extractor.cc:222] Unable to find 'ibex_icache_mem_driver.sv' among the included paths: dv/cosim, dv/uvm/core_ibex/fcov, dv/uvm/core_ibex/common, dv/uvm/core_ibex/common/ibex_cosim_agent, dv/uvm/core_ibex/riscv_dv_extension, rtl, formal/icache, formal/data_ind_timing, vendor/google_riscv-dv/user_extension, vendor/google_riscv-dv/src, vendor/google_riscv-dv/src/isa, vendor/lowrisc_ip/ip/prim/rtl, vendor/lowrisc_ip/dv/sv/dv_utils, . E0703 12:59:22.921044 1879 verilog_kythe_extractor.cc:222] Unable to find 'ibex_icache_
index-cores (Cores-VeeR-EL2, https://github.com/chipsalliance/Cores-VeeR-EL2, main, e0a34dfb32ce4...: home/runner/work/_actions/antmicro/verible-indexing-action/v1.0.0/build.sh#L39
verible-verilog-kythe-extractor: E0703 13:01:45.267181 1872 verilog_kythe_extractor.cc:218] Encountered some issues while indexing files (could result in missing indexing data): E0703 13:01:45.267218 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267221 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267223 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267224 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267226 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267227 1872 verilog_kythe_extractor.cc:222] Unable to find 'pic_map_auto.h' among the included paths: testbench, . E0703 13:01:45.267230 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267231 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267233 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267234 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267236 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267237 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267239 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267240 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267242 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267244 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267245 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267247 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267248 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267250 1872 verilog_kythe_extractor.cc:222] Syntax error. E0703 13:01:45.267252 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267253 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267255 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267256 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267258 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267260 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267261 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267263 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267264 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_pdef.vh' among the included paths: testbench, . E0703 13:01:45.267266 1872 verilog_kythe_extractor.cc:222] Unable to find 'el2_param.vh' among the included paths: testbench, . E0703 13:01:45.267268 1872 verilog_k
index-cores (caliptra-rtl, https://github.com/chipsalliance/caliptra-rtl, main, 61fb5bd9eff399947...: home/runner/work/_actions/antmicro/verible-indexing-action/v1.0.0/build.sh#L39
verible-verilog-kythe-extractor: E0703 13:02:07.703620 1891 verilog_kythe_extractor.cc:218] Encountered some issues while indexing files (could result in missing indexing data): E0703 13:02:07.704155 1891 verilog_kythe_extractor.cc:222] Unable to find 'uvm_macros.svh' among the included paths: src/keyvault/rtl, src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src, src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src, src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src, src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src, src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src, src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src, src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src, src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src, src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src, src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src, src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src, src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src, src/pcrvault/rtl, src/caliptra_prim/rtl, src/riscv_core/veer_el2/rtl, src/riscv_core/veer_el2/tb, src/soc_ifc/rtl, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra, src/soc_ifc/tb, src/libs/rtl, src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies, src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf_tb, src/libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb, src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf, src/libs/uvmf/qvip_apb5_slave_dir/config_policies, src/libs/uvmf/qvip_apb5_slave_dir/uvmf_tb, src/libs/uvmf/qvip_apb5_slave_dir/uvm_tb, src/libs/uvmf/qvip_apb5_slave_dir/uvmf, src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src, src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src

Artifacts

Produced during runtime
Name Size
server-files Expired
343 MB