Skip to content

index

index #282

Triggered via schedule September 14, 2023 00:09
Status Success
Total duration 10m 8s
Artifacts 1

index.yml

on: schedule
update-revisions
9s
update-revisions
Matrix: index-cores
setup-default-service
27s
setup-default-service
Matrix: deploy-to-gcp
gcr-cleaner
25s
gcr-cleaner
Fit to window
Zoom out
Zoom in

Annotations

1 warning
index-cores (caliptra-rtl, https://github.com/chipsalliance/caliptra-rtl, main, 440a21d2ce0173139...: home/runner/work/_actions/antmicro/verible-indexing-action/v1.1.1/build.sh#L39
verible-verilog-kythe-extractor: E0914 00:10:10.260603 1992 verilog_kythe_extractor.cc:218] Encountered some issues while indexing files (could result in missing indexing data): E0914 00:10:10.261156 1992 verilog_kythe_extractor.cc:222] Unable to find 'uvm_macros.svh' among the included paths: src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src, src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src, src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src, src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src, src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src, src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src, src/keyvault/rtl, src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src, src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src, src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src, src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src, src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src, src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src, src/pcrvault/rtl, src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src, src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src, src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src, src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src, src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src, src/caliptra_prim/rtl, src/riscv_core/veer_el2/tb, src/riscv_core/veer_el2/rtl, src/integration/tb, src/integration/rtl, src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src, src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src, src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src, src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src, src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src, src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src, src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src, src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src, src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/tests/src, src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src, src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src, src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src, src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src, src/soc_ifc/tb, src/soc_ifc/rtl, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src, src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers, src/soc_if

Artifacts

Produced during runtime
Name Size
server-files Expired
161 MB