Skip to content

Commit

Permalink
ndctl: release v77
Browse files Browse the repository at this point in the history
This release incorporates functionality up to the 6.3 kernel.

Highlights include some build fixes around cx-monitor and event tracing,
the ability to create ram type regions, some fixes to create-region to
allow a user-supplied UUID, cxl-list fixes for RCD devices, and region
listings, cxl-list filtering improvements, and some unit test fixes.

Commands:
  cxl-list: Include regions in the verbose listing
  cxl-list: Enumerate device-dax properties for regions
  cxl-list: Fix filtering RCDs
  cxl-list: Filter root decoders by region
  cxl-list: Add parent_dport attribute to port listings
  cxl-list: Add a type attribute to region listings
  cxl-create-region: add creation of ram type regions
  cxl-create-region: skip region_actions for region creation
  cxl-create-region: automatic type detection based on memdev capacity

Tests:
  all: fix module load path expectations
  libndctl: fix dangling pointer warnings
  cxl-security.sh: use the correct 'cxl' binary

APIs:
  cxl_decoder_create_ram_region
  cxl_port_get_parent_dport
  cxl_region_get_daxctl_region
  cxl_region_get_mode
  • Loading branch information
stellarhopper committed May 2, 2023
1 parent 0df85eb commit 2fd570a
Show file tree
Hide file tree
Showing 2 changed files with 5 additions and 5 deletions.
2 changes: 1 addition & 1 deletion git-version
Original file line number Diff line number Diff line change
Expand Up @@ -19,7 +19,7 @@ dirty() {
fi
}

DEF_VER=76
DEF_VER=77

LF='
'
Expand Down
8 changes: 4 additions & 4 deletions meson.build
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
project('ndctl', 'c',
version : '76',
version : '77',
license : [
'GPL-2.0',
'LGPL-2.1',
Expand Down Expand Up @@ -305,9 +305,9 @@ LIBDAXCTL_CURRENT=6
LIBDAXCTL_REVISION=2
LIBDAXCTL_AGE=5

LIBCXL_CURRENT=4
LIBCXL_REVISION=1
LIBCXL_AGE=3
LIBCXL_CURRENT=5
LIBCXL_REVISION=0
LIBCXL_AGE=4

root_inc = include_directories(['.', 'ndctl', ])

Expand Down

0 comments on commit 2fd570a

Please sign in to comment.