You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
Hey!
I have question about assertion in src/stream_xbar.sv:
for (genvar i = 0; unsigned'(i) < NumInp; i++) begin : gen_sel_assertions
assert property (@(posedge clk_i) (valid_i[i] |-> sel_i[i] < sel_oup_t'(NumOut))) else
$fatal(1, "Non-existing output is selected!");
end
Why do you use : sel_oup_t'(NumOut) if for example NumOut = 4 so sel_oup_t is logic[1:0] so result from this cast will be always 0. So (valid_i[i] |-> sel_i[i] < 0)
The text was updated successfully, but these errors were encountered:
Hey!
I have question about assertion in
src/stream_xbar.sv
:Why do you use :
sel_oup_t'(NumOut)
if for exampleNumOut = 4
sosel_oup_t
islogic[1:0]
so result from this cast will be always0
. So(valid_i[i] |-> sel_i[i] < 0)
The text was updated successfully, but these errors were encountered: