Skip to content

Commit

Permalink
makefile: RTLMP_FLOW is now more consistent, 1 if enabled and default…
Browse files Browse the repository at this point in the history
… empty

This is more consistent with e.g. GPL_TIMING_DRIVEN and other
variables and should lead to fewer bugs

Signed-off-by: Øyvind Harboe <[email protected]>
  • Loading branch information
oharboe committed Jul 31, 2024
1 parent f4cabb0 commit 5e1c025
Show file tree
Hide file tree
Showing 35 changed files with 41 additions and 35 deletions.
2 changes: 1 addition & 1 deletion docs/user/FlowVariables.md
Original file line number Diff line number Diff line change
Expand Up @@ -132,7 +132,7 @@ Note:
| `FLOORPLAN_DEF` | Use the DEF file to initialize floorplan. |
| `PLACE_SITE` | Placement site for core cells defined in the technology LEF file. |
| `TAPCELL_TCL` | Path to Endcap and Welltie cells file. |
| `RTLMP_FLOW` | Enable the Hierarchical RTLMP flow. By default it is disabled. |
| `RTLMP_FLOW` | 1 to enable the Hierarchical RTLMP flow, default empty |
| `MACRO_HALO` | Specifies keep out distance from macro, in X and Y, to standard cell row. |
| `MACRO_PLACEMENT` | Specifies the path of a file on how to place certain macros manually using read_macro_placement. |
| `MACRO_PLACEMENT_TCL` | Specifies the path of a TCL file on how to place certain macros manually. |
Expand Down
8 changes: 7 additions & 1 deletion flow/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -657,7 +657,13 @@ endif

# STEP 3: Timing Driven Mixed Sized Placement
#-------------------------------------------------------------------------------
ifeq ($(MACRO_PLACEMENT)$(MACRO_PLACEMENT_TCL)$(RTLMP_FLOW),)
ifneq ($(MACRO_PLACEMENT)$(MACRO_PLACEMENT_TCL),)
RUN_TDMS:=1
endif
ifeq ($(RTLMP_FLOW), 1)
RUN_TDMS:=1
endif
ifeq ($(RUN_TDMS), 1)
$(eval $(call do-step,2_3_floorplan_tdms,$(RESULTS_DIR)/2_2_floorplan_io.odb $(RESULTS_DIR)/1_synth.v $(RESULTS_DIR)/1_synth.sdc $(LIB_FILES),tdms_place))
else
$(eval $(call do-copy,2_3_floorplan_tdms,2_2_floorplan_io.odb,$(RESULTS_DIR)/1_synth.v $(RESULTS_DIR)/1_synth.sdc $(LIB_FILES)))
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/asap7/aes-block/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ export PLACE_DENSITY = 0.65

export BLOCKS = aes_rcon aes_sbox
export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export PLACE_PINS_ARGS = -annealing
export HAS_IO_CONSTRAINTS = 0
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/asap7/riscv32i/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NAME = riscv_top
export PLATFORM = asap7

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export RTLMP_MIN_INST = 1000
export RTLMP_MAX_INST = 3500
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/asap7/swerv_wrapper/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ export DESIGN_NAME = swerv_wrapper
export PLATFORM = asap7

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
# RTL_MP Settings
export RTLMP_MAX_INST = 30000
export RTLMP_MIN_INST = 5000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/gf12/ariane/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export PLATFORM = gf12

export SYNTH_HIERARCHICAL = 1
export MAX_UNGROUP_SIZE ?= 10000
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#

export VERILOG_FILES = ./designs/src/$(DESIGN_NAME)/ariane.sv2v.v \
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/gf12/ariane133/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ export PLATFORM = gf12

export SYNTH_HIERARCHICAL = 1
export MAX_UNGROUP_SIZE ?= 10000
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#
# RTL_MP Settings

Expand Down
2 changes: 1 addition & 1 deletion flow/designs/gf12/bp_dual/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ export PLATFORM = gf12

export SYNTH_HIERARCHICAL = 1
#
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
# RTL_MP Settings
export RTLMP_MAX_INST = 30000
export RTLMP_MIN_INST = 10000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/gf12/bp_quad/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ export DESIGN_NICKNAME = bp_quad
export DESIGN_NAME = bsg_chip
export PLATFORM = gf12

export RTLMP_FLOW = True
export RTLMP_FLOW = 1
export SYNTH_HIERARCHICAL = 1
export MAX_UNGROUP_SIZE ?= 1000

Expand Down
2 changes: 1 addition & 1 deletion flow/designs/gf12/bp_single/config_mpl2.mk
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@ export SKIP_GATE_CLONING = 1
export TNS_END_PERCENT = 5

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#
# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/gf12/ca53/config.mk
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
export DESIGN_NAME = ca53_cpu
export PLATFORM = gf12

export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export VERILOG_FILES = $(PLATFORM_DIR)/$(DESIGN_NAME)/rtl/ca53_cpu.v
export CACHED_NETLIST = $(PLATFORM_DIR)/$(DESIGN_NAME)/rtl/ca53_cpu.v
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/gf12/swerv_wrapper/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export PLATFORM = gf12
#
export MAX_UNGROUP_SIZE ?= 10000
export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

# RTL_MP Settings
export RTLMP_MAX_INST = 25000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/gf12/tinyRocket/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ export PLATFORM = gf12

export SYNTH_HIERARCHICAL = 1
export MAX_UNGROUP_SIZE ?= 1000
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export VERILOG_FILES = ./designs/src/$(DESIGN_NICKNAME)/AsyncResetReg.v \
./designs/src/$(DESIGN_NICKNAME)/ClockDivider2.v \
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/gf180/uart-blocks/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@ export VERILOG_FILES = ./designs/src/uart-no-param/*.v
export SDC_FILE = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/constraint.sdc

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
export BLOCKS = uart_rx

export DIE_AREA = 0 0 430 430
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/nangate45/ariane133/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NICKNAME = ariane133
export PLATFORM = nangate45

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/nangate45/ariane136/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NICKNAME = ariane136
export PLATFORM = nangate45

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/nangate45/black_parrot/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NAME = black_parrot
export PLATFORM = nangate45

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#
# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/nangate45/bp_be_top/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NAME = bp_be_top
export PLATFORM = nangate45

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#
# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/nangate45/bp_fe_top/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NAME = bp_fe_top
export PLATFORM = nangate45

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#
# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/nangate45/bp_multi_top/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NAME = bp_multi_top
export PLATFORM = nangate45

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#
# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/nangate45/bp_quad/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NICKNAME = bp_quad
export PLATFORM = nangate45

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

# RTL_MP Settings
#export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/nangate45/swerv_wrapper/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ export DESIGN_NAME = swerv_wrapper
export PLATFORM = nangate45

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#
# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/nangate45/tinyRocket/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ export PLATFORM = nangate45

export SYNTH_HIERARCHICAL = 1
export MAX_UNGROUP_SIZE ?= 5000
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export VERILOG_FILES = ./designs/src/$(DESIGN_NICKNAME)/AsyncResetReg.v \
./designs/src/$(DESIGN_NICKNAME)/ClockDivider2.v \
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/sky130hd/coyote_tc/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@ export PLATFORM = sky130hd
#

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export SKY130_IO_VERSION ?= v0.2.0
export OPENRAMS_DIR = ./platforms/sky130ram
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/sky130hd/microwatt/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -22,7 +22,7 @@ export ADDITIONAL_LEFS = $(wildcard $(microwatt_DIR)/lef/*.lef)
export ADDITIONAL_LIBS = $(wildcard $(microwatt_DIR)/lib/*.lib)

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export RTLMP_BOUNDARY_WT = 0
export MACRO_PLACE_HALO = 100 100
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/sky130hd_fakestack/ariane136/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ export PLATFORM = sky130hd_fakestack

export SYNTH_HIERARCHICAL = 1
export MAX_UNGROUP_SIZE = 10000
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export SDC_FILE = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/constraint.sdc

Expand Down
2 changes: 1 addition & 1 deletion flow/designs/tsmc65lp/ariane/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ export DESIGN_NAME = ariane
export PLATFORM = tsmc65lp

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export VERILOG_FILES = ./designs/src/$(DESIGN_NAME)/ariane.sv2v.v \
./designs/$(PLATFORM)/$(DESIGN_NAME)/macros.v
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/tsmc65lp/black_parrot/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NAME = black_parrot
export PLATFORM = tsmc65lp

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#
# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/tsmc65lp/bp_be_top/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NAME = bp_be_top
export PLATFORM = tsmc65lp

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#
export VERILOG_FILES = ./designs/src/$(DESIGN_NAME)/pickled.v \
./designs/$(PLATFORM)/$(DESIGN_NAME)/macros.v
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/tsmc65lp/bp_fe_top/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NAME = bp_fe_top
export PLATFORM = tsmc65lp

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export VERILOG_FILES = ./designs/src/$(DESIGN_NAME)/pickled.v \
./designs/$(PLATFORM)/$(DESIGN_NAME)/macros.v
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/tsmc65lp/bp_multi_top/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NAME = bp_multi_top
export PLATFORM = tsmc65lp

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1
#
# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/tsmc65lp/coyote/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ export DESIGN_NAME = bsg_rocket_node_client_rocc
export PLATFORM = tsmc65lp

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1


# RTL_MP Settings
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/tsmc65lp/swerv_wrapper/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ export DESIGN_NAME = swerv_wrapper
export PLATFORM = tsmc65lp

export SYNTH_HIERARCHICAL = 1
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

# RTL_MP Settings
export RTLMP_MAX_INST = 30000
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/tsmc65lp/tinyRocket/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ export PLATFORM = tsmc65lp

export SYNTH_HIERARCHICAL = 1
export MAX_UNGROUP_SIZE ?= 5000
export RTLMP_FLOW = True
export RTLMP_FLOW = 1

export VERILOG_FILES = ./designs/src/$(DESIGN_NICKNAME)/AsyncResetReg.v \
./designs/src/$(DESIGN_NICKNAME)/ClockDivider2.v \
Expand Down
2 changes: 1 addition & 1 deletion flow/designs/tsmc65lp/vanilla5/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@ export ADDITIONAL_GDS = $(PLATFORM_DIR)/gds/tsmc65lp_1rf_lg10_w32_all.gds2 \

export SYNTH_HIERARCHICAL = 1

export RTLMP_FLOW = True
export RTLMP_FLOW = 1

# These values must be multiples of placement site
export DIE_AREA = 0 0 1100 400.8
Expand Down

0 comments on commit 5e1c025

Please sign in to comment.