Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

--no-check-comb-loops #3306

Open
5 tasks done
mlabaf2 opened this issue Jul 29, 2024 · 1 comment
Open
5 tasks done

--no-check-comb-loops #3306

mlabaf2 opened this issue Jul 29, 2024 · 1 comment
Labels
question Question requiring answer

Comments

@mlabaf2
Copy link

mlabaf2 commented Jul 29, 2024

Before start

  • I have read the RISC-V ISA Manual and this is not a RISC-V ISA question. 我已经阅读过 RISC-V 指令集手册,这不是一个指令集相关的问题。
  • I have read the XiangShan Documents. 我已经阅读过香山文档。
  • I have searched the previous issues and did not find anything relevant. 我已经搜索过之前的 issue,并没有找到相关的。
  • I have searched the previous discussions and did not find anything relevant. 我已经搜索过之前的 discussions,并没有找到相关的。
  • I have reviewed the commit messages from the relevant commit history. 我已经浏览过相关的提交历史和提交信息。

Describe the question

how can I use "--no-check-comb-loops" to fix the error "Combinational loop detected" when I am using Verilator and XiangShan?
I added some codes to XiangShan and now get above errors....

@mlabaf2 mlabaf2 added the question Question requiring answer label Jul 29, 2024
@Tang-Haojin
Copy link
Member

You would be better not to use this option. Combination loop is generally considered a severe bug and should be strictly avoided.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
question Question requiring answer
Projects
None yet
Development

No branches or pull requests

2 participants